# Package statistics -- Ranking by installations (all)
#-------------------------------------------------------------------------------------------
# rank Package                            	    inst    votes      old      new   nofile
#-------------------------------------------------------------------------------------------
    1 adduser                            	    4178      154     3782      242        0
    2 base-passwd                        	    4178       55     3875      248        0
    3 bsdutils                           	    4178      552     3371      255        0
    4 coreutils                          	    4178      955     3054      169        0
    5 dash                               	    4178     1088     2926      163        1
    6 debconf                            	    4178      453     3506      219        0
    7 debian-archive-keyring             	    4178        0        0        0     4178
    8 diffutils                          	    4178      881     3111      186        0
    9 dpkg                               	    4178      906     3079      193        0
   10 findutils                          	    4178      905     3094      179        0
   11 gpgv                               	    4178      512     3453      213        0
   12 grep                               	    4178      909     3090      179        0
   13 gzip                               	    4178      910     3089      179        0
   14 hostname                           	    4178      471     3486      219        2
   15 init-system-helpers                	    4178      865     3123      190        0
   16 libacl1                            	    4178      874     2475      149      680
   17 libattr1                           	    4178        7        7        0     4164
   18 libaudit-common                    	    4178        0        0        0     4178
   19 libaudit1                          	    4178      906     2491      154      627
   20 libblkid1                          	    4178      919     2559      163      537
   21 libbz2-1.0                         	    4178      797     2315      144      922
   22 libc6                              	    4178     1065     2905      195       13
   23 libdebconfclient0                  	    4178        0        0        0     4178
   24 libgmp10                           	    4178      902     2480      148      648
   25 liblzma5                           	    4178      907     2501      152      618
   26 libmount1                          	    4178      759     2311      153      955
   27 libp11-kit0                        	    4178      901     2480      149      648
   28 libpam-modules                     	    4178      898     2457      147      676
   29 libpam-modules-bin                 	    4178      249     3696      233        0
   30 libpam-runtime                     	    4178      121     3807      250        0
   31 libselinux1                        	    4178      908     2505      156      609
   32 libsemanage-common                 	    4178        0        0        0     4178
   33 libsmartcols1                      	    4178        1        2        0     4175
   34 libuuid1                           	    4178      932     2543      158      545
   35 ncurses-base                       	    4178      721     2981      163      313
   36 ncurses-bin                        	    4178      346     3581      251        0
   37 passwd                             	    4178      213     3722      243        0
   38 perl-base                          	    4178     1102     2910      166        0
   39 sed                                	    4178      883     3115      179        1
   40 sysvinit-utils                     	    4178      825     3159      194        0
   41 tar                                	    4178      885     3102      191        0
   42 zlib1g                             	    4178      911     2498      153      616
   43 apt                                	    4177      894     3092      188        3
   44 libgcrypt20                        	    4177      811     2311      140      915
   45 libgpg-error0                      	    4177      782     2232      136     1027
   46 libstdc++6                         	    4177      919     2518      152      588
   47 libtasn1-6                         	    4177      857     2467      169      684
   48 base-files                         	    4176        0        0        0     4176
   49 bash                               	    4175      952     3046      177        0
   50 libcap-ng0                         	    4174      907     2491      154      622
   51 util-linux                         	    4174     1048     2953      173        0
   52 libkeyutils1                       	    4171      905     2475      147      644
   53 login                              	    4171      268     3656      247        0
   54 libbsd0                            	    4170      846     2344      137      843
   55 popularity-contest                 	    4170      904     3085      181        0
   56 sensible-utils                     	    4169      209     3730      230        0
   57 libpopt0                           	    4168      162      285        2     3719
   58 netbase                            	    4168        0        0        0     4168
   59 devuan-keyring                     	    4167        0        0        0     4167
   60 libcap2                            	    4167      906     2485      148      628
   61 libsasl2-modules-db                	    4167      130      183        2     3852
   62 apt-utils                          	    4166      435     3503      225        3
   63 libexpat1                          	    4166      879     2431      154      702
   64 libmnl0                            	    4166      128      234        2     3802
   65 insserv                            	    4165      282     3663      220        0
   66 libkmod2                           	    4165      931     2572      157      505
   67 libsasl2-2                         	    4165      829     2312      143      881
   68 libsqlite3-0                       	    4165      676     1943      131     1415
   69 iproute2                           	    4163      720     3260      183        0
   70 less                               	    4163      336     3592      235        0
   71 liblocale-gettext-perl             	    4163        6       18        0     4139
   72 logrotate                          	    4163      872     3113      178        0
   73 libkrb5support0                    	    4162      934     2557      164      507
   74 readline-common                    	    4162        0        0        0     4162
   75 cron                               	    4161      995     3005      161        0
   76 initscripts                        	    4161      614     3349      198        0
   77 libedit2                           	    4161      693     2049      128     1291
   78 libpam0g                           	    4161      896     2480      155      630
   79 procps                             	    4161      795     3185      181        0
   80 libslang2                          	    4160       53      118        3     3986
   81 init                               	    4159        0        0        0     4159
   82 libk5crypto3                       	    4159      934     2556      164      505
   83 libkrb5-3                          	    4159      934     2556      164      505
   84 libtext-iconv-perl                 	    4159        3       12        0     4144
   85 e2fsprogs                          	    4158      811     3162      185        0
   86 libgssapi-krb5-2                   	    4158      934     2556      164      504
   87 cpio                               	    4157      296     3633      228        0
   88 openssl                            	    4157      861     3098      198        0
   89 libxml2                            	    4156      821     2352      143      840
   90 mount                              	    4156      624     3319      213        0
   91 tzdata                             	    4156       35     1050       40     3031
   92 ca-certificates                    	    4155       63     3846      246        0
   93 mawk                               	    4155      471     3488      196        0
   94 ucf                                	    4154      252     3608      294        0
   95 perl                               	    4153       73     3809      270        1
   96 librtmp1                           	    4151      706     2079      131     1235
   97 libtext-wrapi18n-perl              	    4151      452     3498      201        0
   98 xz-utils                           	    4151      155     3743      252        1
   99 libfreetype6                       	    4150      689     2171      154     1136
  100 dmsetup                            	    4149      390     3533      226        0
  101 gettext-base                       	    4148      345     3580      222        1
  102 libdevmapper1.02.1                 	    4148      561     1823      129     1635
  103 libtext-charwidth-perl             	    4148        5       11        0     4132
  104 startpar                           	    4148      538     3409      196        5
  105 kmod                               	    4147      685     3264      197        1
  106 libss2                             	    4147        0        0        0     4147
  107 iputils-ping                       	    4146      257     3640      248        1
  108 debianutils                        	    4144      992     2984      168        0
  109 libpipeline1                       	    4144        5       14        1     4124
  110 bzip2                              	    4143      107     3795      240        1
  111 linux-base                         	    4142      277     3637      228        0
  112 locales                            	    4141       51     3679      411        0
  113 net-tools                          	    4141      262     3649      230        0
  114 ifupdown                           	    4140      630     3326      184        0
  115 libklibc                           	    4140        0        0        0     4140
  116 python3-minimal                    	    4140      696     3221      223        0
  117 libnewt0.52                        	    4139        1        6        0     4132
  118 xkb-data                           	    4137       77      372       12     3676
  119 klibc-utils                        	    4136      242     3646      248        0
  120 libpci3                            	    4136       78      262       20     3776
  121 whiptail                           	    4133      378     3535      220        0
  122 debconf-i18n                       	    4132        0        5        0     4127
  123 file                               	    4132      307     3596      229        0
  124 libdbus-1-3                        	    4132      872     2441      158      661
  125 libxdmcp6                          	    4131      734     2220      135     1042
  126 libxau6                            	    4130      734     2218      135     1043
  127 pciutils                           	    4130      204     3678      248        0
  128 groff-base                         	    4129      222     3678      229        0
  129 libksba8                           	    4129       12       26        0     4091
  130 libxcb1                            	    4128      733     2211      136     1048
  131 dmidecode                          	    4127      428     3498      201        0
  132 libx11-data                        	    4126        0        0        0     4126
  133 liblz4-1                           	    4125      481     1236       62     2346
  134 libpython3-stdlib                  	    4124        0        0        0     4124
  135 python3                            	    4124       83     3705      336        0
  136 gnupg                              	    4121       62      150        2     3907
  137 libwrap0                           	    4121      906     2369      134      712
  138 openssh-client                     	    4121      699     3181      241        0
  139 libx11-6                           	    4120      725     2200      137     1058
  140 libidn2-0                          	    4119      895     2483      155      586
  141 libeudev1                          	    4116      726     2143      134     1113
  142 wget                               	    4109      176     3571      362        0
  143 kbd                                	    4108      201     3677      230        0
  144 keyboard-configuration             	    4108        0        0        0     4108
  145 libxtables12                       	    4103      119      303        9     3672
  146 libxext6                           	    4102      689     2154      135     1124
  147 libfdisk1                          	    4101       53      111       10     3927
  148 libnghttp2-14                      	    4096      714     2049      133     1200
  149 vim-common                         	    4096       61     3676      359        0
  150 libestr0                           	    4090      904     2515      148      523
  151 libc-l10n                          	    4088      312     1125       82     2569
  152 tasksel                            	    4087       65     3779      243        0
  153 tasksel-data                       	    4087       45     3798      244        0
  154 lsb-release                        	    4083      468     3395      220        0
  155 libglib2.0-data                    	    4081      293     1063       76     2649
  156 shared-mime-info                   	    4081      256     3601      224        0
  157 console-setup-linux                	    4080      576     3250      193       61
  158 libmagic-mgc                       	    4079      332     3520      226        1
  159 console-setup                      	    4078      124     3697      257        0
  160 eudev                              	    4077      959     2951      167        0
  161 libc-bin                           	    4077      964     2918      195        0
  162 lsb-base                           	    4075      276      797       12     2990
  163 libsasl2-modules                   	    4072      126      175        2     3769
  164 xdg-user-dirs                      	    4072      417     3452      202        1
  165 initramfs-tools                    	    4070      248     3426      235      161
  166 libldap-common                     	    4070        0        0        0     4070
  167 libcap2-bin                        	    4069      170     3653      246        0
  168 grub-common                        	    4066      247     3581      238        0
  169 initramfs-tools-core               	    4066      255     3562      249        0
  170 libapparmor1                       	    4063      911     2515      157      480
  171 lsof                               	    4063      145     3652      266        0
  172 libjpeg62-turbo                    	    4055      741     2256      140      918
  173 grub2-common                       	    4053      239     3575      239        0
  174 libxmuu1                           	    4050        0        1        0     4049
  175 fontconfig-config                  	    4049        0        0        0     4049
  176 libjbig0                           	    4049      650     2027      133     1239
  177 manpages                           	    4049        0        0        0     4049
  178 libfontconfig1                     	    4048      713     2186      138     1011
  179 man-db                             	    4047      867     3010      170        0
  180 rsyslog                            	    4047      980     2909      158        0
  181 isc-dhcp-common                    	    4046        0       59        1     3986
  182 busybox                            	    4044      250     3551      243        0
  183 emacsen-common                     	    4042      100     3701      241        0
  184 ncurses-term                       	    4041        0        0        0     4041
  185 xauth                              	    4039      380     3442      217        0
  186 laptop-detect                      	    4034       85     3717      231        1
  187 libgpm2                            	    4030      234      624       27     3145
  188 libseccomp2                        	    4028      339      842       31     2816
  189 libpcre2-8-0                       	    4027      947     2593      159      328
  190 iso-codes                          	    4026       25      171        8     3822
  191 isc-dhcp-client                    	    4025      370     3435      220        0
  192 libfastjson4                       	    4025      905     2514      148      458
  193 libfribidi0                        	    4022      631     2018      124     1249
  194 liblognorm5                        	    4020        0        0        0     4020
  195 libusb-1.0-0                       	    4019      641     2053      136     1189
  196 dbus                               	    4017      635     3179      201        2
  197 firmware-linux-free                	    4011      218     3575      218        0
  198 bind9-host                         	    4008      104     3581      323        0
  199 dictionaries-common                	    4005      104     3641      260        0
  200 fonts-dejavu-core                  	    4005      566     1829      113     1497
  201 python3-pkg-resources              	    3994      157     3538      299        0
  202 discover-data                      	    3991       34     3724      232        1
  203 nano                               	    3991      148     3610      233        0
  204 libdiscover2                       	    3988        0        0        0     3988
  205 discover                           	    3985       66     3692      227        0
  206 libxrender1                        	    3985      661     2126      134     1064
  207 vim-tiny                           	    3985       95     3547      343        0
  208 dirmngr                            	    3981       80     3643      258        0
  209 libxcb-shm0                        	    3980      631     2026      126     1197
  210 mime-support                       	    3980       11      453        4     3512
  211 libavahi-common-data               	    3979        0        1        0     3978
  212 libavahi-common3                   	    3979      715     2171      145      948
  213 libgraphite2-3                     	    3979      633     2044      126     1176
  214 libpixman-1-0                      	    3979      687     2157      133     1002
  215 libavahi-client3                   	    3976      691     2133      143     1009
  216 libxcb-render0                     	    3974      629     2023      125     1197
  217 libzstd1                           	    3974      902     2532      160      380
  218 python3-chardet                    	    3971       90     3638      243        0
  219 libharfbuzz0b                      	    3966      625     2031      129     1181
  220 python-apt-common                  	    3966        0        0        0     3966
  221 libtinfo6                          	    3965      780     2273      146      766
  222 traceroute                         	    3965      107     3624      234        0
  223 liblcms2-2                         	    3962      624     1976      129     1233
  224 libcairo2                          	    3961      619     2004      129     1209
  225 libdatrie1                         	    3960      626     2008      124     1202
  226 libthai-data                       	    3959        0        0        0     3959
  227 libthai0                           	    3955      626     2008      124     1197
  228 gnupg-l10n                         	    3949       14      119       22     3794
  229 libncursesw6                       	    3946      108      277       14     3547
  230 libassuan0                         	    3945      494     1726      121     1604
  231 libjansson4                        	    3942      625     1953      129     1235
  232 python3-urllib3                    	    3941       56     3577      306        2
  233 libpango-1.0-0                     	    3940      615     2001      127     1197
  234 libpangocairo-1.0-0                	    3940      615     2000      127     1198
  235 libpangoft2-1.0-0                  	    3940      615     2001      127     1197
  236 libcom-err2                        	    3939      890     2462      152      435
  237 x11-common                         	    3937        0        0        0     3937
  238 os-prober                          	    3936      124     3574      238        0
  239 libxfixes3                         	    3935      623     2014      127     1171
  240 libxi6                             	    3930      601     1955      130     1244
  241 libgomp1                           	    3928      582     1825      115     1406
  242 libnftnl11                         	    3927       90      169        2     3666
  243 libuchardet0                       	    3927       15       34        0     3878
  244 gpg                                	    3922      726     2989      207        0
  245 gpgconf                            	    3922      605     3119      198        0
  246 libgdk-pixbuf2.0-common            	    3922      275      953       64     2630
  247 fontconfig                         	    3920      230     3456      234        0
  248 gpgsm                              	    3919      256     3433      230        0
  249 python3-requests                   	    3919       68     3591      259        1
  250 bash-completion                    	    3917       55     3619      243        0
  251 python3-apt                        	    3917      482     3225      210        0
  252 libnuma1                           	    3913      679     1935      107     1192
  253 liblmdb0                           	    3911      154      393       16     3348
  254 installation-report                	    3910       49     3610      251        0
  255 libxinerama1                       	    3910      600     1916      123     1271
  256 libxrandr2                         	    3910      636     2013      130     1131
  257 gpg-agent                          	    3909      522     3188      199        0
  258 libxcomposite1                     	    3905      595     1904      125     1281
  259 libxdamage1                        	    3903      594     1902      122     1285
  260 gnupg-utils                        	    3902       58     3587      257        0
  261 gpg-wks-client                     	    3901       33     3609      259        0
  262 libxcursor1                        	    3897      617     1994      128     1158
  263 libbrotli1                         	    3896      789     2322      143      642
  264 liblockfile-bin                    	    3891       79     3574      238        0
  265 python3-six                        	    3887       63     3591      231        2
  266 sysvinit-core                      	    3884     1005     2728      151        0
  267 krb5-locales                       	    3877        3        5        0     3869
  268 libdb5.3                           	    3872      601     1430       46     1795
  269 librsvg2-2                         	    3872      572     1888      122     1290
  270 libprotobuf-c1                     	    3871      116      297       20     3438
  271 netcat-traditional                 	    3868       82     3556      230        0
  272 libssh2-1                          	    3861      629     1922      123     1187
  273 libmagic1                          	    3860       23       43        3     3791
  274 librsvg2-common                    	    3859      503     1680      111     1565
  275 libxpm4                            	    3858      170      288        0     3400
  276 libxtst6                           	    3852      585     1899      129     1239
  277 sudo                               	    3851      430     3221      200        0
  278 libelf1                            	    3850      612     1986      131     1121
  279 libunistring2                      	    3846      818     2303      137      588
  280 dbus-x11                           	    3845      630     3023      192        0
  281 hicolor-icon-theme                 	    3845        0        0        0     3845
  282 libcairo-gobject2                  	    3842      610     1973      129     1130
  283 eject                              	    3841      146     3422      273        0
  284 libncurses6                        	    3840       34       74        2     3730
  285 wamerican                          	    3840       47     3542      251        0
  286 libelogind0                        	    3839      836     2400      147      456
  287 libltdl7                           	    3839      595     1861      122     1261
  288 libwayland-client0                 	    3839      630     2041      135     1033
  289 libfuse2                           	    3835      104      283        3     3445
  290 unzip                              	    3834      144     3442      247        1
  291 libpolkit-agent-1-0                	    3832      446     1551      119     1716
  292 libfstrm0                          	    3831       62       83        0     3686
  293 libnl-3-200                        	    3826      473     1430       80     1843
  294 libglib2.0-0                       	    3825      731     2193      145      756
  295 python3-idna                       	    3825       44     3518      263        0
  296 gpg-wks-server                     	    3823       52     3530      241        0
  297 distro-info-data                   	    3818        0        0        0     3818
  298 python3-debian                     	    3815       37     3524      254        0
  299 libgnutls30                        	    3813      767     2272      156      618
  300 libpsl5                            	    3810      649     1941      121     1099
  301 libxkbcommon0                      	    3810      605     1964      128     1113
  302 libwayland-cursor0                 	    3805      599     1943      129     1134
  303 adwaita-icon-theme                 	    3803        0        0        0     3803
  304 python3-certifi                    	    3803       57     3494      251        1
  305 libcurl3-gnutls                    	    3801      486     1708      128     1479
  306 libpng16-16                        	    3799      689     2109      129      872
  307 libepoxy0                          	    3798      652     2058      131      957
  308 gtk-update-icon-cache              	    3797      311     3236      250        0
  309 libtirpc-common                    	    3797        0        0        0     3797
  310 libnfnetlink0                      	    3796      118      206        2     3470
  311 libdrm2                            	    3795      690     2151      135      819
  312 libnpth0                           	    3793      236      881       80     2596
  313 pinentry-curses                    	    3792       61     3493      238        0
  314 apt-listchanges                    	    3791      408     3186      197        0
  315 libdconf1                          	    3787      178      477       23     3109
  316 libcolord2                         	    3785      439     1412       98     1836
  317 fdisk                              	    3783      100     3402      281        0
  318 dconf-gsettings-backend            	    3772      589     1915      128     1140
  319 dconf-service                      	    3772      434     2885      190      263
  320 reportbug                          	    3771       46     3467      258        0
  321 libmpc3                            	    3764       31       63        1     3669
  322 libtimedate-perl                   	    3764       56     3484      224        0
  323 gsettings-desktop-schemas          	    3763       14       17        2     3730
  324 libsensors-config                  	    3763        0        0        0     3763
  325 libgtk-3-common                    	    3751      550     1747      122     1332
  326 libsensors5                        	    3751      617     1967      130     1037
  327 apparmor                           	    3749      550     3000      198        1
  328 libasound2-data                    	    3748        0        0        0     3748
  329 cpp                                	    3745      449     3098      198        0
  330 libxxhash0                         	    3745      205      675       46     2819
  331 libnetfilter-conntrack3            	    3744      107      191        2     3444
  332 liburi-perl                        	    3743      156     3366      221        0
  333 libice6                            	    3738      608     1985      129     1016
  334 libsm6                             	    3737      608     1986      129     1014
  335 libx11-xcb1                        	    3737      632     2062      134      909
  336 python3-debianbts                  	    3730       53     3443      234        0
  337 libquadmath0                       	    3729       47      131        1     3550
  338 doc-debian                         	    3724        0        0        0     3724
  339 libpciaccess0                      	    3724      670     2117      134      803
  340 libopenjp2-7                       	    3721      515     1727      107     1372
  341 python3-reportbug                  	    3721       28     3433      260        0
  342 debian-faq                         	    3720        0        0        0     3720
  343 libxcb-present0                    	    3719      610     1975      128     1006
  344 libcrypt1                          	    3716      914     2506      154      142
  345 libxcb-dri3-0                      	    3716      640     2072      132      872
  346 libgcc-s1                          	    3715      897     2466      151      201
  347 libxcb-sync1                       	    3715      611     1984      129      991
  348 libxshmfence1                      	    3714      644     2083      133      854
  349 libxxf86vm1                        	    3712      551     1830      121     1210
  350 libnspr4                           	    3711      585     1888      128     1110
  351 libhtml-tagset-perl                	    3710       47     3437      226        0
  352 libxcb-glx0                        	    3710      557     1785      115     1253
  353 libpolkit-gobject-1-0              	    3707        0        1        0     3706
  354 libencode-locale-perl              	    3706      110     3379      217        0
  355 libxcb-dri2-0                      	    3705      551     1943      122     1089
  356 libmd0                             	    3704      847     2361      145      351
  357 libmpfr6                           	    3703      104      261       18     3320
  358 libwayland-egl1                    	    3702      594     1909      123     1076
  359 libdrm-radeon1                     	    3701      573     1991      124     1013
  360 libgdk-pixbuf2.0-bin               	    3700      146     3314      239        1
  361 libhtml-parser-perl                	    3699       38       48        0     3613
  362 liblwp-mediatypes-perl             	    3699       33     3439      227        0
  363 libnl-genl-3-200                   	    3698      398     1312       79     1909
  364 libhttp-date-perl                  	    3697      117     3359      221        0
  365 libio-html-perl                    	    3697       30     3441      226        0
  366 libnss3                            	    3697      554     1809      129     1205
  367 libhttp-message-perl               	    3696      115     3360      221        0
  368 libgl1-mesa-dri                    	    3693      591     1974      133      995
  369 libgtk-3-bin                       	    3693       47     3374      272        0
  370 media-types                        	    3692        0        0        0     3692
  371 at-spi2-core                       	    3687      586     2902      177       22
  372 elogind                            	    3686      840     2690      156        0
  373 libgd3                             	    3685       84      129        0     3472
  374 libdrm-intel1                      	    3684      531     1804      128     1221
  375 logsave                            	    3684      543     2948      193        0
  376 libxcb-xfixes0                     	    3682      612     1989      128      953
  377 libdrm-nouveau2                    	    3680      536     1837      126     1181
  378 libnsl2                            	    3680      905     2381      131      263
  379 libpam-elogind                     	    3679      780     2332      144      423
  380 libglapi-mesa                      	    3676      592     2038      126      920
  381 libxslt1.1                         	    3676      342      769       31     2534
  382 libcups2                           	    3674      563     1863      129     1119
  383 libnet-ssleay-perl                 	    3674       68       73        0     3533
  384 bsdextrautils                      	    3671      106     3285      280        0
  385 libext2fs2                         	    3671       25       58        2     3586
  386 libfido2-1                         	    3671        0        2        0     3669
  387 pci.ids                            	    3668        0        0        0     3668
  388 libfontenc1                        	    3666      639     2075      132      820
  389 python3-httplib2                   	    3666       36     3402      228        0
  390 libdrm-common                      	    3665        0        0        0     3665
  391 libdrm-amdgpu1                     	    3664      585     1900      130     1049
  392 libjson-c5                         	    3661      603     1865      129     1064
  393 libxft2                            	    3660      372     1174       75     2039
  394 libio-socket-ssl-perl              	    3657      103     3331      223        0
  395 libgdbm6                           	    3655       29       42        1     3583
  396 libgdbm-compat4                    	    3654        0        0        0     3654
  397 libopus0                           	    3652      592     1900      124     1036
  398 libogg0                            	    3650      577     1900      125     1048
  399 libvorbis0a                        	    3648      577     1905      124     1042
  400 libvorbisenc2                      	    3641      574     1878      123     1066
  401 libde265-0                         	    3639      134      354       16     3135
  402 sysv-rc                            	    3632      479     2821      173      159
  403 libxmu6                            	    3630      326     1060       69     2175
  404 poppler-data                       	    3630      152     3256      221        1
  405 perl-openssl-defaults              	    3628       59     3345      224        0
  406 libdeflate0                        	    3626      649     2022      133      822
  407 libmaxminddb0                      	    3624       73       93        0     3458
  408 libxaw7                            	    3617       69      108        0     3440
  409 libpcsclite1                       	    3613      394     1331       78     1810
  410 libsndfile1                        	    3611      562     1845      126     1078
  411 python3-debconf                    	    3611       28     3332      251        0
  412 libasyncns0                        	    3610      564     1846      124     1076
  413 policykit-1                        	    3610      143      782       11     2674
  414 libefivar1                         	    3608       30      185       19     3374
  415 libefiboot1                        	    3607       30      185       19     3373
  416 python3-pycurl                     	    3607       67     3313      227        0
  417 libfile-listing-perl               	    3600       33     3342      225        0
  418 libhttp-cookies-perl               	    3600       37     3341      222        0
  419 libwww-robotrules-perl             	    3600       30     3350      220        0
  420 libhtml-tree-perl                  	    3597       35     3339      223        0
  421 libhttp-negotiate-perl             	    3597       31     3343      223        0
  422 libnet-http-perl                   	    3596       84     3292      220        0
  423 liblwp-protocol-https-perl         	    3595       68     3309      218        0
  424 libpulse0                          	    3594      557     1845      129     1063
  425 libpaper-utils                     	    3592      205     3156      231        0
  426 libijs-0.35                        	    3590        6        8        0     3576
  427 libwww-perl                        	    3590      129     3219      242        0
  428 libatomic1                         	    3588      267      677       46     2598
  429 libjbig2dec0                       	    3588        8       10        0     3570
  430 libglvnd0                          	    3586      648     2087      136      715
  431 libnet-smtp-ssl-perl               	    3586       30     3330      226        0
  432 libmailtools-perl                  	    3585       54     3303      228        0
  433 libatk1.0-0                        	    3584      526     1728      111     1219
  434 publicsuffix                       	    3582        3        4        0     3575
  435 libauthen-sasl-perl                	    3577       35     3312      230        0
  436 libspeex1                          	    3576      518     1686      103     1269
  437 libxcb-randr0                      	    3576      568     1827      123     1058
  438 libgsm1                            	    3574      514     1685      103     1272
  439 libpcre3                           	    3574      226      528        7     2813
  440 libwebpmux3                        	    3571      543     1766      114     1148
  441 libgl1                             	    3570      579     1943      131      917
  442 libglx0                            	    3570      648     2084      136      702
  443 libsodium23                        	    3570      235      449        2     2884
  444 libnl-route-3-200                  	    3567      394     1350       79     1744
  445 libsamplerate0                     	    3567       64      166        1     3336
  446 libvorbisfile3                     	    3566      431     1295       62     1778
  447 libglx-mesa0                       	    3565      499     1682      115     1269
  448 bind9-libs                         	    3564       55       78        1     3430
  449 linux-image-amd64                  	    3564        0        0        0     3564
  450 libcaca0                           	    3561       26       57        1     3477
  451 libwayland-server0                 	    3555      616     1884      117      938
  452 libxv1                             	    3554       29       61        1     3463
  453 mailcap                            	    3554      362     2993      199        0
  454 libmp3lame0                        	    3552      569     1852      122     1009
  455 parted                             	    3552       65     3232      255        0
  456 libgbm1                            	    3548      618     1882      119      929
  457 psmisc                             	    3543      261     3124      158        0
  458 libxcb-shape0                      	    3541      308      839       31     2363
  459 python3-pysimplesoap               	    3541      135     3194      212        0
  460 xfonts-encodings                   	    3540        0        0        0     3540
  461 xfonts-utils                       	    3537       64     3248      225        0
  462 libaspell15                        	    3535      140     3142      232       21
  463 libhtml-form-perl                  	    3534       37     3274      223        0
  464 libblas3                           	    3532       40      237        2     3253
  465 libhttp-daemon-perl                	    3532       25     3281      226        0
  466 libfont-afm-perl                   	    3527       23     3279      225        0
  467 libfftw3-double3                   	    3524       78      149        0     3297
  468 libgdk-pixbuf-2.0-0                	    3524      602     1958      123      841
  469 libhtml-format-perl                	    3524       23     3277      224        0
  470 libsnappy1v5                       	    3524      576     1780      104     1064
  471 libwebpdemux2                      	    3521      283      806       44     2388
  472 libpaper1                          	    3519      529     1863      123     1004
  473 libtry-tiny-perl                   	    3519      120     3181      218        0
  474 sgml-base                          	    3509       97     3201      211        0
  475 xml-core                           	    3506       89     3199      218        0
  476 ghostscript                        	    3501      171     3083      247        0
  477 libatspi2.0-0                      	    3500      530     1734      116     1120
  478 libsecret-1-0                      	    3500      506     1635      110     1249
  479 libsecret-common                   	    3500        0        1        1     3498
  480 libtirpc3                          	    3498      810     2185      124      379
  481 libatk-bridge2.0-0                 	    3497      525     1717      112     1143
  482 aspell                             	    3495       67     3189      239        0
  483 libutempter0                       	    3491      249      668       42     2532
  484 libexif12                          	    3490      559     1918      137      876
  485 libheif1                           	    3485      155      381       17     2932
  486 libgtk-3-0                         	    3481      510     1666      111     1194
  487 libjson-glib-1.0-0                 	    3478      476     1478       88     1436
  488 libjson-glib-1.0-common            	    3478        2        2        0     3474
  489 fonts-noto-mono                    	    3477      370     1187       87     1833
  490 libgirepository-1.0-1              	    3476      415     1335       94     1632
  491 gir1.2-glib-2.0                    	    3473      412     1322       96     1643
  492 libasound2                         	    3472      611     1989      132      740
  493 libwavpack1                        	    3471       89      270        4     3108
  494 libjack-jackd2-0                   	    3469       67      138        2     3262
  495 fonts-droid-fallback               	    3464      130      344       17     2973
  496 libxapian30                        	    3462       70      251       17     3124
  497 libxxf86dga1                       	    3461        4        5        0     3452
  498 libxss1                            	    3460      399     1151       51     1859
  499 libcurl4                           	    3458      198      346        4     2910
  500 libflite1                          	    3455       56      138        4     3257
  501 x11-utils                          	    3455      247     3014      194        0
  502 libproxy1v5                        	    3450      456     1437       84     1473
  503 libnftables1                       	    3446       89      169        2     3186
  504 libunwind8                         	    3446      689     2132      131      494
  505 glib-networking-common             	    3444        4       25        1     3414
  506 glib-networking-services           	    3443       24     3196      219        4
  507 libgpgme11                         	    3442      471     1655      117     1199
  508 libxml-parser-perl                 	    3442        7       12        0     3423
  509 glib-networking                    	    3441      454     1422       83     1482
  510 libgudev-1.0-0                     	    3439      657     2139      137      506
  511 policykit-1-gnome                  	    3438      254      906       73     2205
  512 libxkbfile1                        	    3434      471     1591      111     1261
  513 ssl-cert                           	    3432       53     3174      205        0
  514 libuv1                             	    3428       80      119        1     3228
  515 xbitmaps                           	    3428        0        0        0     3428
  516 libapt-pkg6.0                      	    3427      132      494       35     2766
  517 libxt6                             	    3425      343     1079       71     1932
  518 libtheora0                         	    3424      474     1650       98     1202
  519 ispell                             	    3422       64     3151      207        0
  520 libxvidcore4                       	    3421      513     1683      104     1121
  521 libaa1                             	    3419        4        6        0     3409
  522 libtwolame0                        	    3414      512     1679      103     1120
  523 libz3-4                            	    3414      594     1928      130      762
  524 libvdpau1                          	    3413      516     1680      103     1114
  525 libpcap0.8                         	    3412      139      249        1     3023
  526 libisl23                           	    3411       28       59        1     3323
  527 python3-gi                         	    3411      519     2718      173        1
  528 libtdb1                            	    3410      614     1878      124      794
  529 libshine3                          	    3409      513     1682      103     1111
  530 libdjvulibre-text                  	    3408        0        0        0     3408
  531 libhogweed6                        	    3408      814     2291      135      168
  532 libnettle8                         	    3408      815     2293      135      165
  533 libargon2-1                        	    3407      555     1764      120      968
  534 nftables                           	    3406      121     3045      240        0
  535 libdjvulibre21                     	    3404        2       18        0     3384
  536 libzvbi-common                     	    3403        0        0        0     3403
  537 libreadline8                       	    3402       88      237       16     3061
  538 libsoxr0                           	    3397      538     1733      112     1014
  539 libtalloc2                         	    3382      211      380        4     2787
  540 bootlogd                           	    3378       54     3064      260        0
  541 libfftw3-single3                   	    3376        6       13        0     3357
  542 bind9-dnsutils                     	    3375      103     2961      311        0
  543 libclone-perl                      	    3372       15       21        0     3336
  544 libfaad2                           	    3357       27       64        0     3266
  545 libgme0                            	    3353       82      219        1     3051
  546 x11-xserver-utils                  	    3350      463     2714      173        0
  547 libgtk2.0-common                   	    3345       57      133        3     3152
  548 libegl1                            	    3344      511     1634      101     1098
  549 libraw1394-11                      	    3342       24       52        1     3265
  550 libchromaprint1                    	    3340       86      226        1     3027
  551 libegl-mesa0                       	    3337      545     1697      102      993
  552 libgstreamer1.0-0                  	    3337      344      979       59     1955
  553 libmpcdec6                         	    3334       20       38        0     3276
  554 libwbclient0                       	    3333      201      363        5     2764
  555 libdata-dump-perl                  	    3332       24     3086      222        0
  556 libavc1394-0                       	    3325       24       51        1     3249
  557 libparted2                         	    3325      448     1597      115     1165
  558 libtag1v5-vanilla                  	    3324      214      797       61     2252
  559 libvulkan1                         	    3321      151      372        9     2789
  560 xdg-utils                          	    3321      293     2833      195        0
  561 libevdev2                          	    3320      637     2065      134      484
  562 libtag1v5                          	    3319        0        0        0     3319
  563 liblapack3                         	    3317       39      193        2     3083
  564 libzmq5                            	    3317       84      223        1     3009
  565 fonts-urw-base35                   	    3316      372     1145       66     1733
  566 libva2                             	    3313      525     1706      103      979
  567 libva-x11-2                        	    3309      513     1676      103     1017
  568 wpasupplicant                      	    3308      501     2622      185        0
  569 alsa-utils                         	    3307      466     2666      175        0
  570 libaacs0                           	    3306        0        0        0     3306
  571 libva-drm2                         	    3306      524     1706      103      973
  572 liblqr-1-0                         	    3298       44       79        0     3175
  573 libiec61883-0                      	    3296       24       51        1     3220
  574 libgfortran5                       	    3293       57      165        1     3070
  575 libcdparanoia0                     	    3292        0        1        0     3291
  576 libio-stringy-perl                 	    3292       23     3052      217        0
  577 libgles2                           	    3291       64      198       21     3008
  578 libipc-system-simple-perl          	    3291       24     3048      219        0
  579 libmodplug1                        	    3291       18       35        0     3238
  580 libgstreamer-plugins-base1.0-0     	    3290      304      838       55     2093
  581 libpolkit-gobject-elogind-1-0      	    3290      613     1985      145      547
  582 libbluray2                         	    3289      463     1548      103     1175
  583 libbs2b0                           	    3289       60      145        1     3083
  584 libwacom-common                    	    3289      240     2834      215        0
  585 samba-libs                         	    3288      200      360        5     2723
  586 bc                                 	    3287      101     2975      211        0
  587 libinput-bin                       	    3287      373     2722      192        0
  588 libinput10                         	    3287      622     2062      136      467
  589 dosfstools                         	    3285      218     2856      211        0
  590 javascript-common                  	    3285        0        0        0     3285
  591 libfile-basedir-perl               	    3277       73     2994      210        0
  592 mesa-vdpau-drivers                 	    3274        1        3        0     3270
  593 libvisual-0.4-0                    	    3272        0        0        0     3272
  594 poppler-utils                      	    3272       74     2951      247        0
  595 x11-xkb-utils                      	    3262      492     2599      171        0
  596 iw                                 	    3260       62     2970      228        0
  597 libmpg123-0                        	    3260      431     1477      110     1242
  598 gsfonts                            	    3259       37       98        2     3122
  599 xfonts-base                        	    3258        5        1        0     3252
  600 libnotify4                         	    3256      508     1691      120      937
  601 libvdpau-va-gl1                    	    3256        2        6        0     3248
  602 libgtk2.0-bin                      	    3252        0       49        1     3202
  603 libspectre1                        	    3252        2        4        0     3246
  604 gdisk                              	    3251       50     2989      212        0
  605 libopencore-amrwb0                 	    3250       44       97        0     3109
  606 libopencore-amrnb0                 	    3247       45      101        0     3101
  607 libserd-0-0                        	    3246       54      140        1     3051
  608 gstreamer1.0-plugins-base          	    3245       39       66        1     3139
  609 libfile-desktopentry-perl          	    3245       26     3001      218        0
  610 librubberband2                     	    3244       52      136        1     3055
  611 liblilv-0-0                        	    3243       54      140        1     3048
  612 libsord-0-0                        	    3243       54      140        1     3048
  613 libsratom-0-0                      	    3243       54      140        1     3048
  614 libpangoxft-1.0-0                  	    3242       48      118        3     3073
  615 libyajl2                           	    3242      184      359        4     2695
  616 libfile-mimeinfo-perl              	    3241       75     2954      212        0
  617 alsa-ucm-conf                      	    3238        0        0        0     3238
  618 libxfont2                          	    3238      638     2072      134      394
  619 xserver-common                     	    3237      400     2534      303        0
  620 libtie-ixhash-perl                 	    3235       18     2996      221        0
  621 alsa-topology-conf                 	    3232       13     2493      226      500
  622 libxml-twig-perl                   	    3232       26     2987      219        0
  623 libx11-protocol-perl               	    3230       19     2993      218        0
  624 libass9                            	    3229       58      144        1     3026
  625 libcryptsetup12                    	    3228      493     1691      126      918
  626 gir1.2-freedesktop                 	    3227      249      621       27     2330
  627 vdpau-driver-all                   	    3227        0        0        0     3227
  628 libshout3                          	    3226       10       23        0     3193
  629 libbdplus0                         	    3224        0        0        0     3224
  630 libopenal-data                     	    3220        0        0        0     3220
  631 libopenal1                         	    3218       47      123        1     3047
  632 libxml-xpathengine-perl            	    3217       20     2977      220        0
  633 xserver-xorg-core                  	    3214      576     2412      226        0
  634 libdaemon0                         	    3212      622     2016      138      436
  635 libnet-dbus-perl                   	    3211        7       11        0     3193
  636 libgail-common                     	    3210       76      204        5     2925
  637 ntfs-3g                            	    3206      245     2739      222        0
  638 ocl-icd-libopencl1                 	    3206      509     1663      104      930
  639 libsnmp-base                       	    3204        0        0        0     3204
  640 wireless-regdb                     	    3203      147     2834      221        1
  641 libdvdnav4                         	    3201       39      100        0     3062
  642 xserver-xorg                       	    3194        1       17        1     3175
  643 gir1.2-gdkpixbuf-2.0               	    3191      395     1273       93     1430
  644 libsdl2-2.0-0                      	    3189       42       96        1     3050
  645 libsndio7.0                        	    3187       50      142        2     2993
  646 libglu1-mesa                       	    3186       32       45        1     3108
  647 libevent-2.1-7                     	    3185      589     1563       67      966
  648 i965-va-driver                     	    3181       45      106        2     3028
  649 acl                                	    3177       83     2887      207        0
  650 gir1.2-pango-1.0                   	    3175      248      619       27     2281
  651 gir1.2-atk-1.0                     	    3174      243      632       29     2270
  652 libssh-gcrypt-4                    	    3173       69      183        1     2920
  653 intel-media-va-driver              	    3170      109      274        4     2783
  654 mesa-va-drivers                    	    3170       31       89        4     3046
  655 xserver-xorg-input-libinput        	    3168      638     2380      150        0
  656 va-driver-all                      	    3167        0        0        0     3167
  657 libsigc++-2.0-0v5                  	    3163       45      106        4     3008
  658 usb.ids                            	    3161       26     2692      207      236
  659 libxvmc1                           	    3160        4        2        0     3154
  660 gstreamer1.0-plugins-good          	    3156       48       73        1     3034
  661 libdca0                            	    3154        4        6        0     3144
  662 libarchive13                       	    3153      118      435       27     2573
  663 xserver-xorg-input-all             	    3153        0        0        0     3153
  664 xserver-xorg-video-fbdev           	    3151      428     2552      171        0
  665 libvidstab1.1                      	    3149       48      132        1     2968
  666 libzvbi0                           	    3148      462     1560       98     1028
  667 libjxr-tools                       	    3146       38     2888      220        0
  668 libspeexdsp1                       	    3145      482     1600      111      952
  669 update-inetd                       	    3145       49     2889      207        0
  670 xserver-xorg-video-vesa            	    3145      422     2542      181        0
  671 gnome-icon-theme                   	    3144        0        0        0     3144
  672 libatasmart4                       	    3143      519     1765      125      734
  673 imagemagick-6-common               	    3140        0        0        0     3140
  674 libxcb-xkb1                        	    3140      326      948       45     1821
  675 gir1.2-gtk-3.0                     	    3139      241      585       26     2287
  676 xinit                              	    3136       99     2832      205        0
  677 sysvinit                           	    3132        0       55        1     3076
  678 libharfbuzz-icu0                   	    3130      149      390       19     2572
  679 libudfread0                        	    3127      463     1543      103     1018
  680 xserver-xorg-video-radeon          	    3127       92     2828      207        0
  681 libudisks2-0                       	    3126      512     1761      128      725
  682 libxkbcommon-x11-0                 	    3125      326      947       45     1807
  683 gstreamer1.0-x                     	    3124        6        5        0     3113
  684 libhyphen0                         	    3124      159      402       19     2544
  685 libstartup-notification0           	    3124      453     1530      106     1035
  686 xserver-xorg-video-ati             	    3120      139     2777      204        0
  687 libgphoto2-l10n                    	    3118        2        0        0     3116
  688 libxatracker2                      	    3118       33      144       16     2925
  689 librabbitmq4                       	    3117       79      216        1     2821
  690 udisks2                            	    3115      571     2381      163        0
  691 xserver-xorg-video-amdgpu          	    3114       86     2818      210        0
  692 libnss-mdns                        	    3111      617     1923      133      438
  693 libwebrtc-audio-processing1        	    3110        4        7        0     3099
  694 xserver-xorg-video-vmware          	    3110       67     2838      205        0
  695 libffi8                            	    3109      757     2118      144       90
  696 libgtk2.0-0                        	    3105      110      271        6     2718
  697 xserver-xorg-video-nouveau         	    3105       37     2841      227        0
  698 xserver-xorg-input-wacom           	    3101      236     2662      203        0
  699 liba52-0.7.4                       	    3100        6        7        0     3087
  700 libavahi-glib1                     	    3100      550     1872      138      540
  701 xserver-xorg-video-intel           	    3099       74     2813      212        0
  702 x11-apps                           	    3097       67     2821      209        0
  703 python3-dbus                       	    3096      442     2495      159        0
  704 libmpeg2-4                         	    3094        4        7        0     3083
  705 libopenmpt0                        	    3089       74      188        1     2826
  706 xfonts-100dpi                      	    3086        9        8        0     3069
  707 libpulse-mainloop-glib0            	    3084      400     1451      111     1122
  708 libavahi-core7                     	    3082      612     2001      143      326
  709 libhunspell-1.7-0                  	    3082      107      285       16     2674
  710 libdw1                             	    3079      456     1541       90      992
  711 libicu72                           	    3079      727     2056      139      157
  712 libxcb-util1                       	    3079      535     1826      120      598
  713 libsepol2                          	    3077        7        1        0     3069
  714 libsbc1                            	    3076      219      640       31     2186
  715 xserver-xorg-video-all             	    3076        0        0        0     3076
  716 cron-daemon-common                 	    3075        4      238       54     2779
  717 libbpf1                            	    3075       31       42        0     3002
  718 libmysofa1                         	    3075       50      135        1     2889
  719 liborc-0.4-0                       	    3075      482     1596      109      888
  720 xserver-xorg-legacy                	    3074      377     2407      290        0
  721 libcanberra0                       	    3073      396     1188       63     1426
  722 libsemanage2                       	    3073        7        1        0     3065
  723 libtevent0                         	    3069      183      327        3     2556
  724 libproc2-0                         	    3068       38      102       10     2918
  725 util-linux-extra                   	    3068      378     2478      212        0
  726 libbluetooth3                      	    3063      582     1946      138      397
  727 liblouis-data                      	    3062        0        0        0     3062
  728 python3-cairo                      	    3062      469     2420      172        1
  729 xfonts-scalable                    	    3062       14       38        2     3008
  730 avahi-daemon                       	    3061      646     2264      151        0
  731 anacron                            	    3059      581     2315      163        0
  732 libgstreamer-plugins-bad1.0-0      	    3059      122      294       17     2626
  733 mesa-vulkan-drivers                	    3059      110     2703      228       18
  734 xfonts-75dpi                       	    3058        7        7        0     3044
  735 libmtdev1                          	    3056      573     1923      125      435
  736 libraptor2-0                       	    3056       45       80        1     2930
  737 sound-theme-freedesktop            	    3055        0        0        0     3055
  738 libgck-1-0                         	    3051      478     1586      104      883
  739 libgcr-base-3-1                    	    3051      478     1586      104      883
  740 x11-session-utils                  	    3051       31     2809      211        0
  741 libkate1                           	    3049        2        4        0     3043
  742 libsoup2.4-1                       	    3048      113      370       15     2550
  743 libvo-amrwbenc0                    	    3045       43       96        0     2906
  744 xorg-docs-core                     	    3045        0        0        0     3045
  745 aspell-en                          	    3042      106     2748      188        0
  746 gstreamer1.0-libav                 	    3041       14       28        0     2999
  747 cups-common                        	    3040        0        0        0     3040
  748 libjemalloc2                       	    3039       79      106        0     2854
  749 libasound2-plugins                 	    3037      466     1555      104      912
  750 libsoundtouch1                     	    3037        8        6        0     3023
  751 zstd                               	    3036      216     2596      224        0
  752 hunspell-en-us                     	    3035       30     2712      213       80
  753 libsane-common                     	    3033        1        1        0     3031
  754 libwoff1                           	    3029      139      363       18     2509
  755 libldb2                            	    3028      202      361        4     2461
  756 libvo-aacenc0                      	    3028        2        6        0     3020
  757 libgstreamer-gl1.0-0               	    3023      125      336       18     2544
  758 liblerc4                           	    3023      543     1715      127      638
  759 libnice10                          	    3021        3        2        0     3016
  760 libldap-2.5-0                      	    3019      609     1889      125      396
  761 libwildmidi2                       	    3016       10       21        0     2985
  762 libwebp7                           	    3015      576     1814      131      494
  763 libnorm1                           	    3014       76      192        1     2745
  764 dbus-session-bus-common            	    3013        0        0        0     3013
  765 dbus-bin                           	    3012      454     2368      188        2
  766 dbus-daemon                        	    3012      730     2122      160        0
  767 dbus-system-bus-common             	    3011        0        2        0     3009
  768 libtiff6                           	    3011      523     1696      129      663
  769 libdc1394-25                       	    3010       21       50        1     2938
  770 libdbus-glib-1-2                   	    3009      224      736       20     2029
  771 libfuse3-3                         	    3002      455     1377       82     1088
  772 gtk2-engines                       	    3001       42      115        4     2840
  773 libgail18                          	    3001       74      196        5     2726
  774 gcc-12-base                        	    2999        0        0        0     2999
  775 libgraphene-1.0-0                  	    2998       64      215       16     2703
  776 libv4lconvert0                     	    2997       24       21        1     2951
  777 libsrtp2-1                         	    2994       14       48        0     2932
  778 libv4l-0                           	    2994       24       21        1     2948
  779 libpocketsphinx3                   	    2990       47      129        1     2813
  780 gir1.2-harfbuzz-0.0                	    2988      246      617       27     2098
  781 python3-pyparsing                  	    2984       42     2725      217        0
  782 gstreamer1.0-plugins-bad           	    2983       35       50        0     2898
  783 libvte-2.91-common                 	    2983        0        1        0     2982
  784 bubblewrap                         	    2979      425     2387      167        0
  785 libmagickcore-6.q16-6              	    2976       40       75        0     2861
  786 xorg                               	    2976        0        0        0     2976
  787 cups-client                        	    2975       85     2686      204        0
  788 libvolume-key1                     	    2974      503     1729      127      615
  789 libvte-2.91-0                      	    2971      210      744       63     1954
  790 libmagickwand-6.q16-6              	    2969       40       71        0     2858
  791 dmz-cursor-theme                   	    2968        0        0        0     2968
  792 libdv4                             	    2967        4        3        0     2960
  793 libsmbclient                       	    2965       65      125        1     2774
  794 upower                             	    2965      571     2247      147        0
  795 libexttextcat-data                 	    2963        0        0        0     2963
  796 libaom3                            	    2961      499     1536      109      817
  797 gnome-extra-icons                  	    2959        0        0        0     2959
  798 libxres1                           	    2959      339     1176       87     1357
  799 gstreamer1.0-gl                    	    2957        3        5        0     2949
  800 libjs-jquery                       	    2957        0        0        0     2957
  801 python3-charset-normalizer         	    2957       68     2646      243        0
  802 libupower-glib3                    	    2953      509     1714      123      607
  803 libidn12                           	    2952      390      896       32     1634
  804 libexttextcat-2.0-0                	    2951       42       74        1     2834
  805 desktop-base                       	    2948        0        0        0     2948
  806 dns-root-data                      	    2948        0        0        0     2948
  807 libgusb2                           	    2946      441     1431      102      972
  808 libboost-iostreams1.74.0           	    2944       58      113        1     2772
  809 sane-utils                         	    2942      593     2203      146        0
  810 libgphoto2-6                       	    2939      365     2415      159        0
  811 xserver-xorg-video-qxl             	    2939       15     2694      230        0
  812 p7zip-full                         	    2938       92     2579      182       85
  813 util-linux-locales                 	    2938        2        0        0     2936
  814 libgcr-ui-3-1                      	    2935        9       40        1     2885
  815 libjxr0                            	    2935        0        6        0     2929
  816 libpulsedsp                        	    2935        0        0        0     2935
  817 librevenge-0.0-0                   	    2927        5        7        1     2914
  818 libpoppler-glib8                   	    2926       49      177       14     2686
  819 pulseaudio-utils                   	    2926      362     2377      187        0
  820 libmhash2                          	    2925       43       76        1     2805
  821 p7zip                              	    2923       35     2639      191       58
  822 patch                              	    2921       81     2726      114        0
  823 libgphoto2-port12                  	    2919      269      822       35     1793
  824 libqrencode4                       	    2916      146      404       19     2347
  825 xterm                              	    2916      117     2621      178        0
  826 gcr                                	    2913      199     2528      186        0
  827 libwpd-0.10-10                     	    2908        5        7        1     2895
  828 fuse3                              	    2906      503     2239      164        0
  829 libwpg-0.3-3                       	    2906        5        7        1     2893
  830 at-spi2-common                     	    2904      241      847       64     1752
  831 libelogind-compat                  	    2904        0        0        0     2904
  832 gstreamer1.0-plugins-ugly          	    2897        4        6        0     2887
  833 libduktape207                      	    2895      580     1822      137      356
  834 rtkit                              	    2895      542     2203      150        0
  835 pinentry-gnome3                    	    2894       53     2633      208        0
  836 timgm6mb-soundfont                 	    2891        0        0        0     2891
  837 usb-modeswitch-data                	    2891      207     2483      201        0
  838 libao-common                       	    2890        0        0        0     2890
  839 usb-modeswitch                     	    2889       43     2631      215        0
  840 libao4                             	    2888       21      102        1     2764
  841 libinstpatch-1.0-2                 	    2888       13       30        0     2845
  842 liblangtag-common                  	    2885        0        0        0     2885
  843 liblangtag1                        	    2883       41       75        1     2766
  844 colord-data                        	    2880        0        0        0     2880
  845 libgif7                            	    2880       56      107        0     2717
  846 libeot0                            	    2879       41       75        1     2762
  847 libaudio2                          	    2877       12       23        3     2839
  848 libsidplay1v5                      	    2877        0        0        0     2877
  849 libspa-0.2-modules                 	    2875      462     1465       88      860
  850 libmythes-1.2-0                    	    2874       41       76        1     2756
  851 libcolorhug2                       	    2873        0        0        0     2873
  852 python3-xdg                        	    2871       97     2576      198        0
  853 libparted-fs-resize0               	    2870      448     1597      115      710
  854 libodfgen-0.1-1                    	    2869        4        5        1     2859
  855 libpgm-5.3-0                       	    2869       76      192        1     2600
  856 polkitd                            	    2869      583     2128      158        0
  857 libsonic0                          	    2868       79      217       16     2556
  858 libspandsp2                        	    2868        5       15        0     2848
  859 openssh-sftp-server                	    2866      152     2481      233        0
  860 desktop-file-utils                 	    2865      288     2408      169        0
  861 firefox-esr                        	    2863      354     2260      249        0
  862 libwnck-3-common                   	    2862      156      568       46     2092
  863 openssh-server                     	    2862      816     1966       80        0
  864 libvisio-0.1-1                     	    2861        5        5        1     2850
  865 libcdr-0.1-1                       	    2859        5        5        1     2848
  866 liblouis20                         	    2856      171      489       24     2172
  867 libetonyek-0.1-1                   	    2855        4        5        1     2845
  868 fonts-opensymbol                   	    2854       34       75        1     2744
  869 libabw-0.1-1                       	    2853        4        5        1     2843
  870 libmwaw-0.3-3                      	    2853        4        5        1     2843
  871 libwnck-3-0                        	    2853      309     1055       77     1412
  872 inetutils-telnet                   	    2852       70     2538      244        0
  873 libmagickcore-6.q16-6-extra        	    2850        2       10        0     2838
  874 libpython3.11-minimal              	    2849      485     2181      183        0
  875 libe-book-0.1-1                    	    2848        4        5        1     2838
  876 ure                                	    2847      186     2323      338        0
  877 libatopology2                      	    2845        0        0        0     2845
  878 libpython3.11-stdlib               	    2845      545     2132      168        0
  879 libwps-0.4-4                       	    2844        4        5        1     2834
  880 lp-solve                           	    2842       31     2625      186        0
  881 gtk3-nooverlayscrollbar            	    2841        0        0        0     2841
  882 libieee1284-3                      	    2840        1        4        0     2835
  883 python3.11-minimal                 	    2840      549     2124      167        0
  884 rsync                              	    2838      228     2452      158        0
  885 libmm-glib0                        	    2837      498     1763      131      445
  886 binutils                           	    2836      229     2505      102        0
  887 cups-server-common                 	    2836        0        0        0     2836
  888 coinor-libcgl1                     	    2835        0        0        0     2835
  889 coinor-libclp1                     	    2835        0        0        0     2835
  890 colord                             	    2834      485     2182      167        0
  891 libmfx1                            	    2833      430     1461       86      856
  892 libltc11                           	    2832        0        0        0     2832
  893 python3.11                         	    2832       58     2525      249        0
  894 runit-helper                       	    2831      169     2539      122        1
  895 cups-ppdc                          	    2825       32     2578      215        0
  896 libpcaudio0                        	    2825       79      217       16     2513
  897 libreoffice-common                 	    2825      193     2305      327        0
  898 pocketsphinx-en-us                 	    2825        0        0        0     2825
  899 coinor-libosi1v5                   	    2824        0        0        0     2824
  900 libgav1-1                          	    2823      226      610       33     1954
  901 libgupnp-igd-1.0-4                 	    2823        4        4        0     2815
  902 libyuv0                            	    2823      229      617       34     1943
  903 libblockdev-utils2                 	    2822      459     1654      119      590
  904 libzbar0                           	    2822        1        0        0     2821
  905 manpages-dev                       	    2821        0        0        0     2821
  906 cups-daemon                        	    2820      600     2074      146        0
  907 cups-filters-core-drivers          	    2820      134     2468      218        0
  908 libblockdev-loop2                  	    2820      458     1651      120      591
  909 libblockdev-swap2                  	    2820      458     1651      120      591
  910 libblockdev2                       	    2820      459     1652      119      590
  911 perl-modules-5.36                  	    2820      237     2388      195        0
  912 cups-filters                       	    2819      111     2488      220        0
  913 libblockdev-part-err2              	    2819      458     1651      120      590
  914 coinor-libcoinutils3v5             	    2818        0        0        0     2818
  915 libperl5.36                        	    2818      162     2442      213        1
  916 libreoffice-core                   	    2817      188     2296      333        0
  917 libblockdev-part2                  	    2816      457     1651      120      588
  918 libfreehand-0.1-1                  	    2816        4        4        1     2807
  919 espeak-ng-data                     	    2815        0        0        0     2815
  920 libreoffice-base-core              	    2813       46     2373      394        0
  921 libmspub-0.1-1                     	    2812        4        4        1     2803
  922 pkexec                             	    2812      162     2428      222        0
  923 libespeak-ng1                      	    2811       79      216       16     2500
  924 libnumbertext-data                 	    2810        0        0        0     2810
  925 libopengl0                         	    2810      161      452       21     2176
  926 libsane1                           	    2810      595     2070      145        0
  927 cups-core-drivers                  	    2809       61     2534      214        0
  928 p11-kit-modules                    	    2805       31       92        2     2680
  929 p11-kit                            	    2804      165     2450      189        0
  930 cups                               	    2803      128     2465      210        0
  931 libblockdev-fs2                    	    2803      458     1644      118      583
  932 libpagemaker-0.0-0                 	    2803        4        4        1     2794
  933 libnumbertext-1.0-0                	    2802       41       72        1     2688
  934 libx265-199                        	    2800      440     1424       97      839
  935 libcolamd2                         	    2799        2       11        0     2786
  936 libreoffice-writer                 	    2798      154     2301      343        0
  937 libcbor0.8                         	    2797       27      167       18     2585
  938 libstaroffice-0.0-0                	    2796        4        5        1     2786
  939 libgexiv2-2                        	    2794       12       39        2     2741
  940 libreoffice-style-colibre          	    2791      168     2281      342        0
  941 libepubgen-0.1-1                   	    2790        4        5        1     2780
  942 libssl3                            	    2790      678     1907      137       68
  943 libyaml-0-2                        	    2790      238      676       38     1838
  944 gvfs-common                        	    2789      239     2379      170        1
  945 libcupsfilters1                    	    2789      478     1701      127      483
  946 libopenni2-0                       	    2788      207     2386      194        1
  947 libreoffice-calc                   	    2783      141     2289      353        0
  948 gvfs-libs                          	    2780      486     1624      110      560
  949 libboost-thread1.74.0              	    2780       61      112        1     2606
  950 xdg-dbus-proxy                     	    2779       60     2537      182        0
  951 libcdio19                          	    2777       38       80        1     2658
  952 fonts-liberation2                  	    2776      261      807       44     1664
  953 libpackagekit-glib2-18             	    2773      134      437       28     2174
  954 libdpkg-perl                       	    2771       79     2575      117        0
  955 libsphinxbase3                     	    2770       38      100        1     2631
  956 xdg-desktop-portal                 	    2770      445     2166      157        2
  957 gvfs-daemons                       	    2768      528     2104      136        0
  958 libbrlapi0.8                       	    2768       24       23        2     2719
  959 gvfs                               	    2767      485     1618      109      555
  960 libspeechd2                        	    2765       96      241       15     2413
  961 libatkmm-1.6-1v5                   	    2763       41       88        3     2631
  962 libcairomm-1.0-1v5                 	    2763       42       88        3     2630
  963 libmjpegutils-2.1-0                	    2763        0        0        0     2763
  964 libreoffice-math                   	    2763       65     2321      377        0
  965 libblockdev-crypto2                	    2762      450     1625      119      568
  966 libmpeg2encpp-2.1-0                	    2762        0        0        0     2762
  967 libmplex2-2.1-0                    	    2762        0        0        0     2762
  968 libpangomm-1.4-1v5                 	    2761       42       88        3     2628
  969 libgs-common                       	    2759        0        0        0     2759
  970 liblzo2-2                          	    2759      184      398       15     2162
  971 libdav1d6                          	    2758      442     1434      104      778
  972 liblouisutdml-data                 	    2758        0        0        0     2758
  973 libmbim-glib4                      	    2758      476     1703      128      451
  974 gir1.2-gstreamer-1.0               	    2756       18       71        1     2666
  975 libmbim-proxy                      	    2755        6      132        1     2616
  976 gir1.2-notify-0.7                  	    2754      350     1115       86     1203
  977 libreoffice-draw                   	    2754       92     2300      362        0
  978 pulseaudio                         	    2754      514     2095      145        0
  979 deepsea-icon-theme                 	    2752        0        0        0     2752
  980 libgs10-common                     	    2752        0        0        0     2752
  981 libqmi-proxy                       	    2752        2      119        1     2630
  982 liblouisutdml-bin                  	    2751       25     2524      202        0
  983 xdg-desktop-portal-gtk             	    2750      442     2150      156        2
  984 ppp                                	    2749       47     2486      216        0
  985 cups-ipp-utils                     	    2748       69     2470      209        0
  986 libgs10                            	    2748        6        6        0     2736
  987 libqxp-0.0-0                       	    2747        4        4        1     2738
  988 libqmi-glib5                       	    2745      476     1705      128      436
  989 libsuitesparseconfig5              	    2745        2       11        0     2732
  990 libzmf-0.0-0                       	    2745        4        4        1     2736
  991 libpam-gnome-keyring               	    2743      548     1808      131      256
  992 libreoffice-impress                	    2742       88     2289      365        0
  993 libdvdread8                        	    2738       29       73        0     2636
  994 python3-uno                        	    2737      160     2251      326        0
  995 gnome-keyring                      	    2736      476     2098      162        0
  996 libsnmp40                          	    2734       45       93        0     2596
  997 libxmlsec1                         	    2728       34       74        0     2620
  998 libbabl-0.1-0                      	    2720        3       12        0     2705
  999 libdbusmenu-glib4                  	    2719      401     1339       93      886
 1000 libregexp-ipv6-perl                	    2718       51     2471      196        0
 1001 coinor-libcoinmp1v5                	    2715        0        0        0     2715
 1002 gir1.2-packagekitglib-1.0          	    2715       23     2483      209        0
 1003 binutils-common                    	    2708        0        0        0     2708
 1004 dnsmasq-base                       	    2708      144     2336      228        0
 1005 libnm0                             	    2708      408     1492      115      693
 1006 libbinutils                        	    2707       10       17        3     2677
 1007 modemmanager                       	    2707      508     2054      145        0
 1008 libenchant-2-2                     	    2706      166      436       20     2084
 1009 cups-browsed                       	    2704      541     2014      149        0
 1010 librav1e0                          	    2704      438     1441      104      721
 1011 gimp-data                          	    2702        2        5        0     2695
 1012 libgpgmepp6                        	    2700       97      304       15     2284
 1013 librasqal3                         	    2699       38       72        1     2588
 1014 usbutils                           	    2699       89     2486      124        0
 1015 librdf0                            	    2698       38       72        1     2587
 1016 cpp-12                             	    2694      323     2209      162        0
 1017 libjs-underscore                   	    2692        0        0        0     2692
 1018 libopenexr-3-1-30                  	    2692       91      313       20     2268
 1019 ipp-usb                            	    2685      197     2279      209        0
 1020 libx264-164                        	    2678      434     1432      100      712
 1021 libturbojpeg0                      	    2675        3       16        0     2656
 1022 uno-libs-private                   	    2675      174     2165      336        0
 1023 libwmflite-0.2-7                   	    2673        2       13        0     2658
 1024 libclucene-core1v5                 	    2671       37       72        1     2561
 1025 libxcb-damage0                     	    2665      112      397       24     2132
 1026 libkpathsea6                       	    2663        0        1        0     2662
 1027 libclucene-contribs1v5             	    2662       37       71        1     2553
 1028 libcjson1                          	    2661       66      170        1     2424
 1029 libsvtav1enc1                      	    2660      442     1444      103      671
 1030 libsynctex2                        	    2655       97      253       11     2294
 1031 gir1.2-atspi-2.0                   	    2651       11       67        9     2564
 1032 libfontembed1                      	    2651        0        0        0     2651
 1033 libfile-fcntllock-perl             	    2650        0        0        0     2650
 1034 libboost-filesystem1.74.0          	    2649       64      126        1     2458
 1035 libdbusmenu-gtk3-4                 	    2647      389     1295       90      873
 1036 libgegl-common                     	    2647        2        5        0     2640
 1037 coinor-libcbc3                     	    2643        0        0        0     2643
 1038 libpipewire-0.3-0                  	    2643      420     1384       79      760
 1039 librist4                           	    2638       64      172        1     2401
 1040 gnome-keyring-pkcs11               	    2636        0        0        0     2636
 1041 grub-pc-bin                        	    2633       37     2460      136        0
 1042 libglib-perl                       	    2626        7        9        0     2610
 1043 libxklavier16                      	    2626      390     1287       90      859
 1044 linux-libc-dev                     	    2626       86     2277      263        0
 1045 libc-dev-bin                       	    2622       46     2356      220        0
 1046 libsrt1.5-gnutls                   	    2622       60      165        1     2396
 1047 lynx                               	    2622       74     2346      193        9
 1048 fonts-symbola                      	    2620      165      387        9     2059
 1049 libcairo-perl                      	    2619        4        2        0     2613
 1050 libc6-dev                          	    2618      324     2144      150        0
 1051 libjxl0.7                          	    2618      391     1345       97      785
 1052 libayatana-indicator3-7            	    2617      388     1283       90      856
 1053 libglibmm-2.4-1v5                  	    2616       37       81        3     2495
 1054 libayatana-ido3-0.4-0              	    2615      388     1282       90      855
 1055 lynx-common                        	    2615        4       15        0     2596
 1056 libcanberra-gtk3-0                 	    2613      157      520       29     1907
 1057 task-desktop                       	    2612        0        0        0     2612
 1058 libxmlsec1-nss                     	    2611       27       51        0     2533
 1059 libjpeg-turbo-progs                	    2609       29     2390      190        0
 1060 python3-cups                       	    2609      379     2077      153        0
 1061 libmetis5                          	    2608        2       11        0     2595
 1062 python3-cupshelpers                	    2608      101     2316      191        0
 1063 cups-pk-helper                     	    2607        0        0        0     2607
 1064 libabsl20220623                    	    2606      226      630       34     1716
 1065 libgimp2.0                         	    2604        3       11        0     2590
 1066 libitm1                            	    2602        0        0        0     2602
 1067 libllvm15                          	    2602      459     1573      119      451
 1068 libmanette-0.2-0                   	    2602      123      336       18     2125
 1069 libzimg2                           	    2602       40      114        1     2447
 1070 enchant-2                          	    2601       36     2380      185        0
 1071 libexiv2-27                        	    2601       54      237       17     2293
 1072 system-config-printer-common       	    2599      142     2280      177        0
 1073 libamd2                            	    2597        2       11        0     2584
 1074 libcamd2                           	    2596        2       11        0     2583
 1075 libccolamd2                        	    2596        2       11        0     2583
 1076 libcholmod3                        	    2596        2       11        0     2583
 1077 libdecor-0-0                       	    2596       35       90        3     2468
 1078 libwacom9                          	    2596      527     1740      128      201
 1079 gir1.2-secret-1                    	    2594       38       67        0     2489
 1080 libdirectfb-1.7-7                  	    2593        0        3        0     2590
 1081 libumfpack5                        	    2593        2       11        0     2580
 1082 system-config-printer-udev         	    2592      203     2210      178        1
 1083 libigdgmm12                        	    2584      104      253        4     2223
 1084 libcanberra-gtk3-module            	    2583       34       83        8     2458
 1085 make                               	    2583      163     2343       77        0
 1086 libavif15                          	    2580      201      576       31     1772
 1087 libgtkmm-3.0-1v5                   	    2579       36       79        3     2461
 1088 curl                               	    2578      172     2197      209        0
 1089 libgc1                             	    2577       18       21        1     2537
 1090 python3-speechd                    	    2572       23     2345      204        0
 1091 iptables                           	    2565      255     2264       46        0
 1092 wireless-tools                     	    2564      384     2065      115        0
 1093 libxcvt0                           	    2563      538     1751      128      146
 1094 libraw20                           	    2561       77      283       16     2185
 1095 libswresample4                     	    2561      373     1296       95      797
 1096 binutils-x86-64-linux-gnu          	    2558      217     2242       99        0
 1097 libseat1                           	    2554      536     1726      119      173
 1098 gcc                                	    2546      201     2255       90        0
 1099 libcc1-0                           	    2544        0        0        0     2544
 1100 libxcb-render-util0                	    2541      311      828       32     1370
 1101 libboost-locale1.74.0              	    2538       43       84        1     2410
 1102 libxcb-image0                      	    2538      303      819       31     1385
 1103 libdotconf0                        	    2537       79      219       15     2224
 1104 libflac12                          	    2537      418     1450      113      556
 1105 gir1.2-wnck-3.0                    	    2535        9       13        0     2513
 1106 libgegl-0.4-0                      	    2533        3       11        0     2519
 1107 libsoup-3.0-common                 	    2532        5       11        1     2515
 1108 libctf-nobfd0                      	    2531        0        0        0     2531
 1109 python3-smbc                       	    2531       86     2240      205        0
 1110 libctf0                            	    2530        0        0        0     2530
 1111 libxcb-keysyms1                    	    2530      303      829       34     1364
 1112 libhwy1                            	    2528      387     1330       96      715
 1113 speech-dispatcher-audio-plugins    	    2525       75      211       14     2225
 1114 libsoup-3.0-0                      	    2524      163      394       25     1942
 1115 grub-pc                            	    2523       35     2356      132        0
 1116 speech-dispatcher                  	    2523      204     2139      179        1
 1117 libvpx7                            	    2521      394     1343      100      684
 1118 libxcb-icccm4                      	    2521      307      835       32     1347
 1119 libpoppler-cpp0v5                  	    2511        0        0        0     2511
 1120 libiw30                            	    2506       23       79        3     2401
 1121 libubsan1                          	    2501        0        0        0     2501
 1122 libteamdctl0                       	    2499      491     1741      129      138
 1123 lm-sensors                         	    2497      381     1999      117        0
 1124 python3-gi-cairo                   	    2496      442     1932      121        1
 1125 python3-louis                      	    2495       57     2227      211        0
 1126 synaptic                           	    2494       97     2204      193        0
 1127 libuno-sal3                        	    2493      200     2026      267        0
 1128 libcodec2-1.0                      	    2492      376     1309       95      712
 1129 libpython3.11                      	    2491       64      152        2     2273
 1130 polkitd-pkla                       	    2491      319     2003      169        0
 1131 python3-brlapi                     	    2491       21     2254      216        0
 1132 libndp0                            	    2489      479     1702      130      178
 1133 libpcre2-16-0                      	    2489      300      811       36     1342
 1134 libpoppler126                      	    2489       63      173       13     2240
 1135 xbrlapi                            	    2489       67     2227      195        0
 1136 speech-dispatcher-espeak-ng        	    2487       79      217       15     2176
 1137 libuno-salhelpergcc3-3             	    2486      199     2021      266        0
 1138 libuno-cppu3                       	    2485      199     2021      265        0
 1139 libuno-purpenvhelpergcc3-3         	    2483       92     2098      293        0
 1140 libuno-cppuhelpergcc3-3            	    2481      199     2016      266        0
 1141 sound-icons                        	    2477        0        0        0     2477
 1142 liblsan0                           	    2476        0        0        0     2476
 1143 hyphen-en-us                       	    2469        0        0        0     2469
 1144 libxcb-xinerama0                   	    2458      277      817       30     1334
 1145 libavutil57                        	    2456      360     1261       95      740
 1146 libglib2.0-bin                     	    2454      279     2030      145        0
 1147 network-manager                    	    2454      494     1819      141        0
 1148 libextutils-depends-perl           	    2452       13     2254      185        0
 1149 mythes-en-us                       	    2452        0        0        0     2452
 1150 libayatana-appindicator3-1         	    2448      351     1217       88      792
 1151 node-normalize.css                 	    2443        2      428       11     2002
 1152 libfluidsynth3                     	    2441        9       26        0     2406
 1153 libxpresent1                       	    2439      319     1112       83      925
 1154 libglib-object-introspection-perl  	    2436       36     2217      183        0
 1155 perl-tk                            	    2436       25     2214      197        0
 1156 libgssdp-1.6-0                     	    2435        3        4        0     2428
 1157 libgtk3-perl                       	    2435       70     2187      178        0
 1158 libimath-3-1-29                    	    2435       80      290       16     2049
 1159 libgupnp-1.6-0                     	    2433        3        4        0     2426
 1160 libcairo-gobject-perl              	    2431        4        2        0     2425
 1161 usbmuxd                            	    2430      208     2098      124        0
 1162 exfatprogs                         	    2422       47     2146      229        0
 1163 libldacbt-enc2                     	    2422       23       55        2     2342
 1164 liblouisutdml9                     	    2422        0        0        0     2422
 1165 libjs-sphinxdoc                    	    2421        0        0        0     2421
 1166 xcvt                               	    2416       31     2173      212        0
 1167 libmtp-common                      	    2415      213     2081      121        0
 1168 orca                               	    2414       27     2193      194        0
 1169 fonts-dejavu-extra                 	    2413      287      727       17     1382
 1170 libmbedcrypto7                     	    2405       60      160        1     2184
 1171 libopenh264-7                      	    2404       42       88        2     2272
 1172 libatk-adaptor                     	    2402       73      194        5     2130
 1173 libgtop2-common                    	    2399        0        0        0     2399
 1174 libreoffice-help-common            	    2397        2      149       45     2201
 1175 libdecor-0-plugin-1-cairo          	    2391        0        0        0     2391
 1176 libfreeaptx0                       	    2391       25       58        2     2306
 1177 liblrdf0                           	    2387        3        4        0     2380
 1178 libgxps2                           	    2386        0        1        0     2385
 1179 libavformat59                      	    2384       52      132        1     2199
 1180 libqt5svg5                         	    2384      255      751       33     1345
 1181 libswscale6                        	    2383       57      111        1     2214
 1182 libmad0                            	    2378       25       53        1     2299
 1183 libsoup-gnome2.4-1                 	    2376       18       51        1     2306
 1184 libavcodec59                       	    2372      347     1212       95      718
 1185 libpipewire-0.3-common             	    2371        8       23        2     2338
 1186 libcrypt-dev                       	    2370       38     2241       91        0
 1187 libsoup2.4-common                  	    2364        0        0        0     2364
 1188 xkbset                             	    2364       25     2146      193        0
 1189 gir1.2-polkit-1.0                  	    2362       11       51        9     2291
 1190 netpbm                             	    2362       40     2192      130        0
 1191 python3-pyatspi                    	    2356       11     2163      182        0
 1192 g++                                	    2349      102     2149       98        0
 1193 libmtp-runtime                     	    2348      259     1972      117        0
 1194 mobile-broadband-provider-info     	    2348        0        0        0     2348
 1195 libpostproc56                      	    2347       34       77        1     2235
 1196 system-config-printer              	    2344      265     1939      140        0
 1197 libhandy-1-0                       	    2338       23       36        1     2278
 1198 libplacebo208                      	    2335       33       87        1     2214
 1199 libdouble-conversion3              	    2333      323      852       37     1121
 1200 libplist3                          	    2326      389     1246       59      632
 1201 mysql-common                       	    2322        0        0        0     2322
 1202 clearlooks-phenix-sapphire-theme   	    2320        0        0        0     2320
 1203 liblua5.2-0                        	    2316       47       95        0     2174
 1204 qttranslations5-l10n               	    2315      214      648       30     1423
 1205 libavfilter8                       	    2312       29       75        1     2207
 1206 python3-distro                     	    2306       30     2145      131        0
 1207 libimobiledevice6                  	    2302      380     1234       58      630
 1208 libgtop-2.0-11                     	    2301      110      320       12     1859
 1209 dpkg-dev                           	    2298       73     2131       94        0
 1210 libreoffice-help-en-us             	    2294        0        0        0     2294
 1211 libntfs-3g89                       	    2290       26       67        2     2195
 1212 libgspell-1-common                 	    2271        1        3        1     2266
 1213 fonts-lato                         	    2260       75      119        0     2066
 1214 libgtk3-nocsd0                     	    2257      375     1240       98      544
 1215 libxcb-xinput0                     	    2254      283      772       34     1165
 1216 qt5-gtk-platformtheme              	    2249      111      268        7     1863
 1217 gtk3-nocsd                         	    2248       28     2025      195        0
 1218 libzxing2                          	    2248       83      285       18     1862
 1219 libqrtr-glib0                      	    2247      408     1452      120      267
 1220 mariadb-common                     	    2242        0        0        0     2242
 1221 fakeroot                           	    2239       53     2096       90        0
 1222 libfakeroot                        	    2239        0        0        0     2239
 1223 libmd4c0                           	    2238      285      769       35     1149
 1224 imagemagick-6.q16                  	    2237       91     2027      105       14
 1225 liberror-perl                      	    2236       48     2070      118        0
 1226 ienglish-common                    	    2235       21     1798      124      292
 1227 imagemagick                        	    2233        0       13        0     2220
 1228 libxnvctrl0                        	    2232       75      142        3     2012
 1229 build-essential                    	    2231        0        0        0     2231
 1230 sane-airscan                       	    2226       26     2006      194        0
 1231 bluez                              	    2224      444     1677      103        0
 1232 libjavascriptcoregtk-4.0-18        	    2222       44      123        1     2054
 1233 libbox2d2                          	    2221        0        0        0     2221
 1234 libmtp9                            	    2211       14       30        0     2167
 1235 libqt5qml5                         	    2211      159      523       29     1500
 1236 iamerican                          	    2208       40     2043      125        0
 1237 libqt5core5a                       	    2208      247      734       32     1195
 1238 libtagc0                           	    2207      188      741       61     1217
 1239 libqt5dbus5                        	    2203      236      721       32     1214
 1240 liblua5.3-0                        	    2202       54      124        2     2022
 1241 fonts-mathjax                      	    2201       42       69        0     2090
 1242 libwebkit2gtk-4.0-37               	    2201       26       70        1     2104
 1243 libqt5network5                     	    2200      220      682       32     1266
 1244 ibritish                           	    2199       37     2037      125        0
 1245 libqt5quick5                       	    2199      143      447       22     1587
 1246 libjs-mathjax                      	    2193        0        0        0     2193
 1247 libmariadb3                        	    2193       66      136        1     1990
 1248 libqt5widgets5                     	    2192      232      700       32     1228
 1249 libqt5gui5                         	    2190      232      701       32     1225
 1250 libneon27                          	    2186        1        6        0     2179
 1251 libept1.6.0                        	    2182        7       68        6     2101
 1252 task-english                       	    2182        0        0        0     2182
 1253 git-man                            	    2180        0        0        0     2180
 1254 libtirpc-dev                       	    2180       26     2076       77        1
 1255 libresid-builder0c2a               	    2179       10       16        0     2153
 1256 libsidplay2                        	    2179       10       16        0     2153
 1257 libmbim-utils                      	    2175       28     1941      206        0
 1258 git                                	    2174      393     1697       84        0
 1259 libqmi-utils                       	    2173       28     1939      206        0
 1260 fonts-liberation                   	    2171      227      527        6     1411
 1261 libalgorithm-diff-perl             	    2171       19     2069       83        0
 1262 gstreamer1.0-gtk3                  	    2165        1        4        0     2160
 1263 libnsl-dev                         	    2165       21     2071       73        0
 1264 libalgorithm-merge-perl            	    2161       18     2062       81        0
 1265 zip                                	    2155       82     1977       96        0
 1266 libalgorithm-diff-xs-perl          	    2149        0        0        0     2149
 1267 task-ssh-server                    	    2149        0        0        0     2149
 1268 xxd                                	    2145       47     2004       94        0
 1269 libjavascriptcoregtk-4.1-0         	    2143       89      247       18     1789
 1270 libreoffice-gtk3                   	    2136      128     1739      269        0
 1271 libwebkit2gtk-4.1-0                	    2133       89      247       18     1779
 1272 libip4tc2                          	    2132       14       30        0     2088
 1273 libgtksourceview-4-common          	    2130       14       37        3     2076
 1274 libgtksourceview-4-0               	    2127       30       71        3     2023
 1275 libxfce4util-common                	    2127        0        1        1     2125
 1276 fonts-dejavu                       	    2119        0        0        0     2119
 1277 libjte2                            	    2118        0        0        0     2118
 1278 xfconf                             	    2117      221     1774      122        0
 1279 libnfs13                           	    2114        8       26        0     2080
 1280 libqpdf29                          	    2114        2        2        0     2110
 1281 libxfce4util7                      	    2112      293     1012       75      732
 1282 libusbmuxd6                        	    2106      381     1237       57      431
 1283 libip6tc2                          	    2103        0        1        0     2102
 1284 libjim0.81                         	    2100        0        0        0     2100
 1285 python3-mutagen                    	    2100       44     1912      144        0
 1286 libxfce4ui-common                  	    2090      134      507       45     1404
 1287 libqt5qmlmodels5                   	    2089      143      447       22     1477
 1288 libgtksourceview-3.0-common        	    2087        3       11        1     2072
 1289 libgprofng0                        	    2085        0        0        0     2085
 1290 libxfce4util-bin                   	    2082       19     1924      139        0
 1291 libgspell-1-2                      	    2079       58      194        9     1818
 1292 libnotify-bin                      	    2078       56     1869      153        0
 1293 libxfce4ui-2-0                     	    2077      290     1002       75      710
 1294 libburn4                           	    2076        0        0        0     2076
 1295 libgtksourceview-3.0-1             	    2076        9       24        1     2042
 1296 libqt5waylandclient5               	    2075      152      493       23     1407
 1297 libisofs6                          	    2074        0        0        0     2074
 1298 libkeybinder-3.0-0                 	    2067      247      874       71      875
 1299 libmng1                            	    2062      171      502       27     1362
 1300 libnma0                            	    2062      321     1145       86      510
 1301 liborcus-parser-0.17-0             	    2053       27       53        1     1972
 1302 liborcus-0.17-0                    	    2052       27       53        1     1971
 1303 libid3tag0                         	    2050       20       57        0     1973
 1304 gtk2-engines-pixbuf                	    2047       52      116        2     1877
 1305 libcdio-cdda2                      	    2044       31       63        1     1949
 1306 libunbound8                        	    2042      432     1042       36      532
 1307 sgml-data                          	    2039        0        0        0     2039
 1308 libcaja-extension1                 	    2038       77      224       12     1725
 1309 pavucontrol                        	    2035       75     1834      126        0
 1310 xscreensaver-data                  	    2034       41      452       13     1528
 1311 gir1.2-gst-plugins-base-1.0        	    2032        6       51        1     1974
 1312 libqt5x11extras5                   	    2027      208      644       29     1146
 1313 docbook-xml                        	    2026        0        0        0     2026
 1314 htop                               	    2022      130     1802       90        0
 1315 libqt5waylandcompositor5           	    2022        7       30        0     1985
 1316 fonts-font-awesome                 	    2019       38       90        3     1888
 1317 python3-cffi-backend               	    2019      147     1761      110        1
 1318 libnma-common                      	    2018       11       40        0     1967
 1319 libcdio-paranoia2                  	    2017       30       59        1     1927
 1320 python3-pyinotify                  	    2016       91     1795      130        0
 1321 qtwayland5                         	    2012       18      131       12     1851
 1322 atril-common                       	    2008        5        9        0     1994
 1323 libc-devtools                      	    2002       27     1807      168        0
 1324 libxfconf-0-3                      	    2001      291     1002       75      633
 1325 atril                              	    2000      172     1693      135        0
 1326 libntlm0                           	    1998       26       56        0     1916
 1327 xscreensaver                       	    1990      305     1563      122        0
 1328 rpcsvc-proto                       	    1986       41     1863       82        0
 1329 node-clipboard                     	    1984        0        0        0     1984
 1330 node-prismjs                       	    1984        0        0        0     1984
 1331 gstreamer1.0-alsa                  	    1975       12       21        0     1942
 1332 udev                               	    1973        7       53        1     1912
 1333 gir1.2-handy-1                     	    1964        2        2        1     1959
 1334 network-manager-gnome              	    1964      314     1493      109       48
 1335 libasan8                           	    1957        0        0        0     1957
 1336 libstemmer0d                       	    1957      201      625       37     1094
 1337 libva-wayland2                     	    1957       20       55        0     1882
 1338 libexo-common                      	    1952        0        0        0     1952
 1339 xfwm4                              	    1949      281     1555      113        0
 1340 libsdl1.2debian                    	    1941        5       10        1     1925
 1341 python3-cryptography               	    1929      124     1702      103        0
 1342 libsepol1                          	    1927        1        6        0     1920
 1343 exo-utils                          	    1922      189     1620      113        0
 1344 libatrildocument3                  	    1921       75      225       10     1611
 1345 libatrilview3                      	    1916       11       19        0     1886
 1346 libssl1.1                          	    1916      238      516       10     1152
 1347 gir1.2-soup-2.4                    	    1910       13       48        1     1848
 1348 liblirc-client0                    	    1905       10       15        0     1880
 1349 libexo-2-0                         	    1897      235      829       66      767
 1350 libtsan2                           	    1882        0        0        0     1882
 1351 xsane-common                       	    1877        0        0        0     1877
 1352 xarchiver                          	    1870       73     1671      126        0
 1353 libxfce4panel-2.0-4                	    1869      224      812       63      770
 1354 libcddb2                           	    1866        3        5        0     1858
 1355 whois                              	    1866       80     1727       59        0
 1356 xsane                              	    1863       35     1676      152        0
 1357 screen                             	    1859      123     1679       57        0
 1358 thunar-data                        	    1846      123     1560      119       44
 1359 tumbler-common                     	    1843        6       68        7     1762
 1360 libgcc-12-dev                      	    1838        0        0        0     1838
 1361 w3m                                	    1837       38     1710       89        0
 1362 libgarcon-common                   	    1835        0        0        0     1835
 1363 gir1.2-keybinder-3.0               	    1834       80     1630      124        0
 1364 python3-lib2to3                    	    1832       23     1741       67        1
 1365 tumbler                            	    1832       25     1320      114      373
 1366 libgarcon-1-0                      	    1830      224      814       65      727
 1367 gir1.2-javascriptcoregtk-4.0       	    1829        4        8        0     1817
 1368 thunar                             	    1825      271     1448      106        0
 1369 avahi-utils                        	    1824       29     1642      153        0
 1370 liburing2                          	    1820      155      253        0     1412
 1371 geoip-database                     	    1815        0        0        0     1815
 1372 libpcre2-32-0                      	    1815      184      529       26     1076
 1373 gir1.2-webkit2-4.0                 	    1813        4        8        0     1801
 1374 tango-icon-theme                   	    1813        0        0        0     1813
 1375 libimagequant0                     	    1811       31       60        1     1719
 1376 libgnutls-dane0                    	    1809      331      925       31      522
 1377 thunar-volman                      	    1808      140     1549      119        0
 1378 xfce4-settings                     	    1808      272     1432      104        0
 1379 libpq5                             	    1805       56       93        0     1656
 1380 mousepad                           	    1804       72     1611      121        0
 1381 libthunarx-3-0                     	    1798      233      827       66      672
 1382 libqt5printsupport5                	    1794      154      441       23     1176
 1383 gcc-12                             	    1793      149     1588       56        0
 1384 exim4-config                       	    1791      242     1483       66        0
 1385 xfdesktop4-data                    	    1789      113      450       38     1188
 1386 exim4-base                         	    1787       86     1599      102        0
 1387 xfce4-notifyd                      	    1782      256     1421      105        0
 1388 xfce4-terminal                     	    1782      181     1489      112        0
 1389 xfdesktop4                         	    1779      252     1419      108        0
 1390 xfce4-session                      	    1778      261     1414      103        0
 1391 libqt5sql5-sqlite                  	    1776       95      325       15     1341
 1392 libqt5xml5                         	    1775      182      568       31      994
 1393 xfce4-panel                        	    1775      259     1410      106        0
 1394 exim4-daemon-light                 	    1760      488     1232       40        0
 1395 xfce4-appfinder                    	    1759       68     1573      118        0
 1396 libgeoip1                          	    1758       23       31        1     1703
 1397 sphinx-rtd-theme-common            	    1754        0        0        0     1754
 1398 libxcb-xv0                         	    1753        1        3        0     1749
 1399 libpathplan4                       	    1747        0        0        0     1747
 1400 libxfce4ui-utils                   	    1746       26     1599      121        0
 1401 libcdt5                            	    1744        0        0        0     1744
 1402 libcgraph6                         	    1744        0        0        0     1744
 1403 libgvc6                            	    1740       36     1598      106        0
 1404 libgvpr2                           	    1740        0        0        0     1740
 1405 xfce4                              	    1733        0        0        0     1733
 1406 gir1.2-gtksource-3.0               	    1732        8       12        0     1712
 1407 xfce4-pulseaudio-plugin            	    1732      195      714       62      761
 1408 python3-pil                        	    1731       96     1552       83        0
 1409 libminizip1                        	    1728       94      255       11     1368
 1410 libtumbler-1-0                     	    1726       18      116       14     1578
 1411 graphviz                           	    1720       36     1578      106        0
 1412 liblab-gamut1                      	    1719        0        0        0     1719
 1413 thunar-archive-plugin              	    1719      213      771       63      672
 1414 xfce4-power-manager-data           	    1719       99      401       36     1183
 1415 gsasl-common                       	    1717        0        0        0     1717
 1416 libgoa-1.0-0b                      	    1710      305      887       41      477
 1417 libgoa-1.0-common                  	    1710       34      150        9     1517
 1418 xfce4-power-manager                	    1710      262     1348      100        0
 1419 gimp                               	    1706      120     1504       82        0
 1420 xfce4-helpers                      	    1704        0        0        0     1704
 1421 xfce4-power-manager-plugins        	    1702      146      609       58      889
 1422 thunar-media-tags-plugin           	    1695      202      748       61      684
 1423 yelp-xsl                           	    1691        0        0        0     1691
 1424 xfburn                             	    1690       21     1551      118        0
 1425 xfce4-screenshooter                	    1689       68     1504      117        0
 1426 ristretto                          	    1688       52     1519      117        0
 1427 libgarcon-gtk3-1-0                 	    1686      223      811       65      587
 1428 libgdata-common                    	    1683        0        0        0     1683
 1429 libyelp0                           	    1681        0        0        0     1681
 1430 libtcl8.6                          	    1678       39       49        0     1590
 1431 yelp                               	    1678       37     1551       90        0
 1432 libvlc5                            	    1677       20       44        1     1612
 1433 libgdata22                         	    1676        2       30        1     1643
 1434 xfce4-taskmanager                  	    1676       26     1532      118        0
 1435 xfce4-clipman                      	    1673       38     1520      115        0
 1436 xfce4-cpugraph-plugin              	    1673       32       82        1     1558
 1437 xfce4-sensors-plugin               	    1671       46     1511      114        0
 1438 xfce4-systemload-plugin            	    1671       26       63        0     1582
 1439 xfce4-battery-plugin               	    1670       13       49        0     1608
 1440 xfce4-xkb-plugin                   	    1670       30      106        0     1534
 1441 xfce4-netload-plugin               	    1668       22       55        0     1591
 1442 libimlib2                          	    1667       62      148        3     1454
 1443 xfce4-cpufreq-plugin               	    1667       12       30        1     1624
 1444 libmypaint-common                  	    1666        0        0        0     1666
 1445 xfce4-clipman-plugin               	    1665       11       27        0     1627
 1446 xfce4-weather-plugin               	    1665       18       54        3     1590
 1447 xfce4-fsguard-plugin               	    1664        7       12        0     1645
 1448 xfce4-timer-plugin                 	    1664        4       15        0     1645
 1449 python3-feedparser                 	    1663       11     1535      117        0
 1450 xfce4-diskperf-plugin              	    1662        7       23        0     1632
 1451 xfce4-places-plugin                	    1662       21     1525      116        0
 1452 xfce4-smartbookmark-plugin         	    1662        0        1        0     1661
 1453 xfce4-verve-plugin                 	    1662        1       35        1     1625
 1454 xfce4-whiskermenu-plugin           	    1662       52     1486      124        0
 1455 xfce4-dict                         	    1661       18     1526      117        0
 1456 xfce4-genmon-plugin                	    1661        4        7        0     1650
 1457 xfce4-wavelan-plugin               	    1660        4       15        0     1641
 1458 libvlccore9                        	    1659       19       44        1     1595
 1459 xfce4-mailwatch-plugin             	    1658        2        4        0     1652
 1460 python3-distutils                  	    1656       22     1581       52        1
 1461 libqt5sql5                         	    1655      124      387       20     1124
 1462 libxcb-composite0                  	    1655      120      414       27     1094
 1463 libportaudio2                      	    1649        4       10        0     1635
 1464 libsocket6-perl                    	    1649       75       91        0     1483
 1465 libsigsegv2                        	    1645        2        4        0     1639
 1466 vlc-data                           	    1643        1       16        1     1625
 1467 java-common                        	    1642       30     1584       28        0
 1468 libvlc-bin                         	    1641        0        0        0     1641
 1469 xfce4-goodies                      	    1641        0        0        0     1641
 1470 libann0                            	    1637        0        0        0     1637
 1471 libdvbpsi10                        	    1634        5       12        0     1617
 1472 libgts-bin                         	    1632       29     1520       83        0
 1473 libevent-core-2.1-7                	    1627      223      382        1     1021
 1474 libgts-0.7-5                       	    1627        0        0        0     1627
 1475 libnetpbm11                        	    1625        0        0        0     1625
 1476 ca-certificates-java               	    1624        0        0        0     1624
 1477 efibootmgr                         	    1624       29     1475      120        0
 1478 libstdc++-12-dev                   	    1620       44     1520       56        0
 1479 zlib1g-dev                         	    1619       44     1538       36        1
 1480 vlc-plugin-base                    	    1618       17       40        0     1561
 1481 libopenmpt-modplug1                	    1616        4       10        0     1602
 1482 gvfs-backends                      	    1615      340     1217       58        0
 1483 libproxy-tools                     	    1615       45     1499       71        0
 1484 vlc-plugin-video-output            	    1610        5       17        0     1588
 1485 libsdl-image1.2                    	    1608        1        3        0     1604
 1486 g++-12                             	    1605       75     1474       56        0
 1487 grub-efi-amd64-bin                 	    1602       16     1462      124        0
 1488 python-apt-common-devuan           	    1597        0        0        0     1597
 1489 powertop                           	    1592        6     1485      101        0
 1490 mc-data                            	    1586       12       36        1     1537
 1491 mc                                 	    1583      118     1401       64        0
 1492 gnupg2                             	    1581      313     1218       50        0
 1493 libwebp6                           	    1578      122      350        8     1098
 1494 libx86-1                           	    1573        0        0        0     1573
 1495 xiccd                              	    1573      269     1212       92        0
 1496 python3-olefile                    	    1569       15     1489       64        1
 1497 libmypaint-1.5-1                   	    1567        3       12        0     1552
 1498 mutt                               	    1565       57     1447       61        0
 1499 libusb-0.1-4                       	    1559       32       47        0     1480
 1500 slim                               	    1558      271     1195       92        0
 1501 libaio1                            	    1557       74       99        0     1384
 1502 libgdk-pixbuf2.0-0                 	    1556        1        1        0     1554
 1503 bluetooth                          	    1555        0        0        0     1555
 1504 gettext                            	    1553       56     1446       51        0
 1505 mokutil                            	    1553       46     1386      121        0
 1506 m4                                 	    1550       54     1458       38        0
 1507 libqt5multimedia5                  	    1547       24       41        0     1482
 1508 libappstream4                      	    1546      157      543       32      814
 1509 pkg-config                         	    1544       11      549       10      974
 1510 libxcb-res0                        	    1543      187      624       44      688
 1511 exfalso                            	    1542       57     1371      114        0
 1512 libsub-name-perl                   	    1535        1        2        0     1532
 1513 python3-musicbrainzngs             	    1535       11     1408      116        0
 1514 python3-yaml                       	    1530      102     1353       75        0
 1515 liblockfile1                       	    1528       13       25        0     1490
 1516 shim-signed-common                 	    1525       42     1357      126        0
 1517 shim-unsigned                      	    1525       13     1384      128        0
 1518 zenity-common                      	    1523        0        0        0     1523
 1519 libtk8.6                           	    1522       20       26        0     1476
 1520 libssh-4                           	    1521      129      232        4     1156
 1521 quodlibet                          	    1521       27     1379      115        0
 1522 firmware-realtek                   	    1520      206     1245       69        0
 1523 shim-signed                        	    1520       14     1378      128        0
 1524 grub-efi-amd64-signed              	    1519        0        0        0     1519
 1525 shim-helpers-amd64-signed          	    1519       13     1379      127        0
 1526 mlocate                            	    1514      113      311        4     1086
 1527 zenity                             	    1513       45     1385       83        0
 1528 ffmpeg                             	    1512       84     1328      100        0
 1529 task-xfce-desktop                  	    1512        0        0        0     1512
 1530 fonts-freefont-ttf                 	    1511      132      290        3     1086
 1531 libebml5                           	    1509        7       14        0     1488
 1532 parole                             	    1509       32     1364      113        0
 1533 libmatroska7                       	    1508        7       14        0     1487
 1534 grub-efi-amd64                     	    1506        0        0        0     1506
 1535 libgssglue1                        	    1503       11       13        0     1479
 1536 libotr5                            	    1503       14       14        0     1475
 1537 powermgmt-base                     	    1501      412     1080        9        0
 1538 geoclue-2.0                        	    1499      252     1189       58        0
 1539 packagekit                         	    1494      115      499       22      858
 1540 task-laptop                        	    1493        0        0        0     1493
 1541 libtokyocabinet9                   	    1489       12       11        0     1466
 1542 procmail                           	    1484       65     1359       60        0
 1543 hdparm                             	    1481      182     1283       16        0
 1544 libboost-program-options1.74.0     	    1480       33       45        0     1402
 1545 libaribb24-0                       	    1474       37       97        0     1340
 1546 packagekit-tools                   	    1472       18     1354      100        0
 1547 telnet                             	    1472       23      975       14      460
 1548 default-jre-headless               	    1471        0        0        0     1471
 1549 media-player-info                  	    1471      129     1259       83        0
 1550 libatk-wrapper-java                	    1470        2        4        0     1464
 1551 libatk-wrapper-java-jni            	    1469        0        0        0     1469
 1552 dialog                             	    1468       47     1363       58        0
 1553 libopusfile0                       	    1462        6       14        0     1442
 1554 libarchive-zip-perl                	    1461       57     1362       42        0
 1555 avahi-autoipd                      	    1460       70     1295       95        0
 1556 liblz1                             	    1459        0        4        0     1455
 1557 libxkbregistry0                    	    1454      111      334       13      996
 1558 gnome-desktop3-data                	    1453        7       54        8     1384
 1559 libqt5positioning5                 	    1451       51      159       10     1231
 1560 gcc-10-base                        	    1450        0        0        0     1450
 1561 libixml10                          	    1450       12       36        0     1402
 1562 libgsasl18                         	    1449       11       13        0     1425
 1563 libharfbuzz-subset0                	    1448       82      204       13     1149
 1564 libqt5quickwidgets5                	    1448      101      353       18      976
 1565 libupnp13                          	    1448       12       36        0     1400
 1566 python3-webencodings               	    1446       23     1361       62        0
 1567 sysstat                            	    1444      317     1091       36        0
 1568 libqt5help5                        	    1442       10       23        0     1409
 1569 libprotobuf32                      	    1439       65      189        8     1177
 1570 gnome-themes-extra-data            	    1437        0        0        0     1437
 1571 libraqm0                           	    1437       12       12        0     1413
 1572 libmousepad0                       	    1433        6       32        2     1393
 1573 gnome-accessibility-themes         	    1432        0        0        0     1432
 1574 libgee-0.8-2                       	    1432       18       46        0     1368
 1575 libqt5webchannel5                  	    1431       52      159       10     1210
 1576 libnetaddr-ip-perl                 	    1430       42       47        0     1341
 1577 gnome-themes-extra                 	    1427       33       71        1     1322
 1578 libpipewire-0.3-modules            	    1427       29       71        3     1324
 1579 fonts-noto-core                    	    1426      310      793       31      292
 1580 pipewire-bin                       	    1423      150     1210       63        0
 1581 pipewire                           	    1421       12     1328       81        0
 1582 install-info                       	    1417      117     1251       49        0
 1583 libidn11                           	    1415       77      198        3     1137
 1584 libspatialaudio0                   	    1407        0        1        0     1406
 1585 libfl2                             	    1403        3        5        0     1395
 1586 libonig5                           	    1403       86      125        0     1192
 1587 libwmf-0.2-7                       	    1402        0        1        0     1401
 1588 libxml-namespacesupport-perl       	    1401       19     1334       48        0
 1589 libxml-sax-base-perl               	    1401       42     1312       47        0
 1590 gawk                               	    1399      325     1062       12        0
 1591 libxml-sax-perl                    	    1399       29     1322       48        0
 1592 libaccountsservice0                	    1398       38      133       10     1217
 1593 accountsservice                    	    1394      207     1125       62        0
 1594 libapr1                            	    1391      187      345       10      849
 1595 libexpat1-dev                      	    1388       23     1323       42        0
 1596 libxml-libxml-perl                 	    1386       22       35        0     1329
 1597 time                               	    1383       37     1291       55        0
 1598 libaprutil1                        	    1382      191      350        9      832
 1599 default-jre                        	    1377        0        0        0     1377
 1600 liblua5.1-0                        	    1377       24       41        0     1312
 1601 libical3                           	    1375      212      666       36      461
 1602 libqt5test5                        	    1375        3       23        0     1349
 1603 libffi7                            	    1373      195      446        7      725
 1604 libneon27-gnutls                   	    1372        8       11        0     1353
 1605 qml-module-qtquick2                	    1372       83      313       17      959
 1606 notification-daemon                	    1369        9     1328       32        0
 1607 libvncclient1                      	    1367       24       34        0     1309
 1608 iio-sensor-proxy                   	    1364      129     1147       88        0
 1609 python3-sgmllib3k                  	    1362        9     1245      108        0
 1610 python3-dateutil                   	    1360       22     1274       63        1
 1611 vim-runtime                        	    1359       31     1250       78        0
 1612 python3-lxml                       	    1355       72     1218       65        0
 1613 tmux                               	    1355       91     1205       59        0
 1614 libsox-fmt-base                    	    1351        1        0        0     1350
 1615 libsox-fmt-alsa                    	    1347        1        0        0     1346
 1616 python3-setuptools                 	    1347      242     1055       50        0
 1617 libqt5designer5                    	    1345        2        5        0     1338
 1618 mesa-utils                         	    1344       62     1233       49        0
 1619 libwacom-bin                       	    1334       14     1303       17        0
 1620 libxml-sax-expat-perl              	    1330       15     1269       46        0
 1621 libcairo-script-interpreter2       	    1328       54      194       14     1066
 1622 iotop                              	    1326       91     1169       65        1
 1623 libqt5qmlworkerscript5             	    1326       83      313       17      913
 1624 libterm-readkey-perl               	    1324        6        7        0     1311
 1625 fonts-noto-ui-core                 	    1323       54       83        0     1186
 1626 python3-html5lib                   	    1322       22     1254       45        1
 1627 libkf5windowsystem-data            	    1320       83      316       12      909
 1628 rename                             	    1320       30     1235       55        0
 1629 qml-module-qtquick-window2         	    1317       77      301       17      922
 1630 libkf5coreaddons-data              	    1315      155      515       23      622
 1631 libkf5windowsystem5                	    1314      169      561       29      555
 1632 libkf5coreaddons5                  	    1313      154      517       23      619
 1633 bsd-mailx                          	    1308       98     1150       60        0
 1634 libsox3                            	    1306        1        1        0     1304
 1635 libtiff5                           	    1306      105      322        8      871
 1636 autotools-dev                      	    1303       33     1244       26        0
 1637 python3-pyqt5                      	    1303       63     1172       68        0
 1638 qml-module-qtgraphicaleffects      	    1303       74      298       17      914
 1639 qml-module-qtquick-layouts         	    1302       76      302       17      907
 1640 libdevmapper-event1.02.1           	    1301        3        3        0     1295
 1641 autoconf                           	    1297       46     1227       24        0
 1642 libmms0                            	    1297       15       36        0     1246
 1643 libqt5concurrent5                  	    1297       83      296       15      903
 1644 bluez-obexd                        	    1296      202     1030       62        2
 1645 ed                                 	    1296       37     1201       58        0
 1646 fonts-noto-color-emoji             	    1296      124      244        5      923
 1647 python3-bs4                        	    1296       15     1219       62        0
 1648 automake                           	    1294       52     1215       26        1
 1649 libtommath1                        	    1294        8       33        0     1253
 1650 toilet-fonts                       	    1294        0        0        0     1294
 1651 libldap-2.4-2                      	    1292      179      415        7      691
 1652 python3-soupsieve                  	    1292       16     1229       47        0
 1653 libxml2-utils                      	    1291       36     1175       80        0
 1654 toilet                             	    1290       23     1198       69        0
 1655 libxmlb2                           	    1289      126      444       37      682
 1656 intltool-debian                    	    1288        0        0        0     1288
 1657 libkf5config-data                  	    1284       71      276        7      930
 1658 libkf5configcore5                  	    1282      150      497       23      612
 1659 python3-ldb                        	    1282       24     1178       80        0
 1660 ftp                                	    1280        5      448        5      822
 1661 python3-talloc                     	    1279       23     1178       78        0
 1662 libkf5waylandclient5               	    1278       93      343       19      823
 1663 librecode0                         	    1277        0        0        0     1277
 1664 qml-module-qtqml-models2           	    1276       71      281       16      908
 1665 dmeventd                           	    1275       35     1178       62        0
 1666 linux-image-6.1.0-10-amd64         	    1274       14     1090      169        1
 1667 lvm2                               	    1272      167     1058       47        0
 1668 libavutil56                        	    1269       74      253        5      937
 1669 libcanberra-pulse                  	    1269      107      278       19      865
 1670 libswresample3                     	    1269       74      253        5      937
 1671 libnetfilter-acct1                 	    1266        3       11        0     1252
 1672 python3-pygments                   	    1265       39     1195       31        0
 1673 libdbusmenu-qt5-2                  	    1263      166      549       28      520
 1674 tcl8.6                             	    1260       35     1194       31        0
 1675 libcgi-pm-perl                     	    1258       31     1186       41        0
 1676 libmodule-runtime-perl             	    1258       64     1152       42        0
 1677 libqt5quicktemplates2-5            	    1258       82      315       16      845
 1678 caca-utils                         	    1257       21     1168       68        0
 1679 libkf5guiaddons5                   	    1257      149      486       23      599
 1680 libparams-classify-perl            	    1256        1        1        0     1254
 1681 libqt5quickcontrols2-5             	    1256       82      314       16      844
 1682 libkf5widgetsaddons-data           	    1255      150      484       23      598
 1683 fortune-mod                        	    1254       42     1154       58        0
 1684 sox                                	    1254       35     1152       67        0
 1685 libkf5widgetsaddons5               	    1253      149      485       23      596
 1686 nfacct                             	    1253       16     1183       54        0
 1687 libpolkit-qt5-1-1                  	    1251       86      332       21      812
 1688 libkf5i18n-data                    	    1250       21      137        4     1088
 1689 python3-pyqt5.sip                  	    1249       63     1104       82        0
 1690 libkf5i18n5                        	    1248      149      490       23      586
 1691 qml-module-qtquick-controls2       	    1248       76      300       16      856
 1692 qml-module-qtquick-templates2      	    1248       76      301       16      855
 1693 libkf5configgui5                   	    1247      149      486       23      589
 1694 libkf5itemviews-data               	    1245       59      242        6      938
 1695 libqt5opengl5                      	    1245        5       13        0     1227
 1696 libkf5archive5                     	    1244      162      506       24      552
 1697 libkf5itemviews5                   	    1242      123      425       22      672
 1698 smartmontools                      	    1242      316      892       34        0
 1699 libavcodec58                       	    1236       71      246        5      914
 1700 libkf5crash5                       	    1236      149      491       23      573
 1701 libaom0                            	    1233       74      245        4      910
 1702 libkf5solid5-data                  	    1231       76      293       11      851
 1703 libtsan0                           	    1231        0        0        0     1231
 1704 libkf5completion-data              	    1230       70      271        7      882
 1705 libkf5config-bin                   	    1230       39     1126       65        0
 1706 qml-module-qtquick-controls        	    1230       60      257       16      897
 1707 iftop                              	    1229       28     1148       53        0
 1708 libre2-9                           	    1229       48      143       10     1028
 1709 libfcgi-perl                       	    1228        2        1        0     1225
 1710 python3-pyxattr                    	    1228       31     1114       83        0
 1711 libkf5completion5                  	    1227      146      478       23      580
 1712 libkf5solid5                       	    1226      156      509       28      533
 1713 kwayland-integration               	    1225       16      119       10     1080
 1714 vim                                	    1224      110     1055       59        0
 1715 libb-hooks-op-check-perl           	    1223        0        0        0     1223
 1716 zsh-common                         	    1223       26       59        1     1137
 1717 libqt5texttospeech5                	    1221      147      486       23      565
 1718 zsh                                	    1221       63     1090       68        0
 1719 libdynaloader-functions-perl       	    1220       20     1155       45        0
 1720 libkf5codecs-data                  	    1220       71      275        7      867
 1721 libdevel-callchecker-perl          	    1218        1        1        0     1216
 1722 libkf5auth-data                    	    1217       71      279        7      860
 1723 libkf5codecs5                      	    1217      148      484       23      562
 1724 libclass-method-modifiers-perl     	    1216       30     1143       43        0
 1725 libkf5configwidgets-data           	    1216       59     1095       62        0
 1726 libkf5dbusaddons-data              	    1214       71      281        7      855
 1727 libkf5dbusaddons5                  	    1211      148      492       23      548
 1728 libkf5sonnet5-data                 	    1211       46      199        4      962
 1729 libkf5sonnetcore5                  	    1211       98      348       18      747
 1730 qml-module-qtqml                   	    1210       71      301       17      821
 1731 libkf5iconthemes-data              	    1209        3       10        0     1196
 1732 librole-tiny-perl                  	    1209       49     1119       41        0
 1733 libgdk-pixbuf-xlib-2.0-0           	    1208        4        5        0     1199
 1734 libkf5notifications-data           	    1208       70      274        7      857
 1735 libkf5sonnetui5                    	    1208       98      348       18      744
 1736 xorg-sgml-doctools                 	    1208        0        0        0     1208
 1737 libkf5configwidgets5               	    1206      148      485       23      550
 1738 libkf5notifications5               	    1204      144      482       23      555
 1739 libkf5service-data                 	    1204        0        0        0     1204
 1740 plocate                            	    1203      263      912       28        0
 1741 libzip4                            	    1202       60       89        0     1053
 1742 liblvm2cmd2.03                     	    1201        2        3        0     1196
 1743 libxau-dev                         	    1201       22     1150       29        0
 1744 libxcb1-dev                        	    1201       26     1147       28        0
 1745 libxdmcp-dev                       	    1201       22     1150       29        0
 1746 ncdu                               	    1201       31     1107       63        0
 1747 cups-bsd                           	    1200       48     1103       49        0
 1748 libkf5iconthemes5                  	    1200      148      483       23      546
 1749 libkf5wallet-data                  	    1200       28      125        4     1043
 1750 vlc-bin                            	    1200      102     1054       44        0
 1751 libkf5service-bin                  	    1199       33     1105       61        0
 1752 libkf5service5                     	    1199      148      489       23      539
 1753 libcgi-fast-perl                   	    1197       19     1137       41        0
 1754 libkf5wallet5                      	    1197       87      330       19      761
 1755 python3-tz                         	    1197       16     1104       38       39
 1756 xtrans-dev                         	    1197       19     1149       29        0
 1757 libkf5globalaccel-data             	    1196       56      233        5      902
 1758 libkf5xmlgui-data                  	    1196       31      137        4     1024
 1759 kwayland-data                      	    1194        0        0        0     1194
 1760 libkf5textwidgets-data             	    1194        0        2        0     1192
 1761 libkf5wallet-bin                   	    1194       85      986       48       75
 1762 libkf5globalaccel5                 	    1193      116      401       21      655
 1763 libkf5globalaccel-bin              	    1192       93      997       48       54
 1764 libqt5sensors5                     	    1192       70      257       15      850
 1765 libtool                            	    1192       33     1117       42        0
 1766 libparams-util-perl                	    1190       25       38        0     1127
 1767 libx11-dev                         	    1190       43     1119       28        0
 1768 libkf5jobwidgets-data              	    1189      144      476       23      546
 1769 libkf5xmlgui5                      	    1188      116      398       21      653
 1770 libkf5kiontlm5                     	    1187        4       17        4     1162
 1771 libapache-pom-java                 	    1186        0        0        0     1186
 1772 libcommons-parent-java             	    1186        0        0        0     1186
 1773 vlc                                	    1186        1       49        2     1134
 1774 libkf5jobwidgets5                  	    1185      143      477       23      542
 1775 libkf5textwidgets5                 	    1185       97      343       18      727
 1776 vlc-plugin-qt                      	    1185       26     1104       55        0
 1777 libdata-optlist-perl               	    1183       35     1108       40        0
 1778 libsub-install-perl                	    1183       35     1108       40        0
 1779 mailutils-common                   	    1183        0        0        0     1183
 1780 libqt5webkit5                      	    1180       10       13        0     1157
 1781 libsub-exporter-perl               	    1180       34     1106       40        0
 1782 libmodule-implementation-perl      	    1179       52     1086       41        0
 1783 kio                                	    1178       91     1028       59        0
 1784 libkf5kiocore5                     	    1178      146      481       24      527
 1785 libkf5kiowidgets5                  	    1178      141      472       24      541
 1786 gcc-9-base                         	    1177        0        0        0     1177
 1787 tcl                                	    1177       31     1116       29        1
 1788 gvfs-fuse                          	    1175      252      867       56        0
 1789 libibus-1.0-5                      	    1175       92      243        9      831
 1790 libjq1                             	    1174        0        0        0     1174
 1791 libpython2.7-minimal               	    1173       46     1122        5        0
 1792 mailutils                          	    1173       75     1076       22        0
 1793 libvoikko1                         	    1172       22      118       12     1020
 1794 libpython2.7-stdlib                	    1171       67     1099        5        0
 1795 libqt5webengine-data               	    1171       14       55       10     1092
 1796 jq                                 	    1170       49     1049       72        0
 1797 libsub-exporter-progressive-perl   	    1169       48     1080       41        0
 1798 python3-numpy                      	    1169       52     1069       48        0
 1799 python3-packaging                  	    1169       16     1104       49        0
 1800 libkf5doctools5                    	    1168        0        0        0     1168
 1801 tk8.6                              	    1168       33     1107       28        0
 1802 libqt5webenginecore5               	    1167       45      150       10      962
 1803 libaprutil1-ldap                   	    1166        2        3        0     1161
 1804 libaprutil1-dbd-sqlite3            	    1165        0        1        0     1164
 1805 lrzsz                              	    1165       24     1087       54        0
 1806 x11proto-dev                       	    1163       41     1096       26        0
 1807 minicom                            	    1162       30     1069       63        0
 1808 sonnet-plugins                     	    1162       21      115       12     1014
 1809 python2.7-minimal                  	    1161       73     1082        6        0
 1810 aptitude-common                    	    1160       23     1096       40        1
 1811 python3-ptyprocess                 	    1160       12     1098       50        0
 1812 python3-pexpect                    	    1159       57     1054       48        0
 1813 python2.7                          	    1157       25     1126        6        0
 1814 python3-markupsafe                 	    1156       29     1057       70        0
 1815 libkf5kiogui5                      	    1155      143      475       24      513
 1816 apache2-bin                        	    1153      255      854       44        0
 1817 libkf5dbusaddons-bin               	    1152       24     1063       65        0
 1818 cpp-10                             	    1151       81     1061        9        0
 1819 libkf5globalaccelprivate5          	    1150       82      305       15      748
 1820 kded5                              	    1149       97      999       53        0
 1821 libkf5attica5                      	    1148       95      333       18      702
 1822 python3-brotli                     	    1144      106      979       59        0
 1823 firmware-iwlwifi                   	    1143      106      973       64        0
 1824 libkf5authcore5                    	    1142      148      488       23      483
 1825 mtr-tiny                           	    1142       28     1060       54        0
 1826 libapt-pkg-perl                    	    1141        0        0        0     1141
 1827 libffi6                            	    1140        8       15        0     1117
 1828 tk                                 	    1140       30     1082       28        0
 1829 aptitude                           	    1139       78     1033       28        0
 1830 fonts-noto-extra                   	    1138      187      531       20      400
 1831 appstream                          	    1137      190      894       53        0
 1832 fortunes-min                       	    1137        0        0        0     1137
 1833 libkwalletbackend5-5               	    1135       58      232       14      831
 1834 libpackage-stash-perl              	    1134       43     1051       40        0
 1835 libsub-quote-perl                  	    1131       30     1062       39        0
 1836 libclass-xsaccessor-perl           	    1130        4        7        0     1119
 1837 libb-hooks-endofscope-perl         	    1129       41     1047       41        0
 1838 libnamespace-clean-perl            	    1129       41     1048       40        0
 1839 libsemanage1                       	    1129        0        0        0     1129
 1840 openjdk-17-jre-headless            	    1128       39     1025       63        1
 1841 libmarkdown2                       	    1127        6       20        0     1101
 1842 libsub-identify-perl               	    1127        4        7        0     1116
 1843 libvariable-magic-perl             	    1127        4        7        0     1116
 1844 libqca-qt5-2                       	    1126      131      436       20      539
 1845 libxcb-record0                     	    1126       76      220        7      823
 1846 libhfstospell11                    	    1125       22      118       12      973
 1847 libperl4-corelibs-perl             	    1124       13     1068       43        0
 1848 ethtool                            	    1121       99     1012       10        0
 1849 libkf5package-data                 	    1121       26      148        5      942
 1850 libpeas-common                     	    1120        1        0        0     1119
 1851 kpackagetool5                      	    1117       24     1032       61        0
 1852 libkf5package5                     	    1117       99      360       21      637
 1853 libkf5xmlgui-bin                   	    1117        0        0        0     1117
 1854 libtidy5deb1                       	    1117        8        9        0     1100
 1855 libpeas-1.0-0                      	    1116       26       56        1     1033
 1856 libkf5iconthemes-bin               	    1115       22     1028       65        0
 1857 libgcc1                            	    1113        0        2        0     1111
 1858 libcloudproviders0                 	    1112      126      355       23      608
 1859 libipt2                            	    1112        2        2        0     1108
 1860 gddrescue                          	    1110       27     1029       54        0
 1861 gpm                                	    1110      288      794       27        1
 1862 tpm-udev                           	    1109       97      938       74        0
 1863 libpthread-stubs0-dev              	    1106        0        0        0     1106
 1864 libkf5auth5                        	    1104       70      290       17      727
 1865 libkf5declarative-data             	    1104        0        6        0     1098
 1866 t1utils                            	    1104       23     1036       45        0
 1867 libpoppler-qt5-1                   	    1103       14       25        0     1064
 1868 vlc-plugin-skins2                  	    1103       18     1033       52        0
 1869 libprotobuf-lite32                 	    1100        1        1        0     1098
 1870 python3-pycryptodome               	    1100       44     1004       52        0
 1871 dnsutils                           	    1098       10      281        2      805
 1872 vlc-plugin-notify                  	    1098        1       13        0     1084
 1873 keyutils                           	    1097       38     1040       18        1
 1874 libevdocument3-4                   	    1097       14       24        0     1059
 1875 links2                             	    1097       24     1017       56        0
 1876 libevview3-3                       	    1096       13        8        0     1075
 1877 libkf5declarative5                 	    1096       76      306       18      696
 1878 libqca-qt5-2-plugins               	    1094      127      410       20      537
 1879 libpackage-stash-xs-perl           	    1093        3        7        0     1083
 1880 vlc-l10n                           	    1093        9       20        0     1064
 1881 libcommons-logging-java            	    1092        0        0        0     1092
 1882 liblightdm-gobject-1-0             	    1092       37       70        4      981
 1883 libltdl-dev                        	    1092       12     1042       38        0
 1884 genisoimage                        	    1091       35     1012       44        0
 1885 lftp                               	    1091       24     1011       56        0
 1886 python3-ntp                        	    1091       73      988       30        0
 1887 figlet                             	    1090       25     1007       58        0
 1888 python3-gpg                        	    1088       60      965       63        0
 1889 qtspeech5-speechd-plugin           	    1088        7       34        9     1038
 1890 libkf5quickaddons5                 	    1087       75      303       18      691
 1891 libkf5kirigami2-5                  	    1084       65      278       15      726
 1892 gir1.2-gtksource-4                 	    1083       22       35        1     1025
 1893 libdevel-stacktrace-perl           	    1081       43      998       40        0
 1894 vlc-plugin-video-splitter          	    1081        0        0        0     1081
 1895 vlc-plugin-visualization           	    1081        0        0        0     1081
 1896 kactivitymanagerd                  	    1078       97      831       64       86
 1897 tnftp                              	    1078       32      992       54        0
 1898 samba-common                       	    1077        0        0        0     1077
 1899 guile-3.0-libs                     	    1076       17       18        1     1040
 1900 libkf5kcmutils-data                	    1076        0        3        0     1073
 1901 sshfs                              	    1076       51      964       61        0
 1902 libkf5activities5                  	    1075       69      285       15      706
 1903 qml-module-org-kde-kirigami2       	    1075       62      267       15      731
 1904 lightdm                            	    1074      217      813       43        1
 1905 espeak-data                        	    1072        0        0        0     1072
 1906 geoip-bin                          	    1072       25      995       52        0
 1907 firmware-misc-nonfree              	    1070       83      958       29        0
 1908 rubygems-integration               	    1070       56      999       15        0
 1909 msmtp                              	    1068       25      991       52        0
 1910 libkf5kcmutils5                    	    1067       67      285       17      698
 1911 ruby                               	    1067       65      966       36        0
 1912 libespeak1                         	    1066        0        1        0     1065
 1913 libclass-data-inheritable-perl     	    1065       43      982       40        0
 1914 beep                               	    1064       94      921       49        0
 1915 pkgconf                            	    1064       67      967       30        0
 1916 libqt5webenginewidgets5            	    1063       45      136       10      872
 1917 ntp                                	    1063       98      301        0      664
 1918 ruby-net-telnet                    	    1063       20     1022       21        0
 1919 libdav1d4                          	    1062       74      249        4      735
 1920 libpython3-dev                     	    1062       27      993       42        0
 1921 libx265-192                        	    1061       80      250        4      727
 1922 rake                               	    1061       29     1011       21        0
 1923 qml-module-qtquick-dialogs         	    1060       54      242       15      749
 1924 qml-module-qtquick-privatewidgets  	    1060       10       52        5      993
 1925 fetchmail                          	    1058       35      970       53        0
 1926 libibverbs1                        	    1057       45       52        0      960
 1927 vlc-plugin-samba                   	    1057        0       12        0     1045
 1928 mosh                               	    1056       30      974       52        0
 1929 python3-software-properties        	    1056       13      967       76        0
 1930 libpkgconf3                        	    1054        0        0        0     1054
 1931 libatk1.0-data                     	    1053       45      148        4      856
 1932 lightdm-gtk-greeter                	    1053      142      859       51        1
 1933 qml-module-qt-labs-settings        	    1053       54      234       15      750
 1934 irssi                              	    1052       23      974       55        0
 1935 libkf5newstuffcore5                	    1052       91      322       18      621
 1936 python3-dev                        	    1052       26      984       42        0
 1937 pkgconf-bin                        	    1051       66      955       30        0
 1938 software-properties-common         	    1051       46      939       66        0
 1939 libprocps8                         	    1050        4        9        0     1037
 1940 qml-module-qt-labs-folderlistmodel 	    1050       56      247       16      731
 1941 libcommon-sense-perl               	    1049        0        0        0     1049
 1942 libgslcblas0                       	    1049        5        3        0     1041
 1943 socat                              	    1048       69      935       44        0
 1944 kpackagelauncherqml                	    1047       17      967       63        0
 1945 libasan6                           	    1046        0        0        0     1046
 1946 libffi-dev                         	    1046       16     1004       26        0
 1947 openjdk-17-jre                     	    1045        6       17        0     1022
 1948 libkf5plasma5                      	    1042       65      272       16      689
 1949 libobjc4                           	    1042        0        1        0     1041
 1950 parallel                           	    1042       17      972       53        0
 1951 libavdevice59                      	    1041       12       25        1     1003
 1952 libvpx6                            	    1040       81      259        4      696
 1953 cowsay                             	    1039        5      980       54        0
 1954 fbterm                             	    1038       19      964       55        0
 1955 libbpf0                            	    1038        0        0        0     1038
 1956 libexception-class-perl            	    1038       41      957       40        0
 1957 kactivities-bin                    	    1037       17      961       59        0
 1958 cmatrix                            	    1035       22      962       51        0
 1959 qml-module-org-kde-newstuff        	    1035        3       24        4     1004
 1960 gir1.2-peas-1.0                    	    1034       17       36        1      980
 1961 samba-common-bin                   	    1034       97      875       62        0
 1962 espeak                             	    1033       21      958       54        0
 1963 gnuchess                           	    1033        8      962       63        0
 1964 libxcb-cursor0                     	    1033      114      362       20      537
 1965 hwdata                             	    1032        0        0        0     1032
 1966 libkf5parts-data                   	    1032        0        9        1     1022
 1967 bsdgames                           	    1031       16      962       53        0
 1968 libfmt9                            	    1031       14       38        1      978
 1969 mesa-utils-bin                     	    1031       54      931       46        0
 1970 libkf5threadweaver5                	    1030       61      258       15      696
 1971 wavemon                            	    1030       20      957       53        0
 1972 gnuchess-book                      	    1029        0        0        0     1029
 1973 libdaxctl1                         	    1028      113      206        0      709
 1974 libloudmouth1-0                    	    1028        1        0        0     1027
 1975 libndctl6                          	    1028      113      206        0      709
 1976 libpmem1                           	    1028      113      206        0      709
 1977 libsidutils0                       	    1027        1        2        0     1024
 1978 jhead                              	    1025       18      954       53        0
 1979 libkf5parts5                       	    1023       70      294       15      644
 1980 systemsettings                     	    1023       61      893       69        0
 1981 librcc0                            	    1022        1      206        3      812
 1982 moc                                	    1021       23      941       57        0
 1983 libsixel1                          	    1018       11       23        0      984
 1984 libuu0                             	    1018        0        0        0     1018
 1985 ruby-xmlrpc                        	    1018       16      981       21        0
 1986 multitail                          	    1017       20      940       57        0
 1987 libisc-export1105                  	    1016       56      122        0      838
 1988 libkf5bookmarks-data               	    1016       46      206        4      760
 1989 libcodec2-0.9                      	    1015       82      260        4      669
 1990 libdns-export1110                  	    1015       56      121        0      838
 1991 python3-blinker                    	    1015       16      920       79        0
 1992 sc                                 	    1015       20      942       53        0
 1993 taskwarrior                        	    1014       20      941       53        0
 1994 libgtk-4-common                    	    1013       47      186       14      766
 1995 libgtk-4-1                         	    1012       55      191       14      752
 1996 mpv                                	    1011       85      887       39        0
 1997 fbi                                	    1010       20      939       51        0
 1998 libkf5itemmodels5                  	    1010       83      308       18      601
 1999 catdoc                             	    1009       28      917       64        0
 2000 python3-attr                       	    1009       17      957       34        1
 2001 libx264-160                        	    1008       77      249        4      678
 2002 ttyrec                             	    1008       18      929       61        0
 2003 libkf5bookmarks5                   	    1007      101      360       18      528
 2004 python3-psutil                     	    1007      118      849       40        0
 2005 libio-string-perl                  	    1005       18      948       39        0
 2006 edbrowse                           	    1004       18      929       57        0
 2007 entr                               	    1004       19      930       55        0
 2008 libqt5multimediawidgets5           	    1004        4        3        0      997
 2009 zile                               	    1004       22      929       53        0
 2010 apcalc                             	    1003        0       54        0      949
 2011 abook                              	    1002       20      929       53        0
 2012 firmware-amd-graphics              	    1002       23      940       39        0
 2013 clex                               	    1000       18      930       52        0
 2014 dvtm                               	     999       19      928       52        0
 2015 sudoku                             	     999        8      939       52        0
 2016 rpl                                	     998       17      928       53        0
 2017 calc-common                        	     997        0        0        0      997
 2018 libexempi8                         	     996      142      472       26      356
 2019 calc                               	     995       36      910       49        0
 2020 calcurse                           	     995       18      926       51        0
 2021 mcabber                            	     995       19      923       53        0
 2022 qt5-image-formats-plugins          	     995      144      475       22      354
 2023 task-console-productivity          	     995        0        0        0      995
 2024 libftdi1-2                         	     994       36      199       21      738
 2025 qtchooser                          	     994       35      914       44        1
 2026 yasr                               	     994       18      922       54        0
 2027 evince-common                      	     993        7        2        0      984
 2028 libsys-hostname-long-perl          	     992       18      961       13        0
 2029 python3-jwt                        	     992       11      901       80        0
 2030 autopoint                          	     990       28      947       15        0
 2031 libgcc-10-dev                      	     989        0        0        0      989
 2032 liblibreoffice-java                	     989       26      854      108        1
 2033 eflite                             	     988       17      919       52        0
 2034 libjson-xs-perl                    	     988       36      927       25        0
 2035 ncal                               	     988       50      935        3        0
 2036 libb2-1                            	     987       53       87        7      840
 2037 libunoloader-java                  	     987       26      852      109        0
 2038 tin                                	     987       17      912       58        0
 2039 libbcprov-java                     	     986        0        0        0      986
 2040 libcanlock3                        	     985        2        2        0      981
 2041 libtypes-serialiser-perl           	     985       54      912       19        0
 2042 libcrack2                          	     984       18       62        3      901
 2043 libgucharmap-2-90-7                	     984        4        9        0      971
 2044 gcc-10                             	     983       40      935        8        0
 2045 libftp4                            	     982        0        0        0      982
 2046 rpcbind                            	     982      286      691        4        1
 2047 ibverbs-providers                  	     981        0        0        0      981
 2048 libkf5archive-data                 	     981       62      233        6      680
 2049 libtss2-sys1                       	     981       40      212       19      710
 2050 librest-0.7-0                      	     980       18       53        1      908
 2051 libtss2-mu0                        	     980       40      212       19      709
 2052 cavezofphear                       	     979        3      923       53        0
 2053 libkf5guiaddons-data               	     977        0        0        0      977
 2054 libkworkspace5-5                   	     977       63      265       18      631
 2055 pulseaudio-module-bluetooth        	     977      201      734       42        0
 2056 libtss2-esys-3.0.2-0               	     976       36      207       19      714
 2057 libtss2-tcti-cmd0                  	     976        0        0        0      976
 2058 libtss2-tcti-device0               	     976        0        2        0      974
 2059 libtss2-tcti-mssim0                	     976        0        0        0      976
 2060 libtss2-tcti-swtpm0                	     976        0        0        0      976
 2061 libxerces-c3.2                     	     976        5       11        0      960
 2062 libkf5syndication5abi1             	     975       76      286       18      595
 2063 bombardier                         	     974        3      918       53        0
 2064 crawl-common                       	     974        0        0        0      974
 2065 freesweep                          	     974        3      918       53        0
 2066 libkf5parts-plugins                	     974        0        0        0      974
 2067 libxext-dev                        	     974       23      932       19        0
 2068 curseofwar                         	     973        3      918       52        0
 2069 bastet                             	     971        7      908       56        0
 2070 evince                             	     971       79      838       54        0
 2071 hasciicam                          	     971       17      902       52        0
 2072 libflac8                           	     971       84      281        6      600
 2073 libdebuginfod1                     	     970       37       91        1      841
 2074 nmap                               	     970       46      917        6        1
 2075 vlc-plugin-access-extra            	     970        0        0        0      970
 2076 omega-rpg                          	     969        3      914       52        0
 2077 keditbookmarks                     	     968       25      887       56        0
 2078 libffmpegthumbnailer4v5            	     968        6       18        0      944
 2079 ninvaders                          	     968        3      913       52        0
 2080 pacman4console                     	     968       18      900       50        0
 2081 matanza                            	     967        5      912       50        0
 2082 espeakup                           	     966       17      896       53        0
 2083 libkf5runner5                      	     966       59      250       15      642
 2084 greed                              	     965        5      909       51        0
 2085 nethack-common                     	     964      146      784       34        0
 2086 pente                              	     963        4      908       51        0
 2087 crawl                              	     962        7      905       50        0
 2088 empire                             	     962        5      907       50        0
 2089 python3-oauthlib                   	     962        9      875       78        0
 2090 librdmacm1                         	     960       43       51        0      866
 2091 nethack-console                    	     960        3      904       53        0
 2092 libgtk-4-bin                       	     959       22      846       91        0
 2093 qml-module-org-kde-kcm             	     959        6       39        6      908
 2094 libkf5kiofilewidgets5              	     957       97      344       18      498
 2095 phonon4qt5                         	     956        0        0        0      956
 2096 uuid-dev                           	     956       12      898       46        0
 2097 libfcgi-bin                        	     955       21      892       42        0
 2098 libjson-perl                       	     954       49      889       16        0
 2099 libgail-3-0                        	     953      115      421       24      393
 2100 tex-common                         	     952       32      893       27        0
 2101 libtext-glob-perl                  	     951       23      876       52        0
 2102 moria                              	     951        6      895       50        0
 2103 python3-distro-info                	     949       15      870       64        0
 2104 libcwidget4                        	     948        3       16        1      928
 2105 nfs-common                         	     947      281      662        4        0
 2106 libnumber-compare-perl             	     946       23      872       51        0
 2107 libkf5idletime5                    	     945       93      360       22      470
 2108 libpwquality-common                	     944        0        0        0      944
 2109 libqt5webengine5                   	     943        2       22        0      919
 2110 libssl-dev                         	     943       29      888       26        0
 2111 fonts-cantarell                    	     942      118      343       19      462
 2112 libmail-sendmail-perl              	     942       10      919       13        0
 2113 fonts-crosextra-carlito            	     941       73      107        0      761
 2114 libnettle6                         	     941        6       10        0      925
 2115 libpwquality1                      	     941       16       61        2      862
 2116 python3-tdb                        	     941       11      861       69        0
 2117 gtk2-engines-murrine               	     940       16       31        1      892
 2118 icu-devtools                       	     939       26      888       25        0
 2119 libhogweed4                        	     939        5        9        0      925
 2120 python3-click                      	     939       12      872       55        0
 2121 python3-samba                      	     939       23      846       70        0
 2122 libice-dev                         	     937       17      902       18        0
 2123 libsm-dev                          	     937       17      902       18        0
 2124 libxstring-perl                    	     936        4        7        0      925
 2125 qml-module-org-kde-kitemmodels     	     935       30      192       15      698
 2126 libtinfo5                          	     934        5        9        0      920
 2127 qml-module-org-kde-runnermodel     	     934        0        0        0      934
 2128 libapt-pkg5.0                      	     933        0        2        0      931
 2129 libicu-dev                         	     933       23      884       26        0
 2130 phonon4qt5-backend-vlc             	     933        6       14        0      913
 2131 cracklib-runtime                   	     932       68      802       62        0
 2132 libfile-find-rule-perl             	     932       25      856       51        0
 2133 libiptc0                           	     932        0        0        0      932
 2134 ruby-rubygems                      	     931       59      855       17        0
 2135 pipewire-pulse                     	     930       33      834       63        0
 2136 po-debconf                         	     930       28      891       11        0
 2137 rtmpdump                           	     930       19      868       43        0
 2138 wireplumber                        	     930       39      838       53        0
 2139 libqt5quickshapes5                 	     929        0        3        0      926
 2140 libapt-inst2.0                     	     927        0        1        0      926
 2141 libfcgi0ldbl                       	     926        7        8        0      911
 2142 fonts-crosextra-caladea            	     924       44       61        0      819
 2143 libxml-commons-external-java       	     924        0        0        0      924
 2144 samba-dsdb-modules                 	     924        4       13        0      907
 2145 gir1.2-vte-2.91                    	     922       26       47        1      848
 2146 libip4tc0                          	     920        0        0        0      920
 2147 python3-colorama                   	     920       12      881       27        0
 2148 tree                               	     920       44      827       49        0
 2149 libip6tc0                          	     919        0        0        0      919
 2150 libcommons-io-java                 	     918        0        0        0      918
 2151 libproc-processtable-perl          	     918        2        9        0      907
 2152 bsdmainutils                       	     917       13      453        4      447
 2153 gcc-8-base                         	     917        0        0        0      917
 2154 libdebuginfod-common               	     916        0        0        0      916
 2155 libncurses-dev                     	     916       39      847       30        0
 2156 debhelper                          	     914       31      871       12        0
 2157 libgs9-common                      	     914        0        0        0      914
 2158 libgpg-error-l10n                  	     912       22       66        0      824
 2159 libpotrace0                        	     911        1        2        0      908
 2160 lua-lpeg                           	     910        0        0        0      910
 2161 libqt5multimedia5-plugins          	     909        3        3        0      903
 2162 tk8.6-blt2.5                       	     909       17      859       33        0
 2163 cryptsetup-bin                     	     908       71      799       38        0
 2164 libqt5multimediagsttools5          	     907        3        2        0      902
 2165 dh-autoreconf                      	     906       29      865       12        0
 2166 libfile-stripnondeterminism-perl   	     906       17      873       16        0
 2167 blt                                	     905        0        0        0      905
 2168 dh-strip-nondeterminism            	     905       28      862       15        0
 2169 libphonon4qt5-4                    	     904       79      317       18      490
 2170 nmap-common                        	     904        0        0        0      904
 2171 libhttp-parser2.9                  	     903        4       14        0      885
 2172 libkf5notifyconfig-data            	     902        0        0        0      902
 2173 gparted                            	     899       27      855       16        1
 2174 fonts-noto-cjk                     	     894       81      189        5      619
 2175 libkf5notifyconfig5                	     894       61      246       14      573
 2176 libpng-dev                         	     894       32      828       34        0
 2177 libfile-which-perl                 	     893       63      814       16        0
 2178 radio                              	     892       16      823       53        0
 2179 thunderbird                        	     891      108      709       74        0
 2180 iucode-tool                        	     888       76      788       24        0
 2181 libkf5kcmutilscore5                	     888       56      256       17      559
 2182 libmujs2                           	     886       11       20        0      855
 2183 libkf5newstuff-data                	     880        9       61        2      808
 2184 python3-decorator                  	     879       15      848       16        0
 2185 qml-module-qtquick-shapes          	     879        0        3        0      876
 2186 libreoffice-java-common            	     878       27      756       95        0
 2187 libyaml-libyaml-perl               	     878        0        2        0      876
 2188 fonts-noto                         	     876        0        0        0      876
 2189 libncurses5                        	     875        0        0        0      875
 2190 intel-microcode                    	     873       48      764       59        2
 2191 bolt                               	     872       83      726       63        0
 2192 gir1.2-nm-1.0                      	     872      157      427       28      260
 2193 libkf5newstuff5                    	     872       91      318       18      445
 2194 libqt5multimediaquick5             	     870        1        1        0      868
 2195 dwz                                	     869       24      833       12        0
 2196 python3-websockets                 	     869       11      796       62        0
 2197 fonts-noto-unhinted                	     866        0        0        0      866
 2198 apt-config-icons                   	     864        0        0        0      864
 2199 qml-module-org-kde-kquickcontrolsaddons	     863       60      251       15      537
 2200 python3-tk                         	     862       15      752       14       81
 2201 qml-module-qtmultimedia            	     862        1        1        0      860
 2202 binfmt-support                     	     861      138      719        4        0
 2203 libodbc2                           	     860       11       21        0      828
 2204 python3-lazr.uri                   	     858        5      769       84        0
 2205 python3-wadllib                    	     857        5      778       74        0
 2206 qml-module-qt-labs-platform        	     856       53      249       16      538
 2207 fonts-noto-ui-extra                	     855       15       28        0      812
 2208 python3-lazr.restfulclient         	     855        5      776       74        0
 2209 libflashrom1                       	     854       33      197       21      603
 2210 libimport-into-perl                	     854       15      800       39        0
 2211 libpcre2-dev                       	     854       37      787       30        0
 2212 docbook-xsl                        	     853        0        0        0      853
 2213 libmoo-perl                        	     852       31      785       36        0
 2214 libcapture-tiny-perl               	     851       31      780       40        0
 2215 libkf5kcmutils-bin                 	     851        0        0        0      851
 2216 fonts-noto-cjk-extra               	     850       23       41        0      786
 2217 kinit                              	     850       92      724       34        0
 2218 qml-module-org-kde-kcmutils        	     849        0        0        0      849
 2219 libjcat1                           	     848       39      213       22      574
 2220 libwireplumber-0.4-0               	     848       17       45        1      785
 2221 fwupd                              	     845      134      656       55        0
 2222 libreoffice-base-drivers           	     844        8      741       95        0
 2223 linux-headers-amd64                	     844        0        0        0      844
 2224 yt-dlp                             	     844       39      740       65        0
 2225 libepub0                           	     842        0        2        0      840
 2226 libkf5guiaddons-bin                	     842       16      768       58        0
 2227 libphonon4qt5-data                 	     842       29      136        4      673
 2228 ant                                	     839       19      809       11        0
 2229 cdrdao                             	     838       20      773       45        0
 2230 libreoffice-base                   	     838       40      715       83        0
 2231 lzop                               	     837       25      774       38        0
 2232 libjaylink0                        	     836       30      179       20      607
 2233 qml-module-org-kde-kquickcontrols  	     836        0       10        2      824
 2234 libkf5calendarevents5              	     835       59      247       15      514
 2235 libmail-java                       	     835        0        0        0      835
 2236 libcogl20                          	     832        4       25        1      802
 2237 seatd                              	     832      156      608       68        0
 2238 libcogl-pango20                    	     830        4       25        1      800
 2239 libcogl-path20                     	     830        4       25        1      800
 2240 libkf5plasmaquick5                 	     828       62      266       16      484
 2241 ant-optional                       	     827        0        0        0      827
 2242 libclutter-1.0-0                   	     827        4       25        1      797
 2243 plasma-framework                   	     826       75      713       38        0
 2244 apache2-utils                      	     825       39      759       27        0
 2245 gparted-common                     	     825        0        5        1      819
 2246 libdebhelper-perl                  	     825       18      793       14        0
 2247 libgl-dev                          	     822       21      780       21        0
 2248 libgnome-desktop-3-20              	     822       39      122        7      654
 2249 ntpsec                             	     822      290      525        7        0
 2250 python3-ply                        	     822       20      765       37        0
 2251 libglx-dev                         	     821       18      782       21        0
 2252 qml-module-org-kde-kconfig         	     821       61      263       16      481
 2253 libgs9                             	     818        0        2        0      816
 2254 libqt5script5                      	     818       20       33        0      765
 2255 fonts-hack                         	     817       49      156        8      604
 2256 libactivation-java                 	     817        0        0        0      817
 2257 menu                               	     816       58      719       39        0
 2258 acpid                              	     815      227      585        3        0
 2259 libaec0                            	     815        3        9        0      803
 2260 fwupd-amd64-signed                 	     814        0        4        0      810
 2261 liblinear4                         	     814        0        0        0      814
 2262 libsz2                             	     814        3        9        0      802
 2263 libaccounts-glib0                  	     813       31       88        1      693
 2264 libboost-regex1.74.0               	     813       30       38        0      745
 2265 libbcpkix-java                     	     810        0        0        0      810
 2266 libglib2.0-dev                     	     810       16      695       27       72
 2267 libicu67                           	     810      183      444        7      176
 2268 fonts-sil-gentium-basic            	     809       15       24        0      770
 2269 smbclient                          	     809       28      725       56        0
 2270 fonts-sil-gentium                  	     808       13       21        0      774
 2271 libservlet-api-java                	     807        0        0        0      807
 2272 libnss-nis                         	     806       72      166        0      568
 2273 libphonenumber8                    	     806       66      182       13      545
 2274 libnss-nisplus                     	     804        0        0        0      804
 2275 libservlet3.1-java                 	     804        0        0        0      804
 2276 dconf-cli                          	     803       37      727       39        0
 2277 exfat-fuse                         	     803       11      775       17        0
 2278 acpi-support-base                  	     802        0        0        0      802
 2279 libcupsimage2                      	     802        0        1        0      801
 2280 libel-api-java                     	     802        0        0        0      802
 2281 libqt5quickparticles5              	     802        1        1        0      800
 2282 multiarch-support                  	     801        0        0        0      801
 2283 gnustep-common                     	     800       15      730       55        0
 2284 libavformat58                      	     800       19       46        0      735
 2285 libc-ares2                         	     800       26       55        0      719
 2286 orphan-sysvinit-scripts            	     800      150      413       13      224
 2287 python3-bcrypt                     	     800       44      687       69        0
 2288 gstreamer1.0-pulseaudio            	     799        1        0        0      798
 2289 libblkid-dev                       	     799        7      749       43        0
 2290 libncursesw5                       	     799        1        0        0      798
 2291 libreoffice-report-builder-bin     	     799        7      703       89        0
 2292 libselinux1-dev                    	     799       11      747       40        1
 2293 dc                                 	     797       19      766       12        0
 2294 libbcmail-java                     	     797        0        0        0      797
 2295 libkf5bluezqt-data                 	     797       66      690       41        0
 2296 libkf5bluezqt6                     	     796       61      248       15      472
 2297 chromium                           	     795       94      617       83        1
 2298 libfwupd2                          	     794       38      208       19      529
 2299 libcogl-common                     	     793        1        3        0      789
 2300 libglib2.0-dev-bin                 	     793       22      721       50        0
 2301 libjsp-api-java                    	     793        0        0        0      793
 2302 libpython3.11-dev                  	     793       23      743       27        0
 2303 mate-icon-theme                    	     792        0        0        0      792
 2304 qml-module-org-kde-bluezqt         	     792       54      232       15      491
 2305 gnustep-base-common                	     791        0        0        0      791
 2306 libgles1                           	     791        0        0        0      791
 2307 libclutter-1.0-common              	     790        1        4        0      785
 2308 libclutter-gtk-1.0-0               	     790        4       25        1      760
 2309 libkf5filemetadata-data            	     790        3        2        0      785
 2310 python3-more-itertools             	     790       15      744       31        0
 2311 libkf5filemetadata3                	     789       36      160       14      579
 2312 libreadline7                       	     789        0        2        0      787
 2313 libcommons-collections3-java       	     788        0        0        0      788
 2314 libwebsocket-api-java              	     787        0        0        0      787
 2315 python3-wheel                      	     787        8      758       19        2
 2316 libunicode-utf8-perl               	     786        0        0        0      786
 2317 fonts-linuxlibertine               	     785       30       45        0      710
 2318 apache2-data                       	     784        0        0        0      784
 2319 gnustep-base-runtime               	     784       15      717       52        0
 2320 ibus-data                          	     783       24       70        1      688
 2321 libkf5purpose-bin                  	     783        8       28        2      745
 2322 libkf5purpose5                     	     783       39      127        5      612
 2323 libpath-tiny-perl                  	     783       28      718       37        0
 2324 libfakekey0                        	     782       15       23        1      743
 2325 libhsqldb1.8.0-java                	     782        0        0        0      782
 2326 liburiparser1                      	     782       11       20        0      751
 2327 python3-pip                        	     782       26      738       18        0
 2328 python3.11-dev                     	     782       19      736       27        0
 2329 uuid-runtime                       	     782      229      545        8        0
 2330 chromium-common                    	     781       94      603       83        1
 2331 apache2                            	     780      217      548       14        1
 2332 libmount-dev                       	     780        7      731       42        0
 2333 libcfitsio10                       	     779        6       10        0      763
 2334 cryptsetup                         	     778      106      626       27       19
 2335 libkf5contacts-data                	     778        0        1        0      777
 2336 libqmobipocket2                    	     778        0        0        0      778
 2337 libxrender-dev                     	     778       16      745       17        0
 2338 python3-mako                       	     778       12      717       49        0
 2339 libgcab-1.0-0                      	     777       30      185       19      543
 2340 libpcre2-posix3                    	     777        9       11        1      756
 2341 libkf5contacts5                    	     776       72      280       18      406
 2342 libpng-tools                       	     773       16      727       30        0
 2343 libsignon-qt5-1                    	     773       27       83        1      662
 2344 webp-pixbuf-loader                 	     773        9       30        3      731
 2345 amd64-microcode                    	     772       36      708       28        0
 2346 libaccounts-qt5-1                  	     772       27       84        1      660
 2347 libkf5filemetadata-bin             	     772        1        3        0      768
 2348 libck-connector0                   	     771       87      202        6      476
 2349 libqhull-r8.0                      	     771        3        5        0      763
 2350 ffmpegthumbnailer                  	     770       51      665       54        0
 2351 libenca0                           	     770        4        6        0      760
 2352 unar                               	     769       16      702       51        0
 2353 brltty                             	     767      187      553       27        0
 2354 libsignon-plugins-common1          	     767        0        0        0      767
 2355 signon-plugin-oauth2               	     767        0        0        0      767
 2356 xwayland                           	     766       51      658       57        0
 2357 libeditorconfig0                   	     765       11       33        5      716
 2358 libkf5people-data                  	     765        0        0        0      765
 2359 libkf5peoplebackend5               	     765       59      248       15      443
 2360 libreoffice-sdbc-hsqldb            	     764       23      669       72        0
 2361 diffstat                           	     763       19      704       40        0
 2362 libkf5people5                      	     763       59      248       15      441
 2363 libkf5peoplewidgets5               	     763       59      246       15      443
 2364 libsmbios-c2                       	     763       30      185       19      529
 2365 libswscale5                        	     763       16       38        0      709
 2366 libfbclient2                       	     762        2        3        0      757
 2367 libreadonly-perl                   	     762       13      736       13        0
 2368 patchutils                         	     762       22      701       39        0
 2369 libitext-java                      	     761        0        0        0      761
 2370 qml-module-org-kde-purpose         	     761        0        2        0      759
 2371 kaccounts-providers                	     760        0        0        0      760
 2372 kdeconnect                         	     760      151      580       29        0
 2373 libmspack0                         	     760      102      192        1      465
 2374 libcue2                            	     759        0        2        0      757
 2375 libnet-domain-tld-perl             	     759       25      695       39        0
 2376 libstrictures-perl                 	     759       25      698       36        0
 2377 libkf5pty-data                     	     758        0        0        0      758
 2378 libtss2-tctildr0                   	     758        5       23        0      730
 2379 wodim                              	     758       26      693       39        0
 2380 libkf5pty5                         	     757       64      271       15      407
 2381 libmbedtls14                       	     757       11       32        1      713
 2382 libmbedx509-1                      	     757       11       32        1      713
 2383 chromium-sandbox                   	     756        9      631      115        1
 2384 libkf5js5                          	     756       10       18        0      728
 2385 libruby                            	     756        0        0        0      756
 2386 libjcommon-java                    	     755        0        0        0      755
 2387 libopengl-dev                      	     755        0        0        0      755
 2388 libjs-jquery-ui                    	     754        0        0        0      754
 2389 firebird3.0-common-doc             	     752        0        0        0      752
 2390 libkf5prison5                      	     752       83      300       18      351
 2391 libustr-1.0-1                      	     752        0        0        0      752
 2392 python3-setproctitle               	     752       70      643       39        0
 2393 firebird3.0-common                 	     751        0        0        0      751
 2394 ruby-webrick                       	     750       12      712       26        0
 2395 libmpdec3                          	     749       17       36        0      696
 2396 libportmidi0                       	     749        2        1        0      746
 2397 librados2                          	     747        2        2        0      743
 2398 libutf8proc2                       	     747       27       62        5      653
 2399 libxcb-dpms0                       	     747       74      272       17      384
 2400 mate-themes                        	     747        0        0        0      747
 2401 ruby-sdbm                          	     747        0        0        0      747
 2402 libkaccounts2                      	     746       27       83        1      635
 2403 libpostproc55                      	     746       12       24        0      710
 2404 libwxbase3.2-1                     	     746       10       21        1      714
 2405 liblist-utilsby-perl               	     745       22      686       37        0
 2406 libsub-override-perl               	     745       10      725       10        0
 2407 libwmf0.2-7                        	     745        0        0        0      745
 2408 xsettingsd                         	     745       91      612       42        0
 2409 libegl-dev                         	     742       12      715       15        0
 2410 libtotem-plparser-common           	     742        0        0        0      742
 2411 qml-module-qtquick-particles2      	     742        1        1        0      740
 2412 libbrotli-dev                      	     741        8      698       35        0
 2413 libgsl27                           	     741        5        3        0      733
 2414 libtotem-plparser18                	     741        3        9        0      729
 2415 qml-module-qtwebengine             	     741        0        3        0      738
 2416 libcpanel-json-xs-perl             	     740       17      684       39        0
 2417 libkf5syntaxhighlighting-data      	     740       19       57        1      663
 2418 libwxgtk3.2-1                      	     740       10       21        1      708
 2419 dkms                               	     739       63      648       27        1
 2420 libkf5syntaxhighlighting5          	     739       42      106        5      586
 2421 libsac-java                        	     739        0        0        0      739
 2422 libtime-duration-perl              	     739       11      689       39        0
 2423 python3-pyudev                     	     737        2      731        4        0
 2424 libflute-java                      	     735        0        0        0      735
 2425 libbase-java                       	     734        0        0        0      734
 2426 libcomerr2                         	     734        0        0        0      734
 2427 libkf5screen-bin                   	     734       81      611       42        0
 2428 libreoffice-sdbc-postgresql        	     734       66      608       60        0
 2429 libfonts-java                      	     733        0        0        0      733
 2430 libformula-java                    	     733        0        0        0      733
 2431 libloader-java                     	     733        0        0        0      733
 2432 librepository-java                 	     733        0        0        0      733
 2433 libtomcrypt1                       	     733        6       29        0      698
 2434 e2fslibs                           	     732        0        0        0      732
 2435 libehcache-java                    	     732        0        0        0      732
 2436 libpentaho-reporting-flow-engine-java	     732        0        0        0      732
 2437 libserializer-java                 	     732        0        0        0      732
 2438 libtext-unidecode-perl             	     732       33      692        7        0
 2439 libxml-commons-resolver1.1-java    	     732        0        0        0      732
 2440 libxml-java                        	     732        0        0        0      732
 2441 libcacard0                         	     731       23       29        0      679
 2442 libpixie-java                      	     731        0        0        0      731
 2443 python3-markdown                   	     731       19      703        9        0
 2444 python3-tornado                    	     730        5      668       57        0
 2445 gir1.2-rsvg-2.0                    	     728       13       56        8      651
 2446 liblayout-java                     	     728        0        0        0      728
 2447 libmalcontent-0-0                  	     728       50      222       18      438
 2448 libarchive-cpio-perl               	     726       18      699        9        0
 2449 libfreetype-dev                    	     726       18      670       38        0
 2450 liblzma-dev                        	     726       16      680       30        0
 2451 libexporter-tiny-perl              	     725       28      688        9        0
 2452 libllvm11                          	     725       67      208        5      445
 2453 libpython3.9-minimal               	     724      110      592       21        1
 2454 libswitch-perl                     	     724       25      697        2        0
 2455 libadwaita-1-0                     	     723       48      186       14      475
 2456 libharfbuzz-gobject0               	     722        0        0        0      722
 2457 libavfilter7                       	     721       11       22        0      688
 2458 libpython3.9-stdlib                	     721      124      578       18        1
 2459 libgdbm3                           	     720        0        0        0      720
 2460 libconfig-tiny-perl                	     719       40      643       36        0
 2461 openjdk-11-jre-headless            	     719       14      687       17        1
 2462 libjsoncpp25                       	     718       12       27        1      678
 2463 proj-data                          	     718        0        0        0      718
 2464 libdmtx0b                          	     717       84      286       18      329
 2465 libjpeg62-turbo-dev                	     716       18      669       29        0
 2466 libgsf-1-common                    	     715        1        1        0      713
 2467 libib-util                         	     715        1        2        0      712
 2468 libxt-dev                          	     715       14      693        8        0
 2469 perl-modules-5.32                  	     715       52      642       21        0
 2470 ruby3.1                            	     714       40      662       12        0
 2471 libipc-run3-perl                   	     713       21      655       37        0
 2472 libreoffice-report-builder         	     712       17      621       74        0
 2473 qml-module-org-kde-prison          	     712       32      164       14      502
 2474 apt-transport-https                	     710        7       47        0      656
 2475 libref-util-perl                   	     710       23      676       11        0
 2476 firebird3.0-server-core            	     709        0        1        0      708
 2477 libcommons-lang3-java              	     709        0        0        0      709
 2478 libgfapi0                          	     708        2        2        0      704
 2479 libgfrpc0                          	     708        2        2        0      704
 2480 libgfxdr0                          	     708        2        2        0      704
 2481 libglusterfs0                      	     708        2        2        0      704
 2482 libgsf-1-114                       	     708        3        5        0      700
 2483 python3.9-minimal                  	     708      126      565       16        1
 2484 tcpdump                            	     708       29      672        7        0
 2485 libhpmud0                          	     707       15       51        0      641
 2486 libostree-1-1                      	     707       18       57        6      626
 2487 python3-openssl                    	     707       13      679       14        1
 2488 python3.9                          	     707        9      668       29        1
 2489 fonts-lyx                          	     706       20       43        0      643
 2490 growisofs                          	     706       19      651       36        0
 2491 libkf5kexiv2-15.0.0                	     706       38      200       15      453
 2492 libreoffice-sdbc-firebird          	     706        8      622       76        0
 2493 qemu-utils                         	     706       29      645       32        0
 2494 blueman                            	     705      160      521       24        0
 2495 libfdt1                            	     705       42       54        0      609
 2496 qml-module-org-kde-people          	     705        0        0        0      705
 2497 libperl5.32                        	     704       37      647       20        0
 2498 libbabeltrace1                     	     703        2        1        0      700
 2499 strace                             	     703       36      658        9        0
 2500 libcbor0                           	     702        0        0        0      702
 2501 libopenconnect5                    	     702        6       27        0      669
 2502 kpeople-vcard                      	     701        0        1        0      700
 2503 libmagick++-6.q16-8                	     701        1        1        0      699
 2504 libperlio-gzip-perl                	     701        0        0        0      701
 2505 firebird3.0-utils                  	     700       12      667       21        0
 2506 libofa0                            	     699        1        1        0      697
 2507 libref-util-xs-perl                	     699        3        7        0      689
 2508 libkf5dnssd-data                   	     694       11       40        0      643
 2509 libkf5dnssd5                       	     693       25       71        0      597
 2510 firmware-linux-nonfree             	     692        0        3        0      689
 2511 gnome-disk-utility                 	     692       29      619       44        0
 2512 libusbredirparser1                 	     692       32       49        0      611
 2513 libdbi-perl                        	     691       51      633        7        0
 2514 libhdf5-103-1                      	     691        3        8        0      680
 2515 libwacom2                          	     691       96      319        7      269
 2516 python3-libevdev                   	     691       35      653        3        0
 2517 breeze-icon-theme                  	     690        0        0        0      690
 2518 libwinpr2-2                        	     689       15       15        0      659
 2519 rfkill                             	     689       24      640       25        0
 2520 libfont-ttf-perl                   	     688       20      632       36        0
 2521 libalgorithm-c3-perl               	     687       10      670        7        0
 2522 libclass-c3-perl                   	     687       10      670        7        0
 2523 libminiupnpc17                     	     687       22       41        0      624
 2524 libmro-compat-perl                 	     687       29      651        7        0
 2525 libhwloc-plugins                   	     686        0        4        0      682
 2526 ntpdate                            	     686       19      222        1      444
 2527 libfontconfig-dev                  	     685       15      647       23        0
 2528 libfreerdp2-2                      	     685       15       15        0      655
 2529 libhwloc15                         	     685        0        6        0      679
 2530 liblua5.4-0                        	     685       16       30        2      637
 2531 linux-compiler-gcc-12-x86          	     685        0        0        0      685
 2532 libclass-isa-perl                  	     684       13      669        2        0
 2533 libudev1                           	     684        1        1        1      681
 2534 linux-kbuild-6.1                   	     684        0        0        0      684
 2535 libjpeg-dev                        	     683        0        0        0      683
 2536 libmime-types-perl                 	     683       18      648       17        0
 2537 printer-driver-hpcups              	     683       14      652       17        0
 2538 cmake-data                         	     680       22      634       24        0
 2539 fig2dev                            	     680       18      640       22        0
 2540 kio-extras-data                    	     680        6       21        2      651
 2541 ktexteditor-data                   	     679        2       14        1      662
 2542 libntfs-3g883                      	     679        4        7        0      668
 2543 cmake                              	     677       33      621       23        0
 2544 gcc-11-base                        	     677        0        0        0      677
 2545 libjson-maybexs-perl               	     677       25      616       36        0
 2546 devuan-baseconf                    	     674        0        0        0      674
 2547 libkf5texteditor5                  	     674       10       33        4      627
 2548 libemail-address-xs-perl           	     673       25       33        0      615
 2549 libkf5newstuffwidgets5             	     673       75      281       18      299
 2550 kio-extras                         	     672       66      246       16      344
 2551 ktexteditor-katepart               	     672        0        3        1      668
 2552 libxml2-dev                        	     671       18      631       22        0
 2553 lintian                            	     671       22      605       44        0
 2554 openjdk-11-jre                     	     671        1        4        0      666
 2555 transmission-common                	     670        0        0        0      670
 2556 libfreexl1                         	     669        3        7        0      659
 2557 libhdf4-0-alt                      	     669        3        7        0      659
 2558 texinfo                            	     669       20      640        9        0
 2559 java-wrappers                      	     668       11      649        8        0
 2560 okular                             	     668       54      570       44        0
 2561 fonts-lmodern                      	     667       31       51        0      585
 2562 libarpack2                         	     667        3        7        0      657
 2563 libkf5khtml-data                   	     667        0        0        0      667
 2564 libnetpbm10                        	     666        1        0        0      665
 2565 libclass-inspector-perl            	     665       43      608       14        0
 2566 libkf5texteditor-bin               	     665        5      615       45        0
 2567 printer-driver-postscript-hp       	     665       17      632       16        0
 2568 libglu1-mesa-dev                   	     664       16      642        6        0
 2569 libkf5activitiesstats1             	     664       59      249       15      341
 2570 libpam-cap                         	     664      166      269        0      229
 2571 libsereal-encoder-perl             	     663        0        2        0      661
 2572 libsquashfuse0                     	     663        1        0        0      662
 2573 exfat-utils                        	     662        8      641       13        0
 2574 ipxe-qemu                          	     662       38      585        7       32
 2575 libkf5balooengine5                 	     662       34      149       14      465
 2576 libruby3.1                         	     662      116      536       10        0
 2577 libsereal-decoder-perl             	     662        0        2        0      660
 2578 ruby-minitest                      	     662       14      635       13        0
 2579 libkf5khtml5                       	     661        0        1        0      660
 2580 ruby-power-assert                  	     661       14      636       11        0
 2581 libgrantlee-templates5             	     660       72      272       18      298
 2582 libkf5baloo5                       	     660       34      144       14      468
 2583 ruby-test-unit                     	     659       14      634       11        0
 2584 seabios                            	     659        0        0        0      659
 2585 libpython3.9                       	     658       12       31        0      615
 2586 libspice-server1                   	     658       32       48        0      578
 2587 qemu-system-common                 	     657       36      591       30        0
 2588 gdal-data                          	     656        0        0        0      656
 2589 libxdgutilsbasedir1.0.1            	     656        0        0        1      655
 2590 libavresample4                     	     655        3        3        0      649
 2591 liblog4j1.2-java                   	     655        0        0        0      655
 2592 libxdgutilsdesktopentry1.0.1       	     655        0        0        1      654
 2593 libimage-magick-q16-perl           	     654        0        2        0      652
 2594 breeze-cursor-theme                	     653        0        0        0      653
 2595 gcc-6-base                         	     653        0        0        0      653
 2596 libgles-dev                        	     653       10      627       16        0
 2597 libxmlsec1-openssl                 	     652        5       22        0      625
 2598 libimage-magick-perl               	     651        9      623       19        0
 2599 libstoken1                         	     651        6       23        0      622
 2600 libclass-c3-xs-perl                	     650        0        0        0      650
 2601 liblist-someutils-perl             	     650       20      595       35        0
 2602 libgit2-1.5                        	     649        1        4        0      644
 2603 liblist-compare-perl               	     649       22      591       36        0
 2604 liblogging-stdlog0                 	     649        0        0        0      649
 2605 python3-reportlab                  	     648       15      623       10        0
 2606 gdb                                	     646       34      594       18        0
 2607 libmouse-perl                      	     646        0        0        0      646
 2608 libwmf-bin                         	     645       18      616       11        0
 2609 mate-desktop-common                	     645       37      116        9      483
 2610 sddm                               	     645       88      513       44        0
 2611 gir1.2-ayatanaappindicator3-0.1    	     644       67      122        4      451
 2612 libkf5style5                       	     644       63      256       15      310
 2613 proj-bin                           	     644       14      616       14        0
 2614 qemu-system-x86                    	     644       76      538       30        0
 2615 libdata-validate-domain-perl       	     643       21      585       37        0
 2616 liblcms2-utils                     	     643       12      622        9        0
 2617 printer-driver-gutenprint          	     643       30      602       11        0
 2618 libkf5khtml-bin                    	     642        0        0        0      642
 2619 gnupg-agent                        	     640       14      192        2      432
 2620 libglvnd-dev                       	     640        3      106        0      531
 2621 libmailutils9                      	     640        0        0        0      640
 2622 pigz                               	     640       45      590        5        0
 2623 liblist-moreutils-perl             	     639       21      490        6      122
 2624 libsepol-dev                       	     638        8      604       26        0
 2625 netcat-openbsd                     	     638       40      588       10        0
 2626 osinfo-db                          	     638        0        0        0      638
 2627 libemail-date-format-perl          	     637       15      610       12        0
 2628 kde-style-breeze                   	     636       70      251       16      299
 2629 libkf5kdcraw5                      	     636        3        5        0      628
 2630 libperlio-utf8-strict-perl         	     636        0        0        0      636
 2631 libxfixes-dev                      	     636        9      610       17        0
 2632 unattended-upgrades                	     636       92      523       21        0
 2633 libcmis-0.5-5v5                    	     635        0        0        0      635
 2634 libhdf5-hl-100                     	     635        3        7        0      625
 2635 libmusicbrainz5cc2v5               	     634        1        0        0      633
 2636 vbetool                            	     634       10      620        4        0
 2637 libc6-i386                         	     633        0        1        0      632
 2638 libptexenc1                        	     633        0        0        0      633
 2639 libsrt1.4-gnutls                   	     633       20       47        0      566
 2640 breeze                             	     632       11      570       51        0
 2641 kwin-style-breeze                  	     632       64      230       16      322
 2642 libc6-dbg                          	     632       13      567       46        6
 2643 libkf5prisonscanner5               	     632        0        0        0      632
 2644 libkuserfeedbackcore1              	     632       65      271       15      281
 2645 libxcb-render0-dev                 	     632        9      609       14        0
 2646 pm-utils                           	     632       53      576        3        0
 2647 libbsh-java                        	     631        0        0        0      631
 2648 libkf5jsapi5                       	     631       10       18        0      603
 2649 libnatpmp1                         	     631       15       34        0      582
 2650 librttopo1                         	     631        3        7        0      621
 2651 libsuperlu5                        	     631        3        6        0      622
 2652 evolution-data-server-common       	     629       21       99        6      503
 2653 libkf5i18nlocaledata5              	     629       61      249       18      301
 2654 libnfsidmap1                       	     629      216      380        3       30
 2655 khelpcenter                        	     628       15      565       48        0
 2656 konsole-kpart                      	     628       44      149        7      428
 2657 librhash0                          	     628        0        1        0      627
 2658 libgeotiff5                        	     627        3        7        0      617
 2659 libvdeplug2                        	     627       41       48        0      538
 2660 texlive-binaries                   	     627       46      561       20        0
 2661 hddtemp                            	     626       26      589       11        0
 2662 kde-cli-tools-data                 	     626        0        7        0      619
 2663 libaliased-perl                    	     626       21      569       36        0
 2664 libdevel-size-perl                 	     626        0        0        0      626
 2665 libgl1-mesa-glx                    	     626        0        0        0      626
 2666 libhtml-html5-entities-perl        	     625       20      569       36        0
 2667 libkuserfeedbackwidgets1           	     625       34      101        6      484
 2668 libmoox-aliases-perl               	     625       20      569       36        0
 2669 libogdi4.1                         	     625        5      547       10       63
 2670 texlive-base                       	     625       28      578       19        0
 2671 fonts-noto-hinted                  	     624        0        0        0      624
 2672 libblosc1                          	     624        3        7        0      614
 2673 libdata-dpath-perl                 	     624       20      568       36        0
 2674 libgtk-layer-shell0                	     624      103      261       16      244
 2675 libiterator-perl                   	     624       20      568       36        0
 2676 libiterator-util-perl              	     624       20      568       36        0
 2677 libjaxp1.3-java                    	     624        0        0        0      624
 2678 libtext-markdown-discount-perl     	     624        0        0        0      624
 2679 libxinerama-dev                    	     624       10      599       15        0
 2680 libgutenprint-common               	     623        0        0        0      623
 2681 libwpe-1.0-1                       	     623       14       46        0      563
 2682 libwpebackend-fdo-1.0-1            	     623       14       47        0      562
 2683 libxz-java                         	     623        0        0        0      623
 2684 libdata-messagepack-perl           	     622        0        0        0      622
 2685 libtext-levenshteinxs-perl         	     622        0        0        0      622
 2686 qemu-system-data                   	     622        0        0        0      622
 2687 cryptsetup-initramfs               	     621       41      556       24        0
 2688 inxi                               	     620       20      544       56        0
 2689 kdoctools5                         	     620        9      568       43        0
 2690 libgps28                           	     620       12       45        3      560
 2691 libgutenprint9                     	     620        1        0        0      619
 2692 libslirp0                          	     620       46       51        0      523
 2693 libtext-xslate-perl                	     620       14      569       37        0
 2694 libtime-moment-perl                	     620        0        0        0      620
 2695 libxft-dev                         	     620        9      598       13        0
 2696 mariadb-client-core                	     620       43      509       68        0
 2697 python3-requests-toolbelt          	     620        9      601       10        0
 2698 qml-module-org-kde-userfeedback    	     620        1       17        1      601
 2699 kde-cli-tools                      	     619       49      524       46        0
 2700 python3-cssselect                  	     619        4      582       33        0
 2701 libnamespace-autoclean-perl        	     618       25      585        8        0
 2702 libkdsoap1                         	     617        2        1        0      614
 2703 libkf5su-data                      	     616        0        0        0      616
 2704 info                               	     615       25      587        3        0
 2705 inkscape                           	     614       35      565       14        0
 2706 konsole                            	     614       73      507       34        0
 2707 libkf5su-bin                       	     613        0        0        0      613
 2708 libkf5su5                          	     613        0        2        0      611
 2709 libgeos-c1v5                       	     612        4        7        0      601
 2710 libpugixml1v5                      	     612        6       10        0      596
 2711 dolphin                            	     611       61      512       38        0
 2712 libkdecorations2-5v5               	     610       63      256       15      276
 2713 libteckit0                         	     610        0        0        0      610
 2714 kate                               	     609       44      527       38        0
 2715 brasero-common                     	     608        0        0        0      608
 2716 libkmlbase1                        	     608        3        7        0      598
 2717 libkmldom1                         	     608        3        7        0      598
 2718 libspatialite7                     	     608        3        6        0      599
 2719 lmodern                            	     608        0        1        0      607
 2720 libkmlengine1                      	     607        3        7        0      597
 2721 libpixman-1-dev                    	     607        6      588       13        0
 2722 libzzip-0-13                       	     607        6       19        0      582
 2723 python3-zipp                       	     607        9      575       23        0
 2724 libxrandr-dev                      	     606        8      582       16        0
 2725 python3-dnspython                  	     606        6      584       16        0
 2726 libosinfo-1.0-0                    	     605        8       13        0      584
 2727 libqrcodegencpp1                   	     605        9       37        1      558
 2728 libxmlgraphics-commons-java        	     605        0        0        0      605
 2729 libbrasero-media3-1                	     604        1        4        0      599
 2730 libcpupower1                       	     604       10       26        2      566
 2731 liblist-someutils-xs-perl          	     604        0        0        0      604
 2732 libqt6dbus6                        	     604       35       40        6      523
 2733 python3-reportlab-accel            	     604       10      585        7        2
 2734 hplip-data                         	     603       10      528        8       57
 2735 libgpod-common                     	     603       56      507       40        0
 2736 libqt5keychain1                    	     603       74      271       19      239
 2737 transmission-gtk                   	     603       21      542       40        0
 2738 libqt6gui6                         	     602       35       40        7      520
 2739 libsource-highlight-common         	     602        0        0        0      602
 2740 texlive-latex-base                 	     600       37      542       21        0
 2741 ure-java                           	     600       63      464       73        0
 2742 libpackagekitqt5-1                 	     599       56      234       14      295
 2743 libqt5sql5-mysql                   	     598       27       60        0      511
 2744 libjbig-dev                        	     597        5      579       13        0
 2745 libqt6network6                     	     597       32       35        6      524
 2746 libscim8v5                         	     597        1        3        0      593
 2747 thin-provisioning-tools            	     597       36      540       21        0
 2748 libsane-hpaio                      	     596       55      485        8       48
 2749 libbatik-java                      	     595       16      569        9        1
 2750 libfyba0                           	     595        3        7        0      585
 2751 libxi-dev                          	     595        7      573       15        0
 2752 libmime-lite-perl                  	     594       14      568       12        0
 2753 linux-image-6.1.0-28-amd64         	     594       11      581        0        2
 2754 python3-scour                      	     594        6      578       10        0
 2755 libdate-manip-perl                 	     593       39      535       19        0
 2756 libmikmod3                         	     592       10       22        1      559
 2757 libxcb-shm0-dev                    	     592        9      569       14        0
 2758 qdbus-qt5                          	     591        8      531       41       11
 2759 baloo-kf5                          	     590       89      477       24        0
 2760 libstdc++-10-dev                   	     590        9      575        6        0
 2761 libxs-parse-keyword-perl           	     590        0        0        0      590
 2762 mariadb-server-core                	     590      102      442       46        0
 2763 libdolphinvcs5                     	     589       28       85        4      472
 2764 libeval-closure-perl               	     589       26      556        7        0
 2765 libilmbase25                       	     589       20       46        0      523
 2766 libkf5screen-data                  	     589       25      143        6      415
 2767 libreoffice                        	     589        0        0        0      589
 2768 libslf4j-java                      	     589        0        0        0      589
 2769 guile-2.2-libs                     	     587        1        1        0      585
 2770 libgmpxx4ldbl                      	     587        1        0        0      586
 2771 libzstd-dev                        	     587       11      559       17        0
 2772 libkf5screendpms8                  	     586       46      221       15      304
 2773 python3-jinja2                     	     586        7      537       42        0
 2774 libopenexr25                       	     585       20       46        0      519
 2775 kate5-data                         	     584        2       13        1      568
 2776 sqlite3                            	     584       31      529       24        0
 2777 breeze-gtk-theme                   	     583        0        0        0      583
 2778 libappimage1.0abi1                 	     583        0        0        0      583
 2779 libkf5screen8                      	     583       57      249       18      259
 2780 mtools                             	     583       20      539       24        0
 2781 unixodbc-common                    	     583        0        0        0      583
 2782 acpi                               	     582       25      548        9        0
 2783 libcapstone4                       	     582       41       48        0      493
 2784 libkf5baloowidgets5                	     582       29       86        4      463
 2785 libodbcinst2                       	     582        5        6        0      571
 2786 libpadwalker-perl                  	     582        0        0        0      582
 2787 libosmesa6                         	     581        0        1        0      580
 2788 libclutter-gst-3.0-0               	     580        0        2        0      578
 2789 libdevel-caller-perl               	     580        0        0        0      580
 2790 libkf5kdelibs4support-data         	     580        0        1        0      579
 2791 libpoppler102                      	     580       11       38        1      530
 2792 mscompress                         	     580       11      558       11        0
 2793 engrampa                           	     579       87      460       32        0
 2794 engrampa-common                    	     579       25       68        3      483
 2795 libdevel-lexalias-perl             	     579        0        0        0      579
 2796 printer-driver-escpr               	     579       10      559       10        0
 2797 qml-module-org-kde-kcoreaddons     	     579       59      247       15      258
 2798 libconst-fast-perl                 	     578       24      520       34        0
 2799 libxcursor-dev                     	     578        4      559       15        0
 2800 polkit-kde-agent-1                 	     578       70      404       39       65
 2801 python3-renderpm                   	     578        7      561        8        2
 2802 hplip                              	     577       41      528        8        0
 2803 libgmp-dev                         	     577       19      551        7        0
 2804 libqt6widgets6                     	     577       35       40        6      496
 2805 gir1.2-ibus-1.0                    	     576        8       79        8      481
 2806 gwenview                           	     576       40      491       45        0
 2807 libkf5networkmanagerqt6            	     576       64      270       17      225
 2808 liboauth0                          	     576        0        3        0      573
 2809 kfind                              	     575       10      518       47        0
 2810 kuserfeedback-doc                  	     575        0        0        0      575
 2811 libproj25                          	     574        4        5        0      565
 2812 kimageformat-plugins               	     573       97      323       16      137
 2813 qml-module-org-kde-qqc2desktopstyle	     573       60      249       15      249
 2814 libgnomekbd-common                 	     572        0        0        1      571
 2815 libsort-versions-perl              	     572       20      519       33        0
 2816 printer-driver-brlaser             	     572        5      557       10        0
 2817 fuse                               	     571       65      494       10        2
 2818 libevent-pthreads-2.1-7            	     571       11       25        0      535
 2819 libhtml-tokeparser-simple-perl     	     571       22      516       33        0
 2820 libxerces2-java                    	     571        0        0        0      571
 2821 python-matplotlib-data             	     571        0        0        0      571
 2822 libkf5pulseaudioqt3                	     570        8       17        0      545
 2823 libmate-desktop-2-17               	     570       78      244       12      236
 2824 lxmenu-data                        	     570        0        0        0      570
 2825 cabextract                         	     569       23      541        5        0
 2826 kwalletmanager                     	     569       18      507       44        0
 2827 kwin-data                          	     569       31      155        5      378
 2828 libkf5holidays-data                	     569       10       28        0      531
 2829 libkf5holidays5                    	     569       19       54        0      496
 2830 oxygen-sounds                      	     569        0        0        0      569
 2831 kamera                             	     568        0        3        0      565
 2832 libgnomekbd8                       	     568       80      245       15      228
 2833 libcairo2-dev                      	     567       14      536       17        0
 2834 marco-common                       	     567       32      123        7      405
 2835 cheese-common                      	     565        0        0        0      565
 2836 libgpod4                           	     565        7       14        0      544
 2837 libinih1                           	     565       15       34        1      515
 2838 libmate-panel-applet-4-1           	     565       78      225       13      249
 2839 libtiff-dev                        	     565       11      533       21        0
 2840 libu2f-udev                        	     565        4      118        2      441
 2841 gir1.2-soup-3.0                    	     564        9       51        9      495
 2842 libgjs0g                           	     564        7       61        8      488
 2843 libio-pty-perl                     	     564       20       23        0      521
 2844 libmenu-cache-bin                  	     564       29      495       40        0
 2845 libmenu-cache3                     	     564       48      162       10      344
 2846 libnet-netmask-perl                	     564       11      516       37        0
 2847 libpython2-stdlib                  	     564        0        0        0      564
 2848 mdadm                              	     564      130      420       14        0
 2849 python2-minimal                    	     564       38      523        3        0
 2850 ark                                	     563       34      484       45        0
 2851 audacious-plugins-data             	     563        1        5        0      557
 2852 bison                              	     563       25      534        4        0
 2853 lib32stdc++6                       	     563        0        1        0      562
 2854 libgeoclue-2-0                     	     563        6       56        8      493
 2855 libgnutls-openssl27                	     563       10       34        1      518
 2856 libreoffice-script-provider-js     	     563       47      472       44        0
 2857 mate-desktop                       	     563       10      509       44        0
 2858 gir1.2-json-1.0                    	     562       39      175       16      332
 2859 kwin-common                        	     562       70      269       16      207
 2860 libclass-accessor-perl             	     562       19      535        8        0
 2861 libkf5baloowidgets-bin             	     562       32      495       35        0
 2862 libkimageannotator-common          	     562        2        3        0      557
 2863 mate-polkit-common                 	     562       14       30        2      516
 2864 printer-driver-all                 	     562        0        0        0      562
 2865 printer-driver-foo2zjs-common      	     562       16      537        9        0
 2866 libreoffice-script-provider-bsh    	     561       47      470       44        0
 2867 libweather-ion7                    	     561        6       26        0      529
 2868 mate-terminal                      	     561       65      462       34        0
 2869 mate-terminal-common               	     561       17       56        4      484
 2870 plasma-integration                 	     561       70      391       34       66
 2871 python3-cycler                     	     561        8      543       10        0
 2872 audacious-plugins                  	     560        7       15        0      538
 2873 kwin-x11                           	     560       67      454       39        0
 2874 libreoffice-script-provider-python 	     560       49      471       40        0
 2875 marco                              	     560       92      437       31        0
 2876 milou                              	     560       15       37        2      506
 2877 plasma-desktop-data                	     560       31      155        5      369
 2878 qml-module-org-kde-kio             	     560        0        5        0      555
 2879 qt6-qpa-plugins                    	     560        0        0        0      560
 2880 audacious                          	     559       31      489       39        0
 2881 caja-common                        	     559       38      127        8      386
 2882 libpam-kwallet-common              	     559        0      400       53      106
 2883 mate-polkit                        	     559       75      220       13      251
 2884 printer-driver-foo2zjs             	     559       46      504        9        0
 2885 printer-driver-min12xxw            	     559       11      539        9        0
 2886 bluedevil                          	     558       75      445       38        0
 2887 drkonqi                            	     558       10      401       47      100
 2888 gnutls-bin                         	     558       31      493       34        0
 2889 libpam-kwallet5                    	     558       47      216       16      279
 2890 plasma-workspace                   	     558       89      435       34        0
 2891 powerdevil-data                    	     558       28      149        5      376
 2892 printer-driver-cjet                	     558       11      537       10        0
 2893 python2                            	     557       13      541        3        0
 2894 python3-kiwisolver                 	     557       12      520       24        1
 2895 qtgstreamer-plugins-qt5            	     557        0        0        0      557
 2896 simple-scan                        	     557       19      495       43        0
 2897 gstreamer1.0-clutter-3.0           	     556        0        0        0      556
 2898 ksshaskpass                        	     556       10      496       50        0
 2899 libtexluajit2                      	     556        0        0        0      556
 2900 python3-matplotlib                 	     556      115      420       21        0
 2901 qemu-system-gui                    	     556       11      409       30      106
 2902 kde-config-gtk-style               	     555       86      293       16      160
 2903 libreoffice-wiki-publisher         	     555       47      466       42        0
 2904 printer-driver-ptouch              	     555        9      537        9        0
 2905 python3-scipy                      	     555       12      516       27        0
 2906 python3-typing-extensions          	     555       16      505       34        0
 2907 konqueror                          	     554       25      487       42        0
 2908 libavahi-ui-gtk3-0                 	     554       17       18        0      519
 2909 libconfig-inifiles-perl            	     554       15      536        3        0
 2910 libdeflate-dev                     	     554        9      532       13        0
 2911 libkf5kdelibs4support5-bin         	     554       64      454       36        0
 2912 libmpdec2                          	     554        1        0        0      553
 2913 libpowerdevilcore2                 	     554       68      260       16      210
 2914 librbd1                            	     554        2       12        0      540
 2915 plasma-desktop                     	     554       80      440       34        0
 2916 python3-sip                        	     554        5      519       30        0
 2917 libcheese8                         	     553        0        2        0      551
 2918 libpcrecpp0v5                      	     553        6       11        0      536
 2919 printer-driver-pnm2ppa             	     553       12      532        9        0
 2920 qt6-translations-l10n              	     553       28       35        6      484
 2921 kwrite                             	     552       10      495       47        0
 2922 libdbus-1-dev                      	     552        8      516       28        0
 2923 libgnustep-base1.28                	     552        0        1        0      551
 2924 libmime-charset-perl               	     552        8      535        9        0
 2925 libreoffice-nlpsolver              	     552       45      466       41        0
 2926 ovmf                               	     552        0        0        0      552
 2927 powerdevil                         	     552       80      433       34        5
 2928 printer-driver-c2050               	     552       11      532        9        0
 2929 printer-driver-c2esp               	     552        3      539       10        0
 2930 printer-driver-sag-gdi             	     552        3      539       10        0
 2931 caja                               	     551       96      424       31        0
 2932 libberkeleydb-perl                 	     551       13       10        0      528
 2933 libvirglrenderer1                  	     551       14       16        0      521
 2934 libwww-mechanize-perl              	     551       24      495       32        0
 2935 lshw                               	     551       21      524        6        0
 2936 debconf-kde-data                   	     550        0        0        0      550
 2937 kde-config-screenlocker            	     550       30      153        5      362
 2938 kmenuedit                          	     550       12      490       48        0
 2939 kscreen                            	     550       73      441       36        0
 2940 libllvm14                          	     550        9        5        0      536
 2941 libsombok3                         	     550        1        1        0      548
 2942 libspecio-perl                     	     550       24      509       17        0
 2943 libunicode-linebreak-perl          	     550        1        1        0      548
 2944 libexecs0                          	     549        0        0        0      549
 2945 libkf5sysguard-data                	     549        3       18        0      528
 2946 libnet-ipv6addr-perl               	     549       10      502       37        0
 2947 libparams-validationcompiler-perl  	     549       24      518        7        0
 2948 libvorbisidec1                     	     549        4        5        0      540
 2949 libwebp-dev                        	     549        7      529       13        0
 2950 ntpsec-ntpdig                      	     549       47      490       12        0
 2951 printer-driver-pxljr               	     549       15      525        9        0
 2952 libkf5kdelibs4support5             	     548       60      243       15      230
 2953 foomatic-db-engine                 	     547       16      524        7        0
 2954 g++-10                             	     547       14      527        6        0
 2955 kinfocenter                        	     547       29      477       41        0
 2956 kwrited                            	     547       65      258       16      208
 2957 libdata-validate-ip-perl           	     547       23      489       35        0
 2958 libdebconf-kde1                    	     547        0        0        0      547
 2959 libdigest-hmac-perl                	     547       20      520        7        0
 2960 libreoffice-sdbc-mysql             	     547        9      478       60        0
 2961 printer-driver-m2300w              	     547       15      523        9        0
 2962 libboost-chrono1.74.0              	     545        1        4        0      540
 2963 libmate-menu2                      	     545       83      243       13      206
 2964 eom-common                         	     544        1        0        0      543
 2965 libfile-sharedir-perl              	     544       42      490       12        0
 2966 libboost-dev                       	     543        0        0        0      543
 2967 libgsettings-qt1                   	     543        2        9        0      532
 2968 libreoffice-qt5                    	     543       14      454       75        0
 2969 libtbbbind-2-5                     	     543        0        0        0      543
 2970 libtbbmalloc2                      	     543        4        8        0      531
 2971 qml-module-org-kde-solid           	     543        0        0        0      543
 2972 eom                                	     542       42      461       39        0
 2973 libgraphicsmagick-q16-3            	     542        7      528        7        0
 2974 libmatekbd-common                  	     542        0        0        0      542
 2975 libregexp-assemble-perl            	     542       15      517       10        0
 2976 libtbb12                           	     542        6       12        0      524
 2977 mate-user-guide                    	     542        0        0        0      542
 2978 partitionmanager                   	     542       13      485       44        0
 2979 qt6-gtk-platformtheme              	     542       16        6        3      517
 2980 fonts-texgyre                      	     541       44       95        0      402
 2981 libglvnd-core-dev                  	     541        3      517       15        6
 2982 libkfontinst5                      	     541        0        4        0      537
 2983 libmatekbd4                        	     541       79      226       13      223
 2984 libssl1.0.2                        	     541        4        4        0      533
 2985 libsuil-0-0                        	     541        1        0        0      540
 2986 libvirt0                           	     541      129      224        3      185
 2987 qml-module-org-kde-kholidays       	     541        0        0        0      541
 2988 debconf-kde-helper                 	     540       10      484       46        0
 2989 debian-mate-default-settings       	     540        0        0        0      540
 2990 kdialog                            	     540       13      484       43        0
 2991 libopts25                          	     540       76      148        0      316
 2992 libplasma-geolocation-interface5   	     540       12       46        3      479
 2993 libqt5networkauth5                 	     540        0        0        0      540
 2994 par2                               	     540       17      481       42        0
 2995 libccid                            	     539      102      433        4        0
 2996 libio-interactive-perl             	     539       21      483       35        0
 2997 libmatemixer-common                	     539        0        0        0      539
 2998 libpolkit-gobject-consolekit-1-0   	     539       84      190        1      264
 2999 apt-file                           	     538       23      508        7        0
 3000 attr                               	     538       19      503       16        0
 3001 e2fsprogs-l10n                     	     538        0        0        0      538
 3002 kde-config-sddm                    	     538        7      481       46        4
 3003 libcheese-gtk25                    	     538        0        2        0      536
 3004 libkfontinstui5                    	     538        0        2        0      536
 3005 libmatemixer0                      	     538       79      227       13      219
 3006 libmateweather-common              	     538       13       23        1      501
 3007 mate-notification-daemon           	     538       10      486       42        0
 3008 mate-session-manager               	     538       95      414       29        0
 3009 pcscd                              	     538      156      379        3        0
 3010 plasma-workspace-data              	     538       33      163        7      335
 3011 printer-driver-dymo                	     538        8      520       10        0
 3012 printer-driver-splix               	     538        3      526        9        0
 3013 qml-module-org-kde-draganddrop     	     538       59      248       15      216
 3014 kcalc                              	     537       19      479       39        0
 3015 libcommons-codec-java              	     537        0        0        0      537
 3016 libdiscid0                         	     537        2        3        0      532
 3017 libfreerdp-client2-2               	     537       14       15        0      508
 3018 libkf5akonadiprivate5abi2          	     537       72      280       18      167
 3019 liblbfgsb0                         	     537        0        1        0      536
 3020 qml-module-org-kde-kwindowsystem   	     537       59      248       15      215
 3021 akonadi-contacts-data              	     536        0        1        0      535
 3022 frameworkintegration               	     536       15       32        2      487
 3023 gjs                                	     536       38      467       31        0
 3024 lib32gcc-s1                        	     536        0        0        0      536
 3025 libcharls2                         	     536        0        4        0      532
 3026 libkf5mime-data                    	     536        2        2        0      532
 3027 mate-notification-daemon-common    	     536        2        0        0      534
 3028 plasma-pa                          	     536       65      252       16      203
 3029 libatinject-jsr330-api-java        	     535        0        0        0      535
 3030 libeinfo1                          	     535        2       10        4      519
 3031 libkf5akonadi-data                 	     535       13       35        0      487
 3032 libnotificationmanager1            	     535       66      258       16      195
 3033 mate-panel-common                  	     535       38      125        8      364
 3034 mate-settings-daemon-common        	     535       68      366       34       67
 3035 libappstreamqt2                    	     534       59      244       15      216
 3036 libkf5mime5abi1                    	     534       72      275       18      169
 3037 libkscreenlocker5                  	     534       63      256       15      200
 3038 libpowerdevilui5                   	     534        0        4        1      529
 3039 mate-menus                         	     534        0        0        0      534
 3040 mate-panel                         	     534       95      409       30        0
 3041 mate-settings-daemon               	     534       98      395       30       11
 3042 libmldbm-perl                      	     533       20      479       34        0
 3043 libsource-highlight4v5             	     533        2        1        0      530
 3044 libzxcvbn0                         	     533       33       61        1      438
 3045 libfm-extra4                       	     532       13       59        2      458
 3046 libkf5akonadicore5abi2             	     532       72      280       18      162
 3047 libkf5akonadiwidgets5abi1          	     531       72      275       18      166
 3048 libkf5krosscore5                   	     531        0        0        0      531
 3049 liboxygenstyleconfig5-5            	     531        2        4        0      525
 3050 smplayer                           	     531       33      462       36        0
 3051 libkf5akonadicontact5              	     529       72      274       18      165
 3052 libpskc0                           	     529        5       22        0      502
 3053 openrc                             	     529       91      411       27        0
 3054 python3-importlib-metadata         	     529        8      503       18        0
 3055 libgraphite2-dev                   	     528        4      511       13        0
 3056 libkcolorpicker0                   	     528        5        9        0      514
 3057 libprocps7                         	     528        0        0        0      528
 3058 mate-control-center-common         	     528        1        8        0      519
 3059 pluma-common                       	     528        3       11        0      514
 3060 apt-config-icons-large             	     527        0        0        0      527
 3061 flex                               	     527       16      505        6        0
 3062 gdal-plugins                       	     527        0        0        0      527
 3063 gdebi-core                         	     527       36      463       28        0
 3064 libigdgmm11                        	     527        9       27        0      491
 3065 libmatedict6                       	     527        0        2        0      525
 3066 liboxygenstyle5-5                  	     527        5       25        1      496
 3067 mate-control-center                	     527       35      454       38        0
 3068 plasma-discover-common             	     527       25      139        5      358
 3069 pluma                              	     527       42      445       40        0
 3070 qml-module-org-kde-activities      	     527        2       11        1      513
 3071 apg                                	     526       12      476       38        0
 3072 ffmpegthumbs                       	     526        1        3        0      522
 3073 kde-style-oxygen-qt5               	     526       12      470       44        0
 3074 libcolorcorrect5                   	     526       54      238       15      219
 3075 libmath-base85-perl                	     526       10      479       37        0
 3076 kross                              	     525        6      479       40        0
 3077 libaudcore5                        	     525        7       15        0      503
 3078 libkf5konq6                        	     525        3       29        9      484
 3079 libkf5krossui5                     	     525        0        0        0      525
 3080 mate-utils                         	     525       35      450       40        0
 3081 mate-utils-common                  	     525        0        1        0      524
 3082 printer-driver-fujixerox           	     525        8      508        9        0
 3083 python3-pylibacl                   	     525        4      466       55        0
 3084 xdg-user-dirs-gtk                  	     525       69      424       32        0
 3085 cdparanoia                         	     524       18      498        8        0
 3086 khotkeys-data                      	     524       25      145        4      350
 3087 libaudgui5                         	     524        7       14        0      503
 3088 libaudtag3                         	     524        7       11        0      506
 3089 libboost1.74-dev                   	     524        9      508        7        0
 3090 libkf5grantleetheme5               	     524       63      252       18      191
 3091 mate-calc                          	     524       30      452       42        0
 3092 mate-calc-common                   	     524        1        1        0      522
 3093 ntpsec-ntpdate                     	     524      103      415        6        0
 3094 plasma-nm                          	     524       66      263       16      179
 3095 clearlooks-phenix-deepsea-theme    	     523        0        0        0      523
 3096 fonts-wine                         	     523        3        5        0      515
 3097 libfreezethaw-perl                 	     523        8      479       36        0
 3098 libkf5modemmanagerqt6              	     523       58      244       15      206
 3099 libkimageannotator0                	     523        5        9        0      509
 3100 librda-common                      	     523        0        0        0      523
 3101 librda0                            	     523       83      246       13      181
 3102 mate-system-monitor                	     523       21      462       40        0
 3103 mate-system-monitor-common         	     523        0        5        0      518
 3104 qml-module-org-kde-quickcharts     	     523       59      247       15      202
 3105 qml-module-qtquick-virtualkeyboard 	     523        5       40        1      477
 3106 libkf5grantleetheme-plugins        	     522        5        7        1      509
 3107 libspdlog1.10                      	     522        7       12        1      502
 3108 libwine                            	     522       28      455        7       32
 3109 unicode-data                       	     522        0        0        0      522
 3110 dvdauthor                          	     521       10      479       32        0
 3111 kde-spectacle                      	     521       25      451       45        0
 3112 khotkeys                           	     521       59      245       15      202
 3113 libdee-1.0-4                       	     521       15       43        0      463
 3114 libdns-export1104                  	     521        0        1        0      520
 3115 libfile-homedir-perl               	     521       12      502        7        0
 3116 libharfbuzz-dev                    	     521       11      491       19        0
 3117 libisc-export1100                  	     521        0        1        0      520
 3118 libksysguardformatter1             	     521       60      250       15      196
 3119 libqalculate-data                  	     521        0        0        0      521
 3120 python-babel-localedata            	     521        0        0        0      521
 3121 python3-lz4                        	     521        5      489       27        0
 3122 python3-pil.imagetk                	     521        8      486       27        0
 3123 libkf5contacteditor5               	     520       72      274       18      156
 3124 liblist-moreutils-xs-perl          	     520        8       16        0      496
 3125 libqaccessibilityclient-qt5-0      	     520       46      225       15      234
 3126 libsyntax-keyword-try-perl         	     520        0        0        0      520
 3127 mate-backgrounds                   	     520        0        0        0      520
 3128 libprocesscore9                    	     519       60      250       15      194
 3129 libqt5xmlpatterns5                 	     519        2        7        0      510
 3130 smplayer-themes                    	     519        1        4        0      514
 3131 audacity-data                      	     518        0       40        0      478
 3132 libdata-validate-uri-perl          	     518       18      467       33        0
 3133 libkf5calendarcore5abi2            	     518       72      269       18      159
 3134 mate-desktop-environment-core      	     518        0        0        0      518
 3135 plasma-discover                    	     518       70      411       37        0
 3136 kgamma5                            	     517        0        1        0      516
 3137 perl-modules-5.28                  	     517       61      454        2        0
 3138 scrot                              	     517       23      464       30        0
 3139 libprocessui9                      	     516        0        2        0      514
 3140 python3-appdirs                    	     516        4      505        7        0
 3141 qml-module-gsettings1.0            	     516        0        0        0      516
 3142 apt-config-icons-hidpi             	     515        0        0        0      515
 3143 audacity                           	     515       28      472       15        0
 3144 libxdamage-dev                     	     515        6      497       12        0
 3145 python3-sniffio                    	     515        8      488       19        0
 3146 gir1.2-graphene-1.0                	     514       36      156       16      306
 3147 kde-baseapps                       	     514        0        0        0      514
 3148 plasma-disks                       	     514       73      406       35        0
 3149 libfltk1.3                         	     513        8        4        0      501
 3150 libmateweather1                    	     513       68      215       12      218
 3151 libtaskmanager6abi1                	     513       58      249       15      191
 3152 linux-image-6.1.0-25-amd64         	     513        2      510        0        1
 3153 mate-desktop-environment           	     513        0        0        0      513
 3154 mate-media-common                  	     513       40      129        8      336
 3155 python3-configobj                  	     513       18      478       17        0
 3156 kdeplasma-addons-data              	     512       26      144        5      337
 3157 kup-backup                         	     512       55      423       34        0
 3158 libbcutil-java                     	     512        0        0        0      512
 3159 libflac++10                        	     512        1        3        0      508
 3160 libmpx2                            	     512        0        0        0      512
 3161 libregexp-wildcards-perl           	     512       18      461       33        0
 3162 mate-applets-common                	     512       19       40        1      452
 3163 mate-media                         	     512       90      390       30        2
 3164 python3-h11                        	     512        7      487       18        0
 3165 libksysguardsensors1               	     511        7       27        0      477
 3166 libldacbt-abr2                     	     511       22       54        3      432
 3167 mate-power-manager-common          	     511       37      126        7      341
 3168 php-common                         	     511      151      359        1        0
 3169 akonadi-mime-data                  	     510       16       35        0      459
 3170 libksgrd9                          	     510        0       11        0      499
 3171 libksysguardsensorfaces1           	     510        7       26        0      477
 3172 libqt5virtualkeyboard5             	     510        5       40        1      464
 3173 mate-screensaver-common            	     510       68      202       13      227
 3174 akonadi-server                     	     509       44      428       37        0
 3175 gir1.2-pluma-1.0                   	     509        7       15        0      487
 3176 libgssdp-1.2-0                     	     509        1        2        0      506
 3177 mate-applets                       	     509       47      424       38        0
 3178 apt-config-icons-large-hidpi       	     508        0        0        0      508
 3179 libkf5mailtransport-data           	     508        3        9        0      496
 3180 libcrystalhd3                      	     507        1        3        0      503
 3181 libkf5identitymanagement5          	     507       72      270       18      147
 3182 libkf5pimtextedit-data             	     507        3       10        0      494
 3183 mate-power-manager                 	     507       84      393       30        0
 3184 qml-module-org-kde-ksysguard       	     507        7       27        0      473
 3185 gnome-video-effects                	     506        0        0        0      506
 3186 libreoffice-style-breeze           	     506       18      420       68        0
 3187 mate-screensaver                   	     506       93      385       28        0
 3188 texlive-latex-recommended          	     506        9      484       13        0
 3189 libfribidi-dev                     	     505        6      486       13        0
 3190 libgsound0                         	     505        4       15        0      486
 3191 libmate-slab0                      	     505        1        9        2      493
 3192 libmate-window-settings1           	     505        0        1        2      502
 3193 libmediaart-2.0-0                  	     505        1        4        0      500
 3194 python3-babel                      	     505       20      479        6        0
 3195 smplayer-l10n                      	     505        4        8        0      493
 3196 bup-doc                            	     504        0        0        0      504
 3197 libaudqt2                          	     504        1        2        0      501
 3198 libcommons-cli-java                	     504        0        0        0      504
 3199 libgsasl7                          	     504        1        0        0      503
 3200 libkf5akonadimime5                 	     504       72      269       18      145
 3201 libkf5libkdepim-data               	     504        4       12        0      488
 3202 libkf5mailtransport5               	     504       72      269       18      145
 3203 libnetcdf19                        	     504        3        5        0      496
 3204 libsidplayfp6                      	     504        7       19        0      478
 3205 libvamp-hostsdk3v5                 	     504        2        0        0      502
 3206 python3-fuse                       	     504        2      450       52        0
 3207 kde-plasma-desktop                 	     503        0        0        0      503
 3208 libfluidsynth2                     	     503        4        3        0      496
 3209 libkf5pimtextedit5abi2             	     503       35       88        1      379
 3210 libmarco-private2                  	     503       75      224       12      192
 3211 linux-image-6.1.0-31-amd64         	     503       25      311      166        1
 3212 bup                                	     502       12      433       57        0
 3213 dvisvgm                            	     502       10      473       19        0
 3214 libcapi20-3                        	     502        0        1        0      501
 3215 libglew2.2                         	     502        4       11        0      487
 3216 libjsr305-java                     	     502        0        0        0      502
 3217 libkf5libkdepim5                   	     502       32       86        1      383
 3218 libkolabxml1v5                     	     502        0        1        0      501
 3219 cheese                             	     501       15      448       38        0
 3220 libgtkspell0                       	     501       17       18        1      465
 3221 libtspi1                           	     501       41       67        1      392
 3222 libunistring0                      	     501        0        0        0      501
 3223 python3-all                        	     501        0        0        0      501
 3224 libev4                             	     500       32       74        1      393
 3225 libkf5mbox5                        	     500       24       65        1      410
 3226 libruby2.7                         	     500       76      412       12        0
 3227 libserf-1-1                        	     500        4        9        0      487
 3228 akonadi-backend-mysql              	     499        1       24        0      474
 3229 libkf5libkleo5                     	     499       25       65        1      408
 3230 plasma-dataengines-addons          	     499        1       17        1      480
 3231 libguava-java                      	     498        0        0        0      498
 3232 libxdo3                            	     498       12       32        0      454
 3233 sweeper                            	     498        7      448       43        0
 3234 tix                                	     498        8      480       10        0
 3235 kdepim-runtime                     	     497       38      420       39        0
 3236 libparse-recdescent-perl           	     497       13      475        9        0
 3237 libsvn1                            	     497        5       12        0      480
 3238 qml-module-qtwebkit                	     497        0        0        0      497
 3239 at                                 	     496      144      351        1        0
 3240 x11proto-core-dev                  	     496        2       43        0      451
 3241 gir1.2-evince-3.0                  	     495        0        0        0      495
 3242 libcommons-compress-java           	     495        0        0        0      495
 3243 libgupnp-1.2-0                     	     495        1        2        0      492
 3244 libkf5kontactinterface-data        	     495        0        0        0      495
 3245 libkf5libkdepim-plugins            	     495        0        0        0      495
 3246 libkf5mailtransportakonadi5        	     495       72      269       18      136
 3247 libkf5unitconversion-data          	     495        4       16        0      475
 3248 python-minimal                     	     495       48      442        4        1
 3249 dragonplayer                       	     494       15      439       40        0
 3250 gdb-minimal                        	     494        9      442       41        2
 3251 libjaxen-java                      	     494        0        0        0      494
 3252 libkf5libkleo-data                 	     494        2        2        0      490
 3253 libkf5unitconversion5              	     494       14       86       10      384
 3254 plasma-wallpapers-addons           	     494        0       16        1      477
 3255 plasma-widgets-addons              	     494       59      244       17      174
 3256 python3-rich                       	     494        7      468       19        0
 3257 texlive-fonts-recommended          	     494       24       43        0      427
 3258 wine                               	     494       34      452        7        1
 3259 libokular5core10                   	     493       10       14        0      469
 3260 libpkcs11-helper1                  	     493       55       85        4      349
 3261 libpython-stdlib                   	     493        0        0        0      493
 3262 plasma-runners-addons              	     493       14       72       11      396
 3263 python3-markdown-it                	     493       15      460       18        0
 3264 python3-mdurl                      	     493        6      469       18        0
 3265 python3-pip-whl                    	     493        0        0        0      493
 3266 libkf5akonadinotes5                	     492       31       85        0      376
 3267 libkf5calendarutils5               	     492       72      270       18      132
 3268 libkf5imap5                        	     492       33       86        0      373
 3269 libkf5kontactinterface5            	     492        7       18        1      466
 3270 libpython2.7                       	     492        3        3        0      486
 3271 libtexlua53-5                      	     492        0        1        0      491
 3272 libkf5akonadisearch-data           	     491        0        0        0      491
 3273 libkf5ldap-data                    	     491        0        0        0      491
 3274 liblerc-dev                        	     491        5      474       12        0
 3275 libtiffxx6                         	     491        0        0        0      491
 3276 mate-applet-brisk-menu             	     491       11       17        0      463
 3277 openvpn                            	     491       80      405        6        0
 3278 python                             	     491        9      477        5        0
 3279 debootstrap                        	     490       14      464       12        0
 3280 kdegraphics-thumbnailers           	     490        0        1        0      489
 3281 libintl-perl                       	     490       50      429       11        0
 3282 libkdecorations2private10          	     490       47      227       15      201
 3283 libkf5akonadiagentbase5            	     490       25       65        0      400
 3284 libgl1-mesa-dev                    	     489        0        0        0      489
 3285 libkpimgapi-data                   	     489        4       14        0      471
 3286 python3-mpmath                     	     489        3      477        9        0
 3287 python3-setuptools-whl             	     489        0        0        0      489
 3288 juk                                	     488        6      441       41        0
 3289 kio-ldap                           	     488        0        0        0      488
 3290 libgrilo-0.3-0                     	     488        0        2        0      486
 3291 libkf5ldap5abi1                    	     488       31       85        0      372
 3292 libkf5pimcommon5abi2               	     488       32       85        1      370
 3293 libkf5pimtextedit-plugins          	     488        0        0        0      488
 3294 libsasl2-modules-kdexoauth2        	     488       15       22        1      450
 3295 mplayer                            	     488       32      444       12        0
 3296 oxygen-icon-theme                  	     488        0        0        0      488
 3297 default-mysql-server-core          	     487        0        0        0      487
 3298 kde-config-mailtransport           	     487        0        0        0      487
 3299 kf5-messagelib-data                	     487        3       10        0      474
 3300 libjansi-java                      	     487        0        0        0      487
 3301 libkf5imap-data                    	     487        2        6        0      479
 3302 python3-sympy                      	     487       30      429       28        0
 3303 default-mysql-client-core          	     486        0        0        0      486
 3304 libdevel-globaldestruction-perl    	     486        7      475        4        0
 3305 libportsmf0                        	     486        1        0        0      485
 3306 libgdk-pixbuf-2.0-dev              	     485        8      464       13        0
 3307 libkf5eventviews-data              	     485        1        3        0      481
 3308 libkpimsmtp5abi1                   	     485        5       12        0      468
 3309 xorriso                            	     485        7      467       11        0
 3310 file-roller                        	     484       28      415       41        0
 3311 libiscsi7                          	     484        0        3        0      481
 3312 libkf5calendarsupport-data         	     484        1        5        0      478
 3313 libkpimgapicore5abi1               	     484        6       23        0      455
 3314 libopenblas0-pthread               	     484       15       37        0      432
 3315 python3-anyio                      	     484        5      453       26        0
 3316 libkf5akonadicalendar-data         	     483       23      135        4      321
 3317 konq-plugins                       	     482       13      426       43        0
 3318 libkf5akonadisearch-plugins        	     482       29      111       10      332
 3319 libsocket++1                       	     482        0        0        0      482
 3320 tcpd                               	     482       28      449        5        0
 3321 libkf5pimcommon-data               	     481        3       12        0      466
 3322 libkgantt2                         	     481       16       40        0      425
 3323 python3-fonttools                  	     481        4      451       26        0
 3324 python3-fs                         	     481        3      454       24        0
 3325 python3-nacl                       	     481       13      431       37        0
 3326 remmina-common                     	     481       15       14        0      452
 3327 kaddressbook                       	     480        7      429       44        0
 3328 libkf5gravatar-data                	     480        0        0        0      480
 3329 libkf5mailimporter5                	     480       23       65        0      392
 3330 libmpfr4                           	     480        0        0        0      480
 3331 libkf5akonadicalendar5abi1         	     479       71      267       18      123
 3332 librc1                             	     479        2        8        3      466
 3333 libsystemd0                        	     479       92       98        5      284
 3334 libxcomposite-dev                  	     479        4      463       12        0
 3335 openprinting-ppds                  	     479        7      468        4        0
 3336 remmina                            	     479       31      413       35        0
 3337 tipa                               	     479        0        0        0      479
 3338 foomatic-db-compressed-ppds        	     478        6      467        5        0
 3339 gir1.2-eom-1.0                     	     478        1        5        0      472
 3340 gir1.2-gdesktopenums-3.0           	     478        5       48        8      417
 3341 libgeos3.11.1                      	     478        4        5        0      469
 3342 libkf5ksieve5                      	     478        5       11        0      462
 3343 libsdl2-image-2.0-0                	     478        1        4        0      473
 3344 libyaml-tiny-perl                  	     478       30      443        5        0
 3345 qemu-block-extra                   	     478        5      391       14       68
 3346 gir1.2-matemenu-2.0                	     477        3       10        0      464
 3347 kmail                              	     477       35      403       39        0
 3348 libkf5akonadisearch-bin            	     477       30      409       38        0
 3349 libkf5gravatar5abi2                	     477       24       65        1      387
 3350 libkf5ksieve-data                  	     477        3        9        0      465
 3351 libkpimgapicalendar5               	     477        1        4        0      472
 3352 libkpimgapicontacts5               	     477        1        4        0      472
 3353 libkpimgapitasks5                  	     477        1        4        0      472
 3354 libpango1.0-dev                    	     477        8      452       17        0
 3355 libsgutils2-1.46-2                 	     477        4        3        0      470
 3356 akregator                          	     476        8      425       43        0
 3357 korganizer                         	     476       22      412       42        0
 3358 python3-httpcore                   	     476        5      452       19        0
 3359 qml-module-org-kde-sonnet          	     476        9       65        7      395
 3360 libfl-dev                          	     475        6      464        5        0
 3361 libisc-export160                   	     475        0        1        0      474
 3362 libkf5akonadisearchcore5           	     475       24       64        0      387
 3363 libkf5akonadisearchxapian5         	     475       24       64        0      387
 3364 libkf5pimcommonakonadi5abi1        	     475       31       85        0      359
 3365 libkpmcore12                       	     475        0        1        1      473
 3366 libprocps6                         	     475        0        0        0      475
 3367 python3-httpx                      	     475       13      434       28        0
 3368 seahorse                           	     475        9      428       38        0
 3369 gir1.2-upowerglib-1.0              	     474       41      200       17      216
 3370 libflatpak0                        	     474       11       33        5      425
 3371 libglade2-0                        	     474        1        0        0      473
 3372 libhttpcore-java                   	     474        0        0        0      474
 3373 libipc-run-perl                    	     474       23      443        8        0
 3374 libkf5akonadisearchpim5            	     474       36      128       10      300
 3375 libkf5incidenceeditor-data         	     474        0        0        0      474
 3376 libkf5kmanagesieve5                	     474        5       11        0      458
 3377 gdebi                              	     473       17      421       35        0
 3378 libdns-export162                   	     473        0        1        0      472
 3379 libhttpclient-java                 	     473        0        0        0      473
 3380 libkf5mailcommon-data              	     473        3       11        0      459
 3381 pango1.0-tools                     	     473       10      447       16        0
 3382 gconf2-common                      	     472        5        7        0      460
 3383 gnome-screenshot                   	     472       17      420       35        0
 3384 libkf5ksieveui5                    	     472        5       11        0      456
 3385 python3-ufolib2                    	     472        3      460        9        0
 3386 libkf5tnef5                        	     471        4        4        0      463
 3387 libmeanwhile1                      	     471       17       14        0      440
 3388 libqt6xml6                         	     471       28       26        6      411
 3389 remmina-plugin-vnc                 	     471       17       17        0      437
 3390 libgconf-2-4                       	     470        7       14        0      449
 3391 libgvnc-1.0-0                      	     470        1        2        0      467
 3392 libkf5akonadisearchdebug5          	     470        5       16        0      449
 3393 libkf5calendarsupport5abi1         	     470       16       40        0      414
 3394 libkf5eventviews5abi1              	     470       16       40        0      414
 3395 libspa-0.2-bluetooth               	     470       19       52        3      396
 3396 easy-rsa                           	     469       21      442        6        0
 3397 libarmadillo11                     	     469        3        4        0      462
 3398 libdom4j-java                      	     469        0        0        0      469
 3399 libjim0.79                         	     469        0        0        0      469
 3400 libkf5incidenceeditor5abi1         	     469       16       38        0      415
 3401 libpython3-all-dev                 	     469        0        0        0      469
 3402 pv                                 	     469       17      449        3        0
 3403 python3-future                     	     469       13      427       29        0
 3404 accountwizard                      	     468        6      419       43        0
 3405 gir1.2-gtk-4.0                     	     468        1        4        1      462
 3406 gstreamer1.0-nice                  	     468        0        0        0      468
 3407 kdepim-addons                      	     468       14      413       41        0
 3408 libcompfaceg1                      	     468       16       28        1      423
 3409 libgtk-vnc-2.0-0                   	     468        1        2        0      465
 3410 libgumbo1                          	     468        2        8        0      458
 3411 libkf5dav-data                     	     468        1        1        0      466
 3412 opensc-pkcs11                      	     468       58      105        0      305
 3413 python3-xlib                       	     468        5      420       43        0
 3414 remmina-plugin-rdp                 	     468       16       16        0      436
 3415 opensc                             	     467       54      402       11        0
 3416 consolekit                         	     466       80      384        2        0
 3417 dctrl-tools                        	     466       39      421        6        0
 3418 gconf-service                      	     466        7       14        0      445
 3419 libgdal32                          	     466        3        4        0      459
 3420 libkf5dav5                         	     466        4        7        0      455
 3421 libkf5mailcommon5abi2              	     466       23       65        0      378
 3422 libkf5mimetreeparser5abi1          	     466       24       64        1      377
 3423 libnautilus-extension4             	     466        2        8        2      454
 3424 libqalculate22                     	     466        8       62       10      386
 3425 spice-client-glib-usb-acl-helper   	     466        2       53        0      411
 3426 tex-gyre                           	     466        0        0        0      466
 3427 libspice-client-glib-2.0-8         	     465       10       14        0      441
 3428 docutils-common                    	     464        0        0        0      464
 3429 kmailtransport-akonadi             	     464        5       12        0      447
 3430 libkf5templateparser5              	     464       23       64        0      377
 3431 libtracker-sparql-3.0-0            	     464       29      105        6      324
 3432 pulseaudio-module-gsettings        	     464       90      354       20        0
 3433 knotes                             	     463       29      396       38        0
 3434 layer-shell-qt                     	     463        3       24        3      433
 3435 libaopalliance-java                	     463        0        0        0      463
 3436 libgeronimo-interceptor-3.0-spec-java	     463        0        0        0      463
 3437 libkf5messagecore5abi1             	     463       24       64        1      374
 3438 libkf5webengineviewer5abi1         	     463       24       64        1      374
 3439 liblept5                           	     463        4        6        0      453
 3440 libplexus-classworlds-java         	     463        0        0        0      463
 3441 libplexus-utils2-java              	     463        0        0        0      463
 3442 libasound2-dev                     	     462       11      446        5        0
 3443 libcdi-api-java                    	     462        0        0        0      462
 3444 libgeronimo-annotation-1.3-spec-java	     462        0        0        0      462
 3445 libguice-java                      	     462        0        0        0      462
 3446 libkpimpkpass5                     	     462        4        5        0      453
 3447 libmaven-shared-utils-java         	     462        0        0        0      462
 3448 libplexus-cipher-java              	     462        0        0        0      462
 3449 libplexus-component-annotations-java	     462        0        0        0      462
 3450 libplexus-interpolation-java       	     462        0        0        0      462
 3451 python3-all-dev                    	     462        0        0        0      462
 3452 kdepim-themeeditors                	     461        4      413       44        0
 3453 libiso9660-11                      	     461       10       25        0      426
 3454 libkf5messageviewer5abi1           	     461       24       64        1      372
 3455 libkpimitinerary-data              	     461        0        0        0      461
 3456 libmaven-parent-java               	     461        0        0        0      461
 3457 libplexus-sec-dispatcher-java      	     461        0        0        0      461
 3458 libsisu-inject-java                	     461        0        0        0      461
 3459 libsisu-plexus-java                	     461        0        0        0      461
 3460 python3-contourpy                  	     461        3      434       24        0
 3461 remmina-plugin-secret              	     461       16       16        0      429
 3462 xfce4-datetime-plugin              	     461       10       22        0      429
 3463 eog                                	     460       29      396       35        0
 3464 libmaven3-core-java                	     460        0        0        0      460
 3465 kmag                               	     459        6      411       42        0
 3466 libluajit-5.1-common               	     459        0        0        0      459
 3467 libwayland-bin                     	     459        6      434       19        0
 3468 libwayland-dev                     	     459        3      436       20        0
 3469 libxkbcommon-dev                   	     459        2      442       15        0
 3470 kmouth                             	     458        6      411       41        0
 3471 libkpimitinerary5                  	     458        4        5        0      449
 3472 libluajit-5.1-2                    	     458       15       26        2      415
 3473 libwagon-provider-api-java         	     458        0        0        0      458
 3474 libfarstream-0.2-5                 	     457       18       20        0      419
 3475 libkpimimportwizard5               	     457        0        0        0      457
 3476 libmaven-resolver-java             	     457        0        0        0      457
 3477 gdbm-l10n                          	     456        0        0        0      456
 3478 libapache2-mod-dnssd               	     456       24      397       35        0
 3479 libcroco3                          	     456        1        3        0      452
 3480 libdmapsharing-3.0-2               	     456        2        4        0      450
 3481 libosinfo-l10n                     	     456        1        3        0      452
 3482 libsdl2-mixer-2.0-0                	     456        2        4        0      450
 3483 pim-data-exporter                  	     456        6      407       43        0
 3484 pim-sieve-editor                   	     456        3      409       44        0
 3485 emacs-bin-common                   	     455       16      375       64        0
 3486 emacs-common                       	     455        6      353       68       28
 3487 libspice-client-gtk-3.0-5          	     455       10       14        0      431
 3488 mbox-importer                      	     455        5      406       44        0
 3489 vorbis-tools                       	     455       10      426       19        0
 3490 gnome-terminal-data                	     454       11       50        3      390
 3491 libkf5configqml5                   	     454       47      225       15      167
 3492 libkf5messagecomposer5abi1         	     454       23       64        0      367
 3493 libkgantt2-l10n                    	     454        7       21        0      426
 3494 python3-pyasn1                     	     454        5      435       14        0
 3495 unrar                              	     454       29      406       19        0
 3496 libfreetype6-dev                   	     453        1       65        0      387
 3497 libkf5messagelist5abi1             	     453       21       60        0      372
 3498 liborcus-0.16-0                    	     453        6       17        0      430
 3499 liborcus-parser-0.16-0             	     453        6       17        0      430
 3500 software-properties-gtk            	     453       13      401       39        0
 3501 gnome-calculator                   	     452       17      397       38        0
 3502 libegl1-mesa                       	     452        0        0        0      452
 3503 libfontconfig1-dev                 	     452        1       59        0      392
 3504 libkf5mailimporterakonadi5         	     452        0        0        0      452
 3505 libqt6core6                        	     452        8        6        0      438
 3506 libts0                             	     452        0        0        0      452
 3507 wine64                             	     452       21      425        6        0
 3508 emacs-el                           	     451        0        0        0      451
 3509 kmousetool                         	     451        5      404       42        0
 3510 libdatrie-dev                      	     451        4      435       12        0
 3511 libthai-dev                        	     451        4      435       12        0
 3512 libxentoolcore1                    	     451       96      192        2      161
 3513 shotwell-common                    	     451       33      115        9      294
 3514 print-manager                      	     450       60      354       36        0
 3515 libvirt-daemon                     	     449      138      308        3        0
 3516 python3-msgpack                    	     449       26      396       27        0
 3517 cryfs                              	     448        7      398       43        0
 3518 gnome-terminal                     	     448       50      367       31        0
 3519 libqpdf28                          	     448        0        0        0      448
 3520 nodejs                             	     448       28      401       19        0
 3521 gkbd-capplet                       	     447        5      404       38        0
 3522 libcarp-clan-perl                  	     447        7      433        7        0
 3523 libunity-scopes-json-def-desktop   	     447        0        0        0      447
 3524 linux-image-6.1.0-26-amd64         	     447        4      443        0        0
 3525 shotwell                           	     447       15      393       39        0
 3526 ksystemstats                       	     446       20      382       44        0
 3527 libunity-protocol-private0         	     446        8       13        0      425
 3528 libunity9                          	     446       15      395       36        0
 3529 libvirt-clients                    	     446       70      370        6        0
 3530 libjdom1-java                      	     445        0        0        0      445
 3531 libreadline-dev                    	     445       15      420        5        5
 3532 preview-latex-style                	     445        0        0        0      445
 3533 ttf-bitstream-vera                 	     445       54      124        0      267
 3534 aha                                	     444        9      395       40        0
 3535 bridge-utils                       	     444       89      348        7        0
 3536 gnome-online-accounts              	     444       85      261       18       80
 3537 kdeaccessibility                   	     444        0        0        0      444
 3538 libxencall1                        	     444       96      192        2      154
 3539 libxendevicemodel1                 	     444       96      192        2      154
 3540 libxenevtchn1                      	     444       96      192        2      154
 3541 libxenforeignmemory1               	     444       96      192        2      154
 3542 libxengnttab1                      	     444       96      192        2      154
 3543 libxentoollog1                     	     444       96      192        2      154
 3544 fonts-open-sans                    	     443       66      176        0      201
 3545 xsltproc                           	     443       14      415       14        0
 3546 gir1.2-accountsservice-1.0         	     442       30      136       11      265
 3547 libbit-vector-perl                 	     442        0        0        0      442
 3548 libgadu3                           	     442       15       13        0      414
 3549 plasma-browser-integration         	     442       52      355       35        0
 3550 xdg-desktop-portal-kde             	     442       71      342       29        0
 3551 gucharmap                          	     441       15      391       35        0
 3552 libvpl2                            	     440       66      146        6      222
 3553 libxfce4ui-1-0                     	     440        0        0        0      440
 3554 isympy-common                      	     439        9      407       23        0
 3555 isympy3                            	     439        9      407       23        0
 3556 libdate-calc-perl                  	     439        7      425        7        0
 3557 libextutils-pkgconfig-perl         	     439        3      425       11        0
 3558 libxom-java                        	     439        0        0        0      439
 3559 plasma-systemmonitor               	     439       14      383       42        0
 3560 qml-module-org-kde-syntaxhighlighting	     439        0        0        0      439
 3561 texlive-pictures                   	     439        8      418       13        0
 3562 gnome-settings-daemon-common       	     438       39      363       36        0
 3563 im-config                          	     438       66      358       14        0
 3564 kwin-wayland                       	     438       26      373       39        0
 3565 libgeocode-glib-2-0                	     438       46      136        9      247
 3566 libpcre16-3                        	     438        0        0        0      438
 3567 libusbredirhost1                   	     438        9       14        0      415
 3568 python3-venv                       	     438        1       16        0      421
 3569 libreoffice-style-elementary       	     437       25      342       70        0
 3570 neofetch                           	     437       25      393       19        0
 3571 geocode-glib-common                	     436        0        0        0      436
 3572 gnome-backgrounds                  	     436        0        0        0      436
 3573 libglut3.12                        	     436        0        0        0      436
 3574 libparams-validate-perl            	     436        1        5        0      430
 3575 btrfs-progs                        	     435       52      372       11        0
 3576 kde-standard                       	     435        0        0        0      435
 3577 libgweather-4-common               	     435        2        5        1      427
 3578 libkwinglutils14                   	     435       46      227       15      147
 3579 libcamel-1.2-64                    	     434       39      122        6      267
 3580 libebur128-1                       	     434        3        6        0      425
 3581 libedataserver-1.2-27              	     434       39      122        6      267
 3582 libkpipewire5                      	     434       45      221       15      153
 3583 libkwineffects14                   	     434       46      227       15      146
 3584 libges-1.0-0                       	     433        1        2        0      430
 3585 mupdf-tools                        	     433        9      404       20        0
 3586 python-pkg-resources               	     433       11      417        4        1
 3587 libnma-gtk4-0                      	     432        0        6        2      424
 3588 libqt6opengl6                      	     432       17       20        2      393
 3589 texlive-latex-extra                	     432       31      386       15        0
 3590 gnome-settings-daemon              	     431        6       65        8      352
 3591 kontrast                           	     431        6      383       42        0
 3592 libio-socket-inet6-perl            	     431       35      393        3        0
 3593 liblayershellqtinterface5          	     431        6       41        3      381
 3594 kde-config-updates                 	     430        0        2        1      427
 3595 libksysguardsystemstats1           	     430        7       24        0      399
 3596 plasma-vault                       	     430       51      220       16      143
 3597 qml-module-qt-labs-qmlmodels       	     430        2        5        0      423
 3598 task-mate-desktop                  	     430        0        0        0      430
 3599 tdb-tools                          	     430       16      392       22        0
 3600 libnss-myhostname                  	     429       83      282       21       43
 3601 libreoffice-plasma                 	     429       14      339       56       20
 3602 libzephyr4                         	     429       18       14        0      397
 3603 plasma-thunderbolt                 	     429       46      208       15      160
 3604 libatk1.0-dev                      	     428        6      409       13        0
 3605 libopenblas0                       	     427        0        0        0      427
 3606 libsdl2-ttf-2.0-0                  	     427        2        2        0      423
 3607 rygel                              	     426        4      384       38        0
 3608 aglfn                              	     425        0        0        0      425
 3609 gir1.2-gnomedesktop-3.0            	     425        5       44        7      369
 3610 gir1.2-goa-1.0                     	     425        0        0        0      425
 3611 gir1.2-gst-plugins-bad-1.0         	     425        0        1        0      424
 3612 grilo-plugins-0.3                  	     425        0        2        0      423
 3613 libbz2-dev                         	     425        9      405       11        0
 3614 libdate-calc-xs-perl               	     425        1        4        0      420
 3615 libgoa-backend-1.0-1               	     425       79      254       16       76
 3616 python3-h2                         	     425        5      414        6        0
 3617 python3-hpack                      	     425        5      414        6        0
 3618 python3-hyperframe                 	     425        5      414        6        0
 3619 gnome-user-docs                    	     424        0        0        0      424
 3620 libqt5serialport5                  	     424        1        3        0      420
 3621 plasma-discover-backend-fwupd      	     424        0       15        0      409
 3622 aisleriot                          	     423       14      378       31        0
 3623 gnome-control-center-data          	     423        0        8        0      415
 3624 libubsan0                          	     423        0        0        0      423
 3625 pidgin-data                        	     423        8        7        0      408
 3626 python3-paramiko                   	     423        4      378       41        0
 3627 libxsimd-dev                       	     422        0        0        0      422
 3628 python3-beniget                    	     422        3      413        6        0
 3629 python3-gast                       	     422        3      413        6        0
 3630 youtube-dl                         	     422        4      224        2      192
 3631 fonts-texgyre-math                 	     421        5       15        0      401
 3632 libcilkrts5                        	     421        0        0        0      421
 3633 libisoburn1                        	     421        0        0        0      421
 3634 liblc3-0                           	     421       13       42        1      365
 3635 libsbsms10                         	     421        1        0        0      420
 3636 libxfconf-0-2                      	     421        2        6        0      413
 3637 nautilus-extension-gnome-terminal  	     421        2       11        2      406
 3638 arch-test                          	     420       13      396       11        0
 3639 libgnome-games-support-common      	     420        0        0        0      420
 3640 libqt5webview5                     	     420        0       14        0      406
 3641 libvcdinfo0                        	     420        1        0        0      419
 3642 xapps-common                       	     420        7      375       37        1
 3643 libpfm4                            	     419        0        0        0      419
 3644 librelaxng-datatype-java           	     419        0        0        0      419
 3645 psutils                            	     419       11      398       10        0
 3646 python3-pythran                    	     419        7      406        6        0
 3647 texlive-plain-generic              	     419        7      400       12        0
 3648 w3m-img                            	     419        6      394       19        0
 3649 rhythmbox-data                     	     418        2        0        0      416
 3650 librhythmbox-core10                	     417        2        3        0      412
 3651 gnome-system-monitor               	     416       14      364       38        0
 3652 libdist-checkconflicts-perl        	     416        7      405        4        0
 3653 libfontbox-java                    	     416        0        0        0      416
 3654 libisl15                           	     416        0        0        0      416
 3655 libkpipewirerecord5                	     416        0        0        0      416
 3656 libxapp1                           	     416       81      244       14       77
 3657 software-properties-qt             	     416        3      368       45        0
 3658 libkpipewiredmabuf5                	     415        0        0        0      415
 3659 libz-mingw-w64                     	     415        0        0        0      415
 3660 python3-rfc3986                    	     415        2      401       12        0
 3661 chafa                              	     414        6      385       23        0
 3662 libmozjs-102-0                     	     414        6       40        7      361
 3663 libvulkan-dev                      	     414        5      398       10        1
 3664 syslinux-common                    	     414       11      390       13        0
 3665 gir1.2-adw-1                       	     413        0        1        0      412
 3666 libportal1                         	     413        6       12        2      393
 3667 rhythmbox                          	     413        9      366       38        0
 3668 realmd                             	     412       14      370       28        0
 3669 gnome-mahjongg                     	     411        7      367       37        0
 3670 libgnome-desktop-3-19              	     411       58      189        3      161
 3671 libmotif-common                    	     411        0        0        0      411
 3672 qml-module-org-kde-pipewire        	     411       10       82        9      310
 3673 gir1.2-nma-1.0                     	     410       36      173       16      185
 3674 gnome-control-center               	     410       10      369       31        0
 3675 libeudev-dev                       	     410        6      399        5        0
 3676 libgdcm3.0                         	     410        0        2        0      408
 3677 libgnome-games-support-1-3         	     410        0        1        0      409
 3678 libio-socket-ip-perl               	     410      107      302        1        0
 3679 libpdfbox-java                     	     410        0        0        0      410
 3680 libxm4                             	     409        7       12        0      390
 3681 cpp-6                              	     408       17      390        1        0
 3682 libreoffice-gnome                  	     408       26      319       63        0
 3683 libreoffice-kf5                    	     408       15      337       56        0
 3684 m17n-db                            	     408        7      390       11        0
 3685 plasma-workspace-wayland           	     408       19      353       36        0
 3686 squashfs-tools                     	     408       10      387       11        0
 3687 gir1.2-rb-3.0                      	     407        2        4        0      401
 3688 libavdevice58                      	     407        6       14        0      387
 3689 libtesseract5                      	     407        3        3        0      401
 3690 debian-reference-common            	     405        3      369       33        0
 3691 gedit-common                       	     405        2        2        1      400
 3692 libpurple-bin                      	     405        6      367       32        0
 3693 plzip                              	     405       14      350       41        0
 3694 bzip2-doc                          	     404        0        0        0      404
 3695 fonts-roboto-unhinted              	     404       64      121        1      218
 3696 gnome-user-share                   	     404        1      367       36        0
 3697 libm17n-0                          	     404       26       53        0      325
 3698 libvirt-daemon-system              	     404       10      369        4       21
 3699 libpod-parser-perl                 	     403        7      391        5        0
 3700 libsdl-mixer1.2                    	     403        1        1        0      401
 3701 libtotem0                          	     403        1        1        0      401
 3702 libvirt-glib-1.0-0                 	     403       10       15        0      378
 3703 totem-common                       	     403        1        1        0      401
 3704 gedit                              	     401       23      347       31        0
 3705 gir1.2-totem-1.0                   	     401        1        1        0      399
 3706 python3-libxml2                    	     401       17      368       16        0
 3707 augeas-lenses                      	     400        0        0        0      400
 3708 flac                               	     400       11      372       17        0
 3709 gir1.2-malcontent-0                	     400        5       45        8      342
 3710 gsfonts-x11                        	     400        0        0        0      400
 3711 libnet-dns-perl                    	     400       40      340       12        8
 3712 libqt6qml6                         	     399       20       24        4      351
 3713 libxenhypfs1                       	     399       89      182        2      126
 3714 libxxf86vm-dev                     	     399        4      392        3        0
 3715 malcontent                         	     399        3      359       37        0
 3716 rhythmbox-plugins                  	     399        2       14        0      383
 3717 zutty                              	     399       17      344       38        0
 3718 libgweather-4-0                    	     398       39      127        7      225
 3719 libqt6sql6                         	     398       16       21        1      360
 3720 python3-jeepney                    	     398        8      379       11        0
 3721 totem                              	     398       21      342       35        0
 3722 gnome-software-common              	     397       16       98        8      275
 3723 lib2geom1.2.0                      	     397        1        1        0      395
 3724 libgom-1.0-0                       	     397        1        2        0      394
 3725 libjaxb-api-java                   	     397        0        0        0      397
 3726 libkf5pimcommonautocorrection5     	     397       22       60        1      314
 3727 libqt6qmlmodels6                   	     397       17       20        2      358
 3728 malcontent-gui                     	     397        3      357       37        0
 3729 xclip                              	     397       26      356       15        0
 3730 gir1.2-totemplparser-1.0           	     396        0        1        0      395
 3731 libqt6quick6                       	     396       17       20        2      357
 3732 libaugeas0                         	     395       22       42        0      331
 3733 libkf5identitymanagementwidgets5   	     395       22       62        0      311
 3734 libsqlite3-dev                     	     395       11      365       19        0
 3735 libz3-dev                          	     395        6      387        2        0
 3736 totem-plugins                      	     395        1        1        0      393
 3737 libhtml-template-perl              	     394       20      372        2        0
 3738 python3-invoke                     	     394        7      353       34        0
 3739 ieee-data                          	     393        9      381        3        0
 3740 libmuparser2v5                     	     393       35      104        8      246
 3741 libqqwing2v5                       	     393        0        0        0      393
 3742 libqt6printsupport6                	     393       22       20        2      349
 3743 libsdl-ttf2.0-0                    	     393        1        1        0      391
 3744 kaddressbook-data                  	     392        0        3        0      389
 3745 libopenblas-pthread-dev            	     392        6      378        8        0
 3746 libprocps4                         	     392        0        0        0      392
 3747 libvirt-daemon-driver-qemu         	     392      128      261        3        0
 3748 libjuh-java                        	     391        3      371       17        0
 3749 libopenblas-dev                    	     391        0        2        0      389
 3750 libridl-java                       	     391        4      370       17        0
 3751 linux-image-6.1.0-30-amd64         	     391        9      372        8        2
 3752 pidgin                             	     391       22      338       30        1
 3753 libjsoup-java                      	     390        0        0        0      390
 3754 libjurt-java                       	     390        2      371       17        0
 3755 libpulse-dev                       	     390        5      374       11        0
 3756 libudev-dev                        	     390        0        4        0      386
 3757 task-kde-desktop                   	     390        0        0        0      390
 3758 gnome-sudoku                       	     389        5      349       35        0
 3759 libfile-slurp-perl                 	     389       53      330        6        0
 3760 libintl-xs-perl                    	     389       48      306       11       24
 3761 libpurple0                         	     389       18      103        2      266
 3762 libqt6svg6                         	     389       30       31        6      322
 3763 python3-zope.interface             	     389       89      292        8        0
 3764 aspell-de                          	     388       14      355       19        0
 3765 gnome-software                     	     388       47      318       23        0
 3766 hoichess                           	     388        5      348       35        0
 3767 ibus                               	     388       81      292       14        1
 3768 libnet-libidn-perl                 	     388       28       35        0      325
 3769 libqgpgme15                        	     388       23       58        1      306
 3770 libxml++2.6-2v5                    	     388        0        1        0      387
 3771 wngerman                           	     388        9      358       21        0
 3772 firmware-linux                     	     387        0        0        0      387
 3773 python3-py                         	     387        2      381        4        0
 3774 gnome-font-viewer                  	     386       10      340       36        0
 3775 libpcre3-dev                       	     386       14      367        5        0
 3776 qtbase5-dev-tools                  	     386       11      357       13        5
 3777 ibus-gtk3                          	     385       82      195        2      106
 3778 lame                               	     385       17      363        5        0
 3779 libchafa0                          	     385        0        0        0      385
 3780 quadrapassel                       	     385        4      345       36        0
 3781 crda                               	     384       20      362        2        0
 3782 flatpak                            	     384       75      293       16        0
 3783 gnome-mines                        	     384        3      346       35        0
 3784 libclang1-14                       	     384       32      345        7        0
 3785 python3.11-venv                    	     384        4      366       14        0
 3786 ssh                                	     384        0        0        0      384
 3787 subversion                         	     384       24      342       18        0
 3788 hexchat-common                     	     383        1        2        0      380
 3789 libbotan-2-19                      	     383       41       61        1      280
 3790 libwnck-common                     	     383        5       19        1      358
 3791 mutter-common                      	     383       30      309       33       11
 3792 libpcre32-3                        	     382        0        0        0      382
 3793 libtelepathy-glib0                 	     382        3       18        0      361
 3794 xfsprogs                           	     382       36      334       12        0
 3795 libkpimaddressbookimportexport5    	     381        0        1        0      380
 3796 python-tinycss2-common             	     381        0        0        0      381
 3797 python3-tinycss2                   	     381        7      339       35        0
 3798 hexchat                            	     380       12      336       32        0
 3799 libjson-c3                         	     380        2        4        0      374
 3800 python3-wcwidth                    	     380        4      372        4        0
 3801 dvd+rw-tools                       	     379       14      360        5        0
 3802 gnome-chess                        	     379        6      340       33        0
 3803 libsnappy-jni                      	     379        0        0        0      379
 3804 libva1                             	     379        0        2        0      377
 3805 gnome-tetravex                     	     378        5      339       34        0
 3806 libva-glx2                         	     378        3        1        0      374
 3807 samba                              	     378      125      250        3        0
 3808 five-or-more                       	     377        3      338       36        0
 3809 gir1.2-gtk-2.0                     	     377        1       13        0      363
 3810 gir1.2-libosinfo-1.0               	     377       10       14        0      353
 3811 libasan3                           	     377        0        0        0      377
 3812 libclass-singleton-perl            	     377       24      349        4        0
 3813 libdatetime-locale-perl            	     377       24      349        4        0
 3814 libdatetime-perl                   	     377        3        3        0      371
 3815 libdatetime-timezone-perl          	     377       24      348        5        0
 3816 libsnappy-java                     	     377        0        0        0      377
 3817 libwnck22                          	     377       10       52        2      313
 3818 python3-roman                      	     377       10      361        6        0
 3819 swell-foop                         	     377        5      335       37        0
 3820 brasero-cdrkit                     	     376        0        0        0      376
 3821 gnuplot-data                       	     376        0        0        0      376
 3822 hexchat-perl                       	     376        5       10        0      361
 3823 hexchat-plugins                    	     376        5       10        0      361
 3824 hitori                             	     376        5      338       33        0
 3825 libecal-2.0-2                      	     376       39      122        6      209
 3826 libgcc-6-dev                       	     376        0        0        0      376
 3827 postfix                            	     376      145      230        1        0
 3828 python3-docutils                   	     376       12      360        4        0
 3829 cifs-utils                         	     375       30      340        5        0
 3830 four-in-a-row                      	     375        4      336       35        0
 3831 librest-1.0-0                      	     375       69      217       17       72
 3832 libwxbase3.0-0v5                   	     375        5        6        0      364
 3833 menu-xdg                           	     375        0        0        0      375
 3834 python3-netifaces                  	     375       27      333       15        0
 3835 libavahi-gobject0                  	     374        0        0        0      374
 3836 libgtkspell3-3-0                   	     374        1        2        0      371
 3837 liblog-log4perl-perl               	     374       18      352        4        0
 3838 lightsoff                          	     374        5      335       34        0
 3839 gnome-sound-recorder               	     373        9      327       37        0
 3840 iagno                              	     373        4      334       35        0
 3841 kalendarac                         	     373       69      283       21        0
 3842 libasm-java                        	     373        0        0        0      373
 3843 libdrm-dev                         	     373        4      355       14        0
 3844 libegl1-mesa-dev                   	     373        0      355       18        0
 3845 libgtksourceview-5-common          	     373        1        0        0      372
 3846 gcc-6                              	     372       12      360        0        0
 3847 hexchat-python3                    	     372        4       10        0      358
 3848 ibus-gtk                           	     372       15       26        0      331
 3849 libqt6sql6-sqlite                  	     372       15       16        1      340
 3850 libwireshark-data                  	     372        0        0        0      372
 3851 printer-driver-oki                 	     372        3      362        7        0
 3852 tali                               	     372        3      335       34        0
 3853 jp2a                               	     371        5      347       19        0
 3854 libgtksourceview-5-0               	     371        3        1        1      366
 3855 libplexus-archiver-java            	     371        0        0        0      371
 3856 libplexus-io-java                  	     371        0        0        0      371
 3857 libwagon-http-java                 	     371        0        0        0      371
 3858 pinentry-gtk2                      	     371       15      353        3        0
 3859 python3-ibus-1.0                   	     371        2      337       32        0
 3860 libmaven-file-management-java      	     370        0        0        0      370
 3861 libsaxonhe-java                    	     370        0        0        0      370
 3862 libtype-tiny-perl                  	     370       12      353        5        0
 3863 manpages-de                        	     370        0        0        0      370
 3864 printer-driver-indexbraille        	     370        3      359        8        0
 3865 sntp                               	     370        7      360        3        0
 3866 virt-viewer                        	     370       13      353        4        0
 3867 brasero                            	     369        5      331       33        0
 3868 gnome-klotski                      	     369        4      331       34        0
 3869 gnome-robots                       	     369        4      333       32        0
 3870 libmaven-shared-io-java            	     369        0        0        0      369
 3871 gnome-taquin                       	     368        5      329       34        0
 3872 libgccjit0                         	     368       27       50        0      291
 3873 libportal-gtk3-1                   	     368        2        3        0      363
 3874 libqt6openglwidgets6               	     368        5        2        0      361
 3875 gnome-nibbles                      	     367        6      327       34        0
 3876 ingerman                           	     367        8      340       19        0
 3877 libjcode-pm-perl                   	     367        6      354        7        0
 3878 libole-storage-lite-perl           	     367        9      351        7        0
 3879 libopencv-core406                  	     367        0        3        0      364
 3880 libopencv-imgproc406               	     367        0        3        0      364
 3881 libpolkit-backend-1-0              	     367        0        0        0      367
 3882 libunicode-map-perl                	     367        0        0        0      367
 3883 python3-libvirt                    	     366       16      340       10        0
 3884 python3-simplejson                 	     366       46      315        5        0
 3885 wireshark-common                   	     366       15      340       11        0
 3886 libhawtjni-runtime-java            	     365        0        0        0      365
 3887 libicu63                           	     365       15       21        0      329
 3888 libjs-highlight.js                 	     365        0        0        0      365
 3889 papirus-icon-theme                 	     365        0        0        0      365
 3890 python-six                         	     365        8      351        3        3
 3891 libglew2.1                         	     364        2        3        0      359
 3892 libjansi-native-java               	     364        0        0        0      364
 3893 python3-pluggy                     	     364        3      358        3        0
 3894 libcrypt-rc4-perl                  	     363        9      347        7        0
 3895 libdigest-perl-md5-perl            	     363        9      347        7        0
 3896 libodbc1                           	     363        2        7        0      354
 3897 libspreadsheet-writeexcel-perl     	     363        8      348        7        0
 3898 virtinst                           	     363       14      341        2        6
 3899 emacs                              	     362        0        0        0      362
 3900 libspreadsheet-parseexcel-perl     	     362        9      345        8        0
 3901 rhythmbox-plugin-cdrecorder        	     362        1        1        0      360
 3902 exif                               	     361       10      319       32        0
 3903 libboost-python1.74.0              	     361        4        7        0      350
 3904 libsctp1                           	     361       13       30        0      318
 3905 libgnome-desktop-4-2               	     360       19       71        6      264
 3906 metacity-common                    	     360        0        4        0      356
 3907 apache2-doc                        	     359        0        0        0      359
 3908 libva-x11-1                        	     359        0        1        0      358
 3909 nodejs-doc                         	     359        0        0        0      359
 3910 openntpd                           	     359       78      262       19        0
 3911 syslinux                           	     359        9      343        7        0
 3912 gconf2                             	     358       20      337        1        0
 3913 libsixel-bin                       	     358        5      333       20        0
 3914 libgtkmm-2.4-1v5                   	     357        1        1        0      355
 3915 libtinyxml2.6.2v5                  	     357        6       20        0      331
 3916 libtype-tiny-xs-perl               	     357        2        1        0      354
 3917 libxss-dev                         	     357        5      349        3        0
 3918 dh-python                          	     356       13      338        5        0
 3919 libicu4j-java                      	     356        0        0        0      356
 3920 libipc-shareable-perl              	     356        6      346        4        0
 3921 libobt2v5                          	     356       37       94        3      222
 3922 exim4                              	     355        0        0        0      355
 3923 libamtk-5-0                        	     355        3        7        1      344
 3924 libamtk-5-common                   	     355        0        0        0      355
 3925 libobrender32v5                    	     355       37       94        3      221
 3926 libxmlbeans-java                   	     355        0        0        0      355
 3927 qt5-qmake                          	     355       10      329       13        3
 3928 liblog-dispatch-perl               	     354        5      345        4        0
 3929 libmusicbrainz5-2                  	     354        0        0        0      354
 3930 sddm-theme-breeze                  	     354        0        0        0      354
 3931 python3-monotonic                  	     353        4      315       34        0
 3932 systemd-standalone-sysusers        	     353       14      289       50        0
 3933 gnome-games                        	     352        0        0        0      352
 3934 librsync2                          	     352        0       10        0      342
 3935 libva-drm1                         	     352        0        1        0      351
 3936 libvirt-daemon-config-network      	     352        0        0        0      352
 3937 openbox                            	     352       59      275       18        0
 3938 qt5-qmake-bin                      	     352        9      328       15        0
 3939 task-german                        	     352        0        0        0      352
 3940 libvirt-daemon-config-nwfilter     	     351        0        0        0      351
 3941 wdiff                              	     351       10      337        4        0
 3942 x11proto-xext-dev                  	     351        2       30        0      319
 3943 iswiss                             	     350        7      324       19        0
 3944 luit                               	     350        8      313       29        0
 3945 libdbusmenu-gtk4                   	     349       24       79        5      241
 3946 libvirt-l10n                       	     349        1        4        0      344
 3947 libopencv-imgcodecs406             	     348        0        2        0      346
 3948 libprotobuf23                      	     348       11       41        1      295
 3949 lzip                               	     348        7      330       11        0
 3950 html2text                          	     346        9      304       33        0
 3951 libclang-cpp14                     	     346       28      315        3        0
 3952 libconfig9                         	     346       12       34        0      300
 3953 libsmi2ldbl                        	     346        0        1        0      345
 3954 libvirt-daemon-driver-lxc          	     346      111      232        3        0
 3955 python3-fasteners                  	     346        4      308       34        0
 3956 python3-regex                      	     346       21      311       14        0
 3957 gnome-2048                         	     345        4      307       34        0
 3958 libfabric1                         	     345        0        6        0      339
 3959 libunwind-16                       	     345       28      305       12        0
 3960 libxtst-dev                        	     345        1      334       10        0
 3961 debian-keyring                     	     344        0        0        0      344
 3962 gir1.2-xapp-1.0                    	     344       44      266       28        6
 3963 libnfsidmap2                       	     344       43      103        0      198
 3964 libvirt-daemon-driver-vbox         	     344      110      195        3       36
 3965 libwebpmux2                        	     344        0        1        0      343
 3966 gfortran                           	     343       15      317       11        0
 3967 irqbalance                         	     343       76      267        0        0
 3968 libgnome-autoar-0-0                	     343       35      135        8      165
 3969 libpsm-infinipath1                 	     343       26      307        3        7
 3970 libsort-naturally-perl             	     343       51      284        8        0
 3971 python3-jsonschema                 	     343       19      315        9        0
 3972 python3-pyasn1-modules             	     343       20      310       13        0
 3973 python3-pyqt5.qtsvg                	     343       10      329        4        0
 3974 libc++1-16                         	     342       28      303       11        0
 3975 libc++abi1-16                      	     342       28      303       11        0
 3976 power-profiles-daemon              	     342        7      301       34        0
 3977 gir1.2-gtk-vnc-2.0                 	     341        8       15        0      318
 3978 libavutil55                        	     341        0        1        0      340
 3979 libfm-data                         	     341       11       25        1      304
 3980 libgc1c2                           	     341        0        0        0      341
 3981 libncurses5-dev                    	     341        0       40        0      301
 3982 libswresample2                     	     341        0        1        0      340
 3983 libvpx4                            	     341        0        1        0      340
 3984 hwinfo                             	     340       13      316       11        0
 3985 libargs4j-java                     	     340        0        0        0      340
 3986 libfm-gtk-data                     	     340        0        0        0      340
 3987 libimage-exiftool-perl             	     340       20      313        7        0
 3988 libnautilus-extension1a            	     340        0        3        0      337
 3989 gir1.2-libvirt-glib-1.0            	     339       10       18        0      311
 3990 libbcg729-0                        	     339        0        2        0      337
 3991 libconvert-binhex-perl             	     339        9      327        3        0
 3992 libmime-tools-perl                 	     339       13      323        3        0
 3993 telepathy-mission-control-5        	     338       10      324        4        0
 3994 libart-2.0-2                       	     337        7       18        3      309
 3995 qpdfview                           	     337       18      290       29        0
 3996 qt5-gtk2-platformtheme             	     337        1       13        0      323
 3997 rpm-common                         	     337       23      300       14        0
 3998 virt-manager                       	     337       15      318        4        0
 3999 libchm1                            	     336        0        2        0      334
 4000 libfam0                            	     336       26       77        1      232
 4001 libgupnp-av-1.0-3                  	     336        1        2        0      333
 4002 libprotobuf-lite23                 	     336        0        0        0      336
 4003 samba-vfs-modules                  	     336        4        5        0      327
 4004 libdtd-parser-java                 	     335        0        0        0      335
 4005 libgupnp-dlna-2.0-4                	     335        1        2        0      332
 4006 librngom-java                      	     335        0        0        0      335
 4007 libxsom-java                       	     335        0        0        0      335
 4008 obconf                             	     335       14      298       23        0
 4009 android-libbase                    	     334        4        7        0      323
 4010 android-liblog                     	     334        4        7        0      323
 4011 libstring-shellquote-perl          	     334        9      321        4        0
 4012 libvirt-daemon-driver-xen          	     334      107      195        1       31
 4013 python3-jedi                       	     334        7      322        5        0
 4014 python3-parso                      	     334        7      322        5        0
 4015 android-libcutils                  	     333        4        7        0      322
 4016 libfastinfoset-java                	     333        0        0        0      333
 4017 libistack-commons-java             	     333        0        0        0      333
 4018 libjetbrains-annotations-java      	     333        0        0        0      333
 4019 libregexp-common-perl              	     333       21      310        2        0
 4020 libstax-ex-java                    	     333        0        0        0      333
 4021 libstreambuffer-java               	     333        0        0        0      333
 4022 python3-lockfile                   	     333        3      301       29        0
 4023 qtbase5-dev                        	     333        9      313       11        0
 4024 libcodemodel-java                  	     332        0        0        0      332
 4025 libintellij-annotations-java       	     332        0        0        0      332
 4026 libmission-control-plugins0        	     332        3        9        0      320
 4027 libpsm2-2                          	     332        0        0        0      332
 4028 librygel-core-2.8-0                	     332        1        2        0      329
 4029 librygel-db-2.8-0                  	     332        1        2        0      329
 4030 librygel-renderer-2.8-0            	     332        1        2        0      329
 4031 librygel-server-2.8-0              	     332        1        2        0      329
 4032 libvirt-daemon-system-sysv         	     332        0        0        0      332
 4033 python3-xapp                       	     332       10      289       33        0
 4034 gnome-bluetooth-3-common           	     331        0        0        0      331
 4035 libgnome-bluetooth-3.0-13          	     331        5       48        8      270
 4036 libmalcontent-ui-1-1               	     331        0        0        2      329
 4037 libspnav0                          	     331        4        4        0      323
 4038 python3-magic                      	     331        6      319        6        0
 4039 python3-traitlets                  	     331        3      323        5        0
 4040 libjaxb-java                       	     330        0        0        0      330
 4041 libqt6test6                        	     330        1        0        0      329
 4042 libtxw2-java                       	     330        0        0        0      330
 4043 libxapp-gtk3-module                	     330       65      204       13       48
 4044 cinnamon-desktop-data              	     329       13       79        5      232
 4045 gnome-session-bin                  	     329       19      285       25        0
 4046 libfm-modules                      	     329       15       61        2      251
 4047 xapp-sn-watcher                    	     329       25      103        8      193
 4048 emacs-gtk                          	     328       40      261       27        0
 4049 libapache-poi-java                 	     328        0        0        0      328
 4050 libmodule-find-perl                	     328       49      268       11        0
 4051 libosp5                            	     328        5        1        0      322
 4052 libsane                            	     328        3      208        2      115
 4053 libsnapd-glib-2-1                  	     328        1        8        0      319
 4054 libssl1.0.0                        	     328        9       13        0      306
 4055 libx264-148                        	     328        0        1        0      327
 4056 python3-async-timeout              	     328        2      320        6        0
 4057 libdigest-bubblebabble-perl        	     327        8      315        4        0
 4058 libiptcdata0                       	     327       32      127        7      161
 4059 libperl5.28                        	     327       80      246        1        0
 4060 libphodav-3.0-0                    	     327        5        8        0      314
 4061 libphodav-3.0-common               	     327        0        0        0      327
 4062 qpdfview-ps-plugin                 	     327        4      293       30        0
 4063 google-chrome-stable               	     326       47      249       27        3
 4064 libgnome-bluetooth-ui-3.0-13       	     326        0        6        0      320
 4065 libx265-95                         	     326        0        1        0      325
 4066 qt5-style-plugins                  	     326        0        0        0      326
 4067 sddm-theme-debian-breeze           	     326        0        0        0      326
 4068 libavcodec57                       	     325        0        1        0      324
 4069 libjs-popper.js                    	     325        5      316        4        0
 4070 libqt5xdgiconloader3               	     325       33       96        6      190
 4071 libucx0                            	     325        0        0        0      325
 4072 linux-image-6.1.0-23-amd64         	     325        2      323        0        0
 4073 libnet-dns-sec-perl                	     324        0        3        0      321
 4074 pcmanfm                            	     324       29      273       22        0
 4075 python3-prompt-toolkit             	     324        2      319        3        0
 4076 qpdfview-djvu-plugin               	     324        4      290       30        0
 4077 galculator                         	     323       16      298        9        0
 4078 libmodule-pluggable-perl           	     323        7      314        2        0
 4079 libqt5xdg3                         	     323       33       96        6      188
 4080 nss-plugin-pem                     	     323        0        0        0      323
 4081 gnome-bluetooth-sendto             	     322        3      287       32        0
 4082 libgraphicsmagick++-q16-12         	     322        0        0        0      322
 4083 xscreensaver-gl                    	     322        7       69        0      246
 4084 libunistring5                      	     321       90      194       13       24
 4085 python3-texttable                  	     321        3      310        8        0
 4086 wine32                             	     321       22      294        5        0
 4087 gir1.2-xkl-1.0                     	     320        0        0        0      320
 4088 libpython3.7-minimal               	     320       33      285        2        0
 4089 mdevctl                            	     320       68      242       10        0
 4090 python3-toml                       	     320        1      292       27        0
 4091 qpdfview-pdf-poppler-plugin        	     320       12      278       30        0
 4092 qtermwidget5-data                  	     319        6       17        4      292
 4093 vcdimager                          	     319       15      297        7        0
 4094 wayland-protocols                  	     319        0       22        3      294
 4095 cinnamon-l10n                      	     318       20       93        6      199
 4096 libotf1                            	     318       22       45        0      251
 4097 libpython3.7-stdlib                	     318       37      279        2        0
 4098 postgresql-client-common           	     318       68      243        7        0
 4099 gnome-characters                   	     317        9      275       33        0
 4100 gnome-remote-desktop               	     317        2      281       34        0
 4101 libcaribou-common                  	     317        0        0        0      317
 4102 libfm4                             	     317       14       59        2      242
 4103 linux-image-6.1.0-27-amd64         	     317        3      313        0        1
 4104 sysuser-helper                     	     317       21      280       16        0
 4105 cli-common                         	     316        0        0        0      316
 4106 gir1.2-caribou-1.0                 	     316       45      248       18        5
 4107 libcaribou0                        	     316        4       11        0      301
 4108 libtinfo-dev                       	     316        0       44        0      272
 4109 php8.2-common                      	     316       94      213        9        0
 4110 7zip                               	     315       23      243       47        2
 4111 gcc-14-base                        	     315        0        0        0      315
 4112 gir1.2-spiceclientglib-2.0         	     315        8       11        0      296
 4113 hunspell-de-de                     	     315        3      291       16        5
 4114 libelf-dev                         	     315        9      303        3        0
 4115 libenchant1c2a                     	     315        3        1        0      311
 4116 libfm-gtk4                         	     315       14       59        2      240
 4117 liblensfun-data-v1                 	     315        0        0        0      315
 4118 libnemo-extension1                 	     315       36      135        9      135
 4119 pandoc                             	     315       15      296        4        0
 4120 php8.2-opcache                     	     315       94      213        8        0
 4121 php8.2-readline                    	     315       94      213        8        0
 4122 feh                                	     314       33      272        9        0
 4123 libfreerdp-server2-2               	     314        1        0        0      313
 4124 libmozjs-78-0                      	     314       31      129        8      146
 4125 libx86emu3                         	     314        0        0        0      314
 4126 libcpufreq0                        	     313       10       17        0      286
 4127 libcurl3-nss                       	     313        0        0        0      313
 4128 libjs-bootstrap4                   	     313        0        1        0      312
 4129 libqt6quickwidgets6                	     313       16       17        1      279
 4130 node-jquery                        	     313        0        1        0      312
 4131 php8.2-cli                         	     313       80      222       11        0
 4132 qt5-style-plugin-plastique         	     313        2        5        0      306
 4133 gir1.2-spiceclientgtk-3.0          	     312        8       11        0      293
 4134 libepoxy-dev                       	     312        1      301       10        0
 4135 liblensfun1                        	     312        0        0        0      312
 4136 libmono-security4.0-cil            	     312       20      283        9        0
 4137 libmono-system-configuration4.0-cil	     312       16      287        9        0
 4138 libmono-system-security4.0-cil     	     312       10      293        9        0
 4139 libmono-system-xml4.0-cil          	     312       16      287        9        0
 4140 libmono-system4.0-cil              	     312       16      287        9        0
 4141 mono-4.0-gac                       	     312        3      299       10        0
 4142 mono-gac                           	     312        7      295       10        0
 4143 mono-runtime                       	     312       24      279        9        0
 4144 mono-runtime-common                	     312       12      264        9       27
 4145 mono-runtime-sgen                  	     312       27      276        9        0
 4146 qt5-style-plugin-cleanlooks        	     312        8       13        0      291
 4147 qt5-style-plugin-motif             	     312        2        2        0      308
 4148 fluid-soundfont-gm                 	     311        0        0        0      311
 4149 libmono-corlib4.5-cil              	     311        6       45        0      260
 4150 libmono-system-core4.0-cil         	     311       15      287        9        0
 4151 mariadb-client                     	     311       44      189       14       64
 4152 yudit-common                       	     311        0        0        0      311
 4153 gnome-logs                         	     310        4      270       36        0
 4154 icc-profiles-free                  	     310        0        0        0      310
 4155 libdc1394-22                       	     310        0        0        0      310
 4156 libogg-dev                         	     310        5      302        3        0
 4157 libsharpyuv0                       	     310       71      165       10       64
 4158 nemo-data                          	     310        0        0        0      310
 4159 nfs-kernel-server                  	     310       91      212        7        0
 4160 node-xtend                         	     310        1        5        0      304
 4161 ufw                                	     310       58      245        7        0
 4162 libffado2                          	     309       28      258       23        0
 4163 libisccc161                        	     309        0        0        0      309
 4164 libisccfg163                       	     309        0        0        0      309
 4165 libmono-system-numerics4.0-cil     	     309        6      293       10        0
 4166 pandoc-data                        	     309        0        0        0      309
 4167 pwgen                              	     309       24      283        2        0
 4168 task-web-server                    	     309        0        0        0      309
 4169 analog                             	     308        5      285       18        0
 4170 evolution-data-server              	     308       49      245       14        0
 4171 gcc-7-base                         	     308        0        0        0      308
 4172 gir1.2-gnomedesktop-4.0            	     308        0        4        1      303
 4173 libcolord-gtk4-1                   	     308        0        6        0      302
 4174 libgnome-bg-4-2                    	     308       19       71        6      212
 4175 libnet1                            	     308       13       13        0      282
 4176 python3-secretstorage              	     308        8      291        9        0
 4177 gir1.2-gkbd-3.0                    	     307        0        0        0      307
 4178 icoutils                           	     307       16      286        5        0
 4179 libssl3t64                         	     307       86      194       16       11
 4180 nemo                               	     307       49      239       19        0
 4181 texlive-extra-utils                	     307        8      292        7        0
 4182 vino                               	     307        3      275       29        0
 4183 android-libboringssl               	     306        4        7        0      295
 4184 libbind9-161                       	     306        0        0        0      306
 4185 libdb5.3t64                        	     306       78      145       10       73
 4186 libgnome-rr-4-2                    	     306        0        6        0      300
 4187 liblwres161                        	     306        0        0        0      306
 4188 libmutter-11-0                     	     306        5       40        7      254
 4189 libsigc++-3.0-0                    	     306        9       35        0      262
 4190 libtorrent-rasterbar2.0            	     306       12       21        0      273
 4191 libtpms0                           	     306        4        2        0      300
 4192 android-sdk-platform-tools-common  	     305       38      265        2        0
 4193 fonts-freefont-otf                 	     305       44       80        0      181
 4194 libmono-i18n-west4.0-cil           	     305       10      288        7        0
 4195 libmono-i18n4.0-cil                	     305       10      288        7        0
 4196 libpciaccess-dev                   	     305        3      296        6        0
 4197 libtext-csv-xs-perl                	     305        0        3        0      302
 4198 muffin-common                      	     305       10       67        4      224
 4199 nemo-fileroller                    	     305       34      135        9      127
 4200 ninja-build                        	     305        9      286       10        0
 4201 odt2txt                            	     305        9      267       29        0
 4202 duplicity                          	     304        7      262       35        0
 4203 libhogweed6t64                     	     304       84      186       15       19
 4204 libjs-sizzle                       	     304        0        0        0      304
 4205 libnettle8t64                      	     304       84      186       15       19
 4206 libqt6waylandclient6               	     304       21       29        4      250
 4207 libxcb-util0                       	     304        1        4        0      299
 4208 mariadb-server                     	     304       29      163       16       96
 4209 python3-pytest                     	     304       12      287        5        0
 4210 qpdfview-translations              	     304        1        1        0      302
 4211 suckless-tools                     	     304       25      273        6        0
 4212 bogofilter-common                  	     303        0        0        0      303
 4213 isa-support                        	     303        0        0        0      303
 4214 libgnutls30t64                     	     303       85      183       14       21
 4215 libreoffice-l10n-de                	     303       26      248       29        0
 4216 wireshark                          	     303        2       15        8      278
 4217 keepassxc                          	     302       46      235        4       17
 4218 libtepl-common                     	     302        2        1        0      299
 4219 libtss2-rc0                        	     302        0        1        0      301
 4220 muffin                             	     302        6      266       30        0
 4221 python3-ifaddr                     	     302        4      286       12        0
 4222 sound-juicer                       	     302        5      266       31        0
 4223 wspanish                           	     302        7      267       28        0
 4224 adb                                	     301       15      282        4        0
 4225 bogofilter                         	     301        0        0        0      301
 4226 galternatives                      	     301       20      255       26        0
 4227 libcinnamon-desktop4               	     301       35      133        8      125
 4228 libdvdread4                        	     301        0        1        0      300
 4229 libjs-modernizr                    	     301        0        0        0      301
 4230 libkf5cddb5                        	     301        0        0        0      301
 4231 libreadline6                       	     301        0        0        0      301
 4232 node-cjs-module-lexer              	     301        0        0        0      301
 4233 node-undici                        	     301        3      288       10        0
 4234 optipng                            	     301        8      289        4        0
 4235 python3.7-minimal                  	     301       37      262        2        0
 4236 texlive-luatex                     	     301       11      281        9        0
 4237 filezilla-common                   	     300        0        0        0      300
 4238 host                               	     300        0        0        0      300
 4239 k3b-data                           	     300        0        0        0      300
 4240 libopenmpi3                        	     300        0        1        0      299
 4241 libplacebo72                       	     300        0        3        0      297
 4242 libpmix2                           	     300        0        1        0      299
 4243 libqt6waylandcompositor6           	     300        0        0        0      300
 4244 python3-ipython                    	     300        6      291        3        0
 4245 runit                              	     300       60      227       13        0
 4246 bogofilter-bdb                     	     299       15      266       18        0
 4247 enchant                            	     299        6      290        3        0
 4248 gir1.2-cinnamondesktop-3.0         	     299       38      142        9      110
 4249 gir1.2-sugarext-1.0                	     299        0        0        0      299
 4250 hexchat-lua                        	     299        5        9        0      285
 4251 iso-flags-png-320x240              	     299        0        0        0      299
 4252 libcommons-math3-java              	     299        0        0        0      299
 4253 libdns1104                         	     299        0        0        0      299
 4254 libisc1100                         	     299        0        0        0      299
 4255 libqt5opengl5-dev                  	     299        5      283       11        0
 4256 libqt6wlshellintegration6          	     299        0        0        0      299
 4257 libsugarext0                       	     299        0        0        0      299
 4258 needrestart                        	     299       49      240       10        0
 4259 node-acorn                         	     299        8      283        8        0
 4260 libpsl5t64                         	     298       70      155       11       62
 4261 python3-constantly                 	     298        4      285        9        0
 4262 python3-incremental                	     298        4      285        9        0
 4263 python3-service-identity           	     298        4      280       14        0
 4264 python3-zeroconf                   	     298        2      280       16        0
 4265 qt6-wayland                        	     298        3       11        2      282
 4266 aspell-es                          	     297        6      264       27        0
 4267 gir1.2-cvc-1.0                     	     297       38      142        9      108
 4268 gnote                              	     297        6      262       29        0
 4269 libheif-plugin-libde265            	     297        0        1        0      296
 4270 libqt5websockets5                  	     297       14       34        1      248
 4271 libssh2-1t64                       	     297       71      152       11       63
 4272 libupower-glib1                    	     297       44       98        0      155
 4273 python3-iniconfig                  	     297        2      293        2        0
 4274 python3-keyring                    	     297        6      282        9        0
 4275 libelf1t64                         	     296       67      171       12       46
 4276 libgnome-menu-3-0                  	     296        8       36        0      252
 4277 libreadline8t64                    	     296       10       17        2      267
 4278 python3-automat                    	     296        7      279       10        0
 4279 python3-hyperlink                  	     296        5      282        9        0
 4280 cinnamon-screensaver               	     295       46      231       18        0
 4281 cinnamon-session-common            	     295        0        0        0      295
 4282 filezilla                          	     295       21      272        2        0
 4283 libheif-plugin-dav1d               	     295        0        1        0      294
 4284 libnghttp3-9                       	     295       72      153       13       57
 4285 libngtcp2-16                       	     295       71      153       13       58
 4286 libngtcp2-crypto-gnutls8           	     295       71      153       13       58
 4287 libsnmp30                          	     295        1        3        0      291
 4288 libxcb-screensaver0                	     295       19       57        2      217
 4289 python3-hamcrest                   	     295        4      281       10        0
 4290 python3-pyrsistent                 	     295       10      275       10        0
 4291 smtube                             	     295       11      258       26        0
 4292 cinnamon-settings-daemon           	     294       42      223       19       10
 4293 fonts-dejavu-mono                  	     294       54      126        6      108
 4294 libcinnamon-menu-3-0               	     294       35      131        9      119
 4295 libgl2ps1.4                        	     294        0        0        0      294
 4296 libtext-csv-perl                   	     294        9      277        8        0
 4297 libtext-template-perl              	     294        9      282        3        0
 4298 python3-twisted                    	     294       12      271       11        0
 4299 sugar-browse-activity              	     294        0        0        0      294
 4300 ca-certificates-mono               	     293        6      279        8        0
 4301 cinnamon-session                   	     293       42      231       20        0
 4302 libcbor0.10                        	     293        2       13        2      276
 4303 libcommons-collections4-java       	     293        0        0        0      293
 4304 libgdbm6t64                        	     293        3        6        0      284
 4305 libhd21                            	     293        0        0        0      293
 4306 libsndio6.1                        	     293        0        0        0      293
 4307 python3-defusedxml                 	     293        9      281        3        0
 4308 libconfuse-common                  	     292        0        0        0      292
 4309 libdav1d7                          	     292       66      152        8       66
 4310 libexiv2-14                        	     292        0        0        0      292
 4311 libsugarext-data                   	     292        0        0        0      292
 4312 libtirpc3t64                       	     292       70      144       11       67
 4313 libwxgtk-gl3.2-1                   	     292        0        7        0      285
 4314 cinnamon-control-center-data       	     291        0        0        0      291
 4315 gcc-4.9-base                       	     291        0        0        0      291
 4316 k3b                                	     291        9      269       13        0
 4317 libcinnamon-control-center1        	     291        1        7        1      282
 4318 libcurvesapi-java                  	     291        0        0        0      291
 4319 libext2fs2t64                      	     291       52      106       10      123
 4320 libgdbm-compat4t64                 	     291        0        0        0      291
 4321 libmailutils7                      	     291        0        0        0      291
 4322 libpng16-16t64                     	     291       64      161       15       51
 4323 libytnef0                          	     291        6        9        0      276
 4324 ndiff                              	     291       10      281        0        0
 4325 python3-pygame                     	     291       43      238       10        0
 4326 libcmark0.30.2                     	     290       33      114        7      136
 4327 libfile-copy-recursive-perl        	     290       18      269        3        0
 4328 liblxqt-l10n                       	     290       17       49        5      219
 4329 liburcu8                           	     290        3        5        0      282
 4330 cinnamon-control-center            	     289        4      254       31        0
 4331 featherpad                         	     289       20      244       25        0
 4332 freepats                           	     289        0        0        0      289
 4333 ispanish                           	     289        6      257       26        0
 4334 python3-pampy                      	     289        3      250       36        0
 4335 qlipper                            	     289       33      233       23        0
 4336 swtpm                              	     289       10      273        6        0
 4337 swtpm-libs                         	     289        4        1        0      284
 4338 xfwm4-theme-breeze                 	     289        0        0        0      289
 4339 libfltk-images1.3                  	     288        5        2        0      281
 4340 libglib2.0-0t64                    	     288       79      179       15       15
 4341 libicu57                           	     288        4        3        0      281
 4342 libqscintilla2-qt5-l10n            	     288        0        0        0      288
 4343 libxcb-xtest0                      	     288        9       14        0      265
 4344 lxappearance                       	     288        4      260       24        0
 4345 lxqt-qtplugin                      	     288       32       98        8      150
 4346 qterminal                          	     288       32      234       22        0
 4347 gir1.2-meta-muffin-0.0             	     287       35      137        9      106
 4348 libfm-qt-l10n                      	     287       21       59        5      202
 4349 librtaudio6                        	     287        0        4        0      283
 4350 lxqt-sudo                          	     287       10      250       27        0
 4351 lxqt-system-theme                  	     287        0        0        0      287
 4352 manpages-es                        	     287        0        0        0      287
 4353 qps                                	     287       12      250       25        0
 4354 gir1.2-cmenu-3.0                   	     286       35      131        9      111
 4355 libcephfs2                         	     286        0        0        0      286
 4356 libcjs0                            	     286       35      131        9      111
 4357 libnet-ip-perl                     	     286       28      256        2        0
 4358 cinnamon-common                    	     285       11      246       28        0
 4359 cjs                                	     285        4      251       30        0
 4360 firmware-atheros                   	     285        8      266       11        0
 4361 hyphen-de                          	     285        0        0        0      285
 4362 libatspi2.0-dev                    	     285        0      273       12        0
 4363 libvncserver1                      	     285        6       19        0      260
 4364 lximage-qt                         	     285       15      245       25        0
 4365 lxqt-session                       	     285       36      225       24        0
 4366 swtpm-tools                        	     285        8      271        6        0
 4367 cinnamon                           	     284       43      222       19        0
 4368 libatk-bridge2.0-dev               	     284        0      272       12        0
 4369 libdatetime-format-strptime-perl   	     284       13      267        4        0
 4370 libebook-contacts-1.2-4            	     284       38      122        6      118
 4371 libreadline5                       	     284        0        0        0      284
 4372 libvorbis-dev                      	     284        5      277        2        0
 4373 lxqt-config                        	     284       24      236       24        0
 4374 lxqt-notificationd                 	     284       36      226       22        0
 4375 python3-asttokens                  	     284        2      277        5        0
 4376 xinput                             	     284       17      265        1        1
 4377 fonts-gfs-baskerville              	     283        3        2        0      278
 4378 gir1.2-gsound-1.0                  	     283        4       13        1      265
 4379 gir1.2-telepathyglib-0.12          	     283        1        8        0      274
 4380 libcglib-java                      	     283        0        0        0      283
 4381 lximage-qt-l10n                    	     283        0        0        0      283
 4382 pcmanfm-qt                         	     283       37      224       22        0
 4383 pdftk-java                         	     283       18      261        4        0
 4384 fail2ban                           	     282      104      177        1        0
 4385 fonts-gfs-porson                   	     282        3        2        0      277
 4386 libcurl4t64                        	     282       21       25        4      232
 4387 pcmanfm-qt-l10n                    	     282       21       61        5      195
 4388 sse3-support                       	     282        0        0        0      282
 4389 task-spanish                       	     282        0        0        0      282
 4390 ttf-mscorefonts-installer          	     282        6      271        5        0
 4391 cinnamon-core                      	     281        0        0        0      281
 4392 clamav-base                        	     281        0        0        0      281
 4393 gstreamer1.0-espeak                	     281        0        0        0      281
 4394 libcups2t64                        	     281       56      142       11       72
 4395 libpango-perl                      	     281        0        0        0      281
 4396 libvirt-glib-1.0-data              	     281        0        0        0      281
 4397 lxqt-sudo-l10n                     	     281        0        0        0      281
 4398 python3-mechanize                  	     281        4      262       15        0
 4399 qterminal-l10n                     	     281       10       20        4      247
 4400 clamav-freshclam                   	     280       95      185        0        0
 4401 libfreeimage3                      	     280        0        6        0      274
 4402 libgtk-3-dev                       	     280        2      262       16        0
 4403 libpangox-1.0-0                    	     280        0        3        0      277
 4404 libstring-crc32-perl               	     280        1        3        0      276
 4405 libxenstore4                       	     280       70      145        2       63
 4406 lxqt-config-l10n                   	     280        0        3        0      277
 4407 lxqt-policykit                     	     280       36      221       23        0
 4408 python3-uritemplate                	     280        3      264       13        0
 4409 wireshark-qt                       	     280        6      272        2        0
 4410 clamav                             	     279       26      247        6        0
 4411 ibus-gtk4                          	     279       23       79        7      170
 4412 libconfuse2                        	     279       15       42        0      222
 4413 libllvm7                           	     279        0        1        0      278
 4414 libmagic1t64                       	     279        2        8        0      269
 4415 libmuffin0                         	     279       31      125        8      115
 4416 libnpth0t64                        	     279       29       63        1      186
 4417 llvm-14-linker-tools               	     279       22      257        0        0
 4418 python3-pyqt5.qtopengl             	     279       12      263        4        0
 4419 xserver-xephyr                     	     279        4      224       51        0
 4420 cpp-x86-64-linux-gnu               	     278       41      205       32        0
 4421 debian-reference-es                	     278        0        0        0      278
 4422 libavif16                          	     278       25       38        3      212
 4423 libdbi1                            	     278       22       21        0      235
 4424 lxqt-notificationd-l10n            	     278       15       45        5      213
 4425 gir1.2-nemo-3.0                    	     277        1        9        1      266
 4426 libmono-btls-interface4.0-cil      	     277        3      266        8        0
 4427 librpmio9                          	     277        0        0        0      277
 4428 libtask-weaken-perl                	     277        6      268        3        0
 4429 lxqt-session-l10n                  	     277       16       48        5      208
 4430 getty-run                          	     276        0        0        0      276
 4431 libefiboot1t64                     	     276        0        0        0      276
 4432 libefivar1t64                      	     276        0        0        0      276
 4433 lxqt-policykit-l10n                	     276       10       36        5      225
 4434 lxqt-powermanagement               	     276       34      219       23        0
 4435 openssl-provider-legacy            	     276       43       93        5      135
 4436 python3-json-pointer               	     276       13      252       11        0
 4437 libatk1.0-0t64                     	     275       57      143       13       62
 4438 libdbd-mysql-perl                  	     275        4       11        0      260
 4439 librpm9                            	     275        0        0        0      275
 4440 lxqt-powermanagement-l10n          	     275       14       48        5      208
 4441 pbzip2                             	     275       11      262        2        0
 4442 rpm2cpio                           	     275        9      254       12        0
 4443 telepathy-salut                    	     275        0      271        4        0
 4444 fonts-stix                         	     274        7       15        0      252
 4445 galera-4                           	     274       18      251        5        0
 4446 libatk-bridge2.0-0t64              	     274       57      144       13       60
 4447 libatspi2.0-0t64                   	     274       57      145       13       59
 4448 libcurl3t64-gnutls                 	     274       63      139       14       58
 4449 libcvc0                            	     274       31      125        8      110
 4450 libuv1t64                          	     274        9       11        0      254
 4451 libxv-dev                          	     274        0      270        4        0
 4452 linux-compiler-gcc-10-x86          	     274        0        0        0      274
 4453 fuseiso                            	     273       10      255        8        0
 4454 libcscreensaver0                   	     273       16       49        1      207
 4455 libqscintilla2-qt5-15              	     273        5        4        0      264
 4456 lsscsi                             	     273       19      249        5        0
 4457 nettle-dev                         	     273        3      259       11        0
 4458 python-chardet                     	     273        8      262        3        0
 4459 python3-wxgtk4.0                   	     273       11      254        8        0
 4460 fonts-vlgothic                     	     272       24       69        2      177
 4461 libconfig++9v5                     	     272        0        2        0      270
 4462 libplot2c2                         	     272        0        0        0      272
 4463 liburing1                          	     272       30       42        1      199
 4464 libxt6t64                          	     272       29       69        2      172
 4465 python-talloc                      	     272       10      259        3        0
 4466 equivs                             	     271       13      254        4        0
 4467 featherpad-l10n                    	     271        0        4        0      267
 4468 firefox-esr-l10n-de                	     271       32      216       23        0
 4469 libasound2t64                      	     271       67      162       12       30
 4470 libemf1                            	     271        0        0        0      271
 4471 libmunge2                          	     271        0        4        0      267
 4472 libp11-kit-dev                     	     271        1      265        5        0
 4473 librhino-java                      	     271        0        0        0      271
 4474 libwxgtk3.0-gtk3-0v5               	     271        4        5        0      262
 4475 libxenmisc4.17                     	     271       69      142        1       59
 4476 runit-init                         	     271       41      214       16        0
 4477 update-glx                         	     271        8      252       11        0
 4478 docutils-doc                       	     270        0        0        0      270
 4479 gnome-menus                        	     270       32      222       16        0
 4480 libcodec2-1.2                      	     270       54      121        6       89
 4481 libev-perl                         	     270        0        3        0      267
 4482 libgtk-3-0t64                      	     270       58      142       10       60
 4483 libqt6svgwidgets6                  	     270        1        3        0      266
 4484 libtasn1-6-dev                     	     270        2      250       18        0
 4485 libxpm-dev                         	     270        8      260        2        0
 4486 linux-kbuild-5.10                  	     270        0        0        0      270
 4487 screengrab                         	     270       14      232       24        0
 4488 xemacs21-basesupport               	     270        0        0        0      270
 4489 xemacs21-bin                       	     270        2      264        4        0
 4490 xemacs21-support                   	     270        2      264        4        0
 4491 devscripts                         	     269       15      244       10        0
 4492 libapt-pkg4.12                     	     269        0        0        0      269
 4493 libevent-2.1-7t64                  	     269       62      134        8       65
 4494 libreoffice-librelogo              	     269       10      244       15        0
 4495 libtimezonemap-data                	     269        0        0        0      269
 4496 login.defs                         	     269        0        0        0      269
 4497 python3-css-parser                 	     269        5      260        4        0
 4498 python3-webcolors                  	     269        3      253       13        0
 4499 python3-xlrd                       	     269        2      237       30        0
 4500 cpp-8                              	     268       18      247        2        1
 4501 gir1.2-timezonemap-1.0             	     268        1        7        1      259
 4502 libbrlapi0.6                       	     268        0        1        0      267
 4503 libheif-plugin-x265                	     268        0        2        0      266
 4504 libtepl-6-2                        	     268        2        5        0      261
 4505 libtimezonemap1                    	     268        0        0        0      268
 4506 xemacs21-mulesupport               	     268        0        0        0      268
 4507 xsel                               	     268       18      247        3        0
 4508 firmware-ath9k-htc                 	     267        5      244       18        0
 4509 glx-alternative-mesa               	     267        0        0        0      267
 4510 libgtk2.0-dev                      	     267        8      257        2        0
 4511 libhwy1t64                         	     267       53      123        7       84
 4512 libyaml-perl                       	     267       10      253        4        0
 4513 lxqt-themes                        	     267        0        0        0      267
 4514 mythes-de                          	     267        0        0        0      267
 4515 python3-matplotlib-inline          	     267        2      262        3        0
 4516 python3-pickleshare                	     267        2      264        1        0
 4517 python3-rfc3987                    	     267        3      252       12        0
 4518 zerofree                           	     267        8      252        7        0
 4519 deja-dup                           	     266       47      200       19        0
 4520 geany                              	     266       29      233        4        0
 4521 libapt-pkg6.0t64                   	     266        4       37        0      225
 4522 libisl19                           	     266        0        0        0      266
 4523 libreoffice-uiconfig-common        	     266       22      197       47        0
 4524 python3-argcomplete                	     266       17      244        5        0
 4525 python3-executing                  	     266        1      261        4        0
 4526 tracker                            	     266        9      238       17        2
 4527 geany-common                       	     265        2      258        5        0
 4528 libheif-plugin-aomenc              	     265        0        1        0      264
 4529 libyaml-cpp0.7                     	     265        3        5        0      257
 4530 llvm-14-runtime                    	     265        4      260        1        0
 4531 lxqt-globalkeys                    	     265       34      209       22        0
 4532 lxqt-openssh-askpass               	     265        9      230       26        0
 4533 pstoedit                           	     265        6      254        5        0
 4534 python3-pure-eval                  	     265        2      260        3        0
 4535 python3-stack-data                 	     265        2      260        3        0
 4536 switcheroo-control                 	     265        9      230       26        0
 4537 id3                                	     264        3      231       30        0
 4538 libevent-2.1-6                     	     264        1        6        0      257
 4539 libgpgme11t64                      	     264       54      110       10       90
 4540 libldb1                            	     264        1        0        0      263
 4541 libreoffice-help-de                	     264        0        0        0      264
 4542 llvm-14                            	     264        6      257        1        0
 4543 lxqt-about                         	     264       11      227       26        0
 4544 lxqt-runner                        	     264       35      207       22        0
 4545 lxqt-theme-debian                  	     264        0        0        0      264
 4546 node-busboy                        	     264        2      261        1        0
 4547 postgresql-common                  	     264       65      193        6        0
 4548 libhidapi-libusb0                  	     263        3        6        0      254
 4549 libmpg123-0t64                     	     263       61      135        8       59
 4550 odbcinst                           	     263        5      257        1        0
 4551 openjdk-17-jdk-headless            	     263        0        0        0      263
 4552 python3-pyqt6.sip                  	     263       21      220       22        0
 4553 cpufrequtils                       	     262       25      237        0        0
 4554 libxpp3-java                       	     262        0        0        0      262
 4555 lxqt-about-l10n                    	     262        0        0        0      262
 4556 lxqt-openssh-askpass-l10n          	     262        0        0        0      262
 4557 lxqt-panel                         	     262       35      205       22        0
 4558 php-xml                            	     262        0        0        0      262
 4559 python3-rencode                    	     262       13      241        8        0
 4560 system-tools-backends              	     262        8      233       21        0
 4561 texlive-lang-greek                 	     262        6      247        9        0
 4562 deborphan                          	     261       24      232        5        0
 4563 libabsl20230802                    	     261       23       59        1      178
 4564 libdistro-info-perl                	     261        6      250        5        0
 4565 libmono-corlib4.5-dll              	     261       18      234        9        0
 4566 libobjc-12-dev                     	     261        0        0        0      261
 4567 libsvtav1enc2                      	     261       52      120        7       82
 4568 lxqt-globalkeys-l10n               	     261        0        0        0      261
 4569 python3-pyqt6                      	     261       21      223       17        0
 4570 libgnutls28-dev                    	     260        8      233       19        0
 4571 libjsoncpp24                       	     260        8       17        0      235
 4572 libmono-posix4.0-cil               	     260        8      248        4        0
 4573 libqt6positioning6                 	     260       12       16        1      231
 4574 libstatgrab10                      	     260        3       17        0      240
 4575 libsysstat-qt5-0                   	     260        3        8        0      249
 4576 lxqt-branding-debian               	     260        0        0        0      260
 4577 lxqt-runner-l10n                   	     260       16       48        5      191
 4578 mesa-libgallium                    	     260       63      139        9       49
 4579 steam-libs-i386                    	     260        0        0        0      260
 4580 texlive-font-utils                 	     260        7      245        8        0
 4581 texlive-science                    	     260       21      232        7        0
 4582 gir1.2-girepository-2.0            	     259        4       11        2      242
 4583 libboost-system1.67.0              	     259        1        1        0      257
 4584 libnode108                         	     259        5       15        0      239
 4585 libqt5charts5                      	     259        2        2        0      255
 4586 libreoffice-uiconfig-writer        	     259       18      195       46        0
 4587 llvm-14-tools                      	     259        5      253        1        0
 4588 lxqt-panel-l10n                    	     259       16       48        5      190
 4589 python3-backcall                   	     259        4      254        1        0
 4590 tracker-extract                    	     259       35      213       11        0
 4591 hunspell-en-gb                     	     258        0        0        0      258
 4592 libbytesize1                       	     258       52      122       11       73
 4593 libdw1t64                          	     258       53      133        9       63
 4594 libencode-perl                     	     258       20       16        0      222
 4595 libimath-3-1-29t64                 	     258       13       24        2      219
 4596 libopencv-videoio406               	     258        0        1        0      257
 4597 libxs-parse-sublike-perl           	     258        0        0        0      258
 4598 lxqt-core                          	     258        0        0        0      258
 4599 lxterminal                         	     258       19      222       17        0
 4600 python3-tinycss                    	     258        2      226       30        0
 4601 torsocks                           	     258       48      203        7        0
 4602 evolution-common                   	     257       14       70        4      169
 4603 feathernotes                       	     257       10      221       26        0
 4604 fonts-croscore                     	     257       44       98        0      115
 4605 libbytesize-common                 	     257        0        0        0      257
 4606 libpgm-5.2-0                       	     257        0        0        0      257
 4607 librav1e0.7                        	     257       49      114        7       87
 4608 libsdl-net1.2                      	     257        0        0        1      256
 4609 llvm-14-dev                        	     257       27      230        0        0
 4610 perl-doc                           	     257       11      239        7        0
 4611 python3-sugar3                     	     257        3      249        5        0
 4612 tracker-miner-fs                   	     257       51      197        9        0
 4613 cgroupfs-mount                     	     256       31      222        3        0
 4614 feathernotes-l10n                  	     256        0        0        0      256
 4615 liburcu8t64                        	     256        4        8        0      244
 4616 libxml-simple-perl                 	     256       13      239        4        0
 4617 lxqt-admin                         	     256        9      221       26        0
 4618 winetricks                         	     256        9      245        2        0
 4619 xdotool                            	     256       17      236        3        0
 4620 cpp-14                             	     255       41      183       31        0
 4621 exiv2                              	     255        7      233       15        0
 4622 fonts-glyphicons-halflings         	     255        0        3        0      252
 4623 gir1.2-geoclue-2.0                 	     255        8       55        8      184
 4624 gir1.2-javascriptcoregtk-4.1       	     255        1        1        0      253
 4625 gir1.2-webkit2-4.1                 	     255        1        1        0      253
 4626 libcanna1g                         	     255        0        0        0      255
 4627 libmtdev1t64                       	     255       60      144       10       41
 4628 libpcap0.8t64                      	     255       20       20        3      212
 4629 libzvbi0t64                        	     255       50      117        6       82
 4630 mythes-de-ch                       	     255        0        0        0      255
 4631 python3.7                          	     255        2      250        3        0
 4632 tesseract-ocr-eng                  	     255        0        0        0      255
 4633 cinnamon-desktop-environment       	     254        0        0        0      254
 4634 libapt-inst1.5                     	     254        0        0        0      254
 4635 libidn2-dev                        	     254        2      240       12        0
 4636 libjson-c2                         	     254        3        5        0      246
 4637 libreoffice-uiconfig-calc          	     254       13      191       50        0
 4638 libvpx9                            	     254       50      125        6       73
 4639 lxqt-admin-l10n                    	     254        0        0        0      254
 4640 python-pip-whl                     	     254        0        0        0      254
 4641 tesseract-ocr                      	     254       12      236        6        0
 4642 tesseract-ocr-osd                  	     254        0        0        0      254
 4643 dvipng                             	     253        7      235       11        0
 4644 hunspell-de-ch                     	     253        2      232       15        4
 4645 libarchive13t64                    	     253       11       30        3      209
 4646 libboost-iostreams1.83.0           	     253       14       14        1      224
 4647 libfile-touch-perl                 	     253        8      242        3        0
 4648 liboobs-1-5                        	     253        0        1        0      252
 4649 libqt6webengine6-data              	     253        2        3        0      248
 4650 libuuid-perl                       	     253        0        1        0      252
 4651 lua-socket                         	     253        8        7        0      238
 4652 preload                            	     253       65      176       12        0
 4653 usrmerge                           	     253        1      235       17        0
 4654 xemacs21-mule-canna-wnn            	     253        2      247        4        0
 4655 libdvdread8t64                     	     252       10       35        0      207
 4656 libmbedcrypto3                     	     252        9       19        0      224
 4657 libreoffice-uiconfig-math          	     252        4      190       58        0
 4658 nvidia-installer-cleanup           	     252        8      230       14        0
 4659 pdftk                              	     252        0        8        0      244
 4660 ps2eps                             	     252        8      240        4        0
 4661 untex                              	     252        3      219       30        0
 4662 xserver-xorg-input-evdev           	     252       17      231        4        0
 4663 gfortran-12                        	     251       13      235        3        0
 4664 libgfortran-12-dev                 	     251        0        0        0      251
 4665 libqt6webchannel6                  	     251        9        5        0      237
 4666 testdisk                           	     251       11      238        2        0
 4667 cpp-14-x86-64-linux-gnu            	     250       40      179       31        0
 4668 dracut-install                     	     250       21      189       40        0
 4669 frei0r-plugins                     	     250       14      231        5        0
 4670 libassuan9                         	     250       56      102        9       83
 4671 libqt6webenginecore6               	     250        9        5        0      236
 4672 libqt6webenginecore6-bin           	     250       17      218       15        0
 4673 task-german-desktop                	     250        0        0        0      250
 4674 ghostscript-x                      	     249        2       29        0      218
 4675 hunspell-de-at                     	     249        2      228       15        4
 4676 imvirt-helper                      	     249       44      199        6        0
 4677 libimvirt-perl                     	     249       44      199        6        0
 4678 libsframe1                         	     249        0        1        0      248
 4679 perl-modules-5.24                  	     249       55      193        1        0
 4680 python3-protobuf                   	     249       10      224       15        0
 4681 qrencode                           	     249        9      236        4        0
 4682 glx-diversions                     	     248        0        0        0      248
 4683 libfile-dirlist-perl               	     248        8      237        3        0
 4684 libopenmpt0t64                     	     248        9       32        0      207
 4685 lxsession-data                     	     248        9       28        1      210
 4686 printer-driver-hpijs               	     248        5      239        3        1
 4687 cinnamon-control-center-goa        	     247        0        6        1      240
 4688 gir1.2-gmenu-3.0                   	     247        0        9        0      238
 4689 libparted2t64                      	     247        2        1        0      244
 4690 libreoffice-uiconfig-draw          	     247        5      187       55        0
 4691 libreoffice-uiconfig-impress       	     247        7      187       53        0
 4692 libunibreak6                       	     247       10       34        0      203
 4693 konwert                            	     246        5      219       22        0
 4694 konwert-filters                    	     246        0        0        0      246
 4695 libaudiofile1                      	     246       10       19        1      216
 4696 libgdiplus                         	     246        6      239        1        0
 4697 libhwasan0                         	     246        0        0        0      246
 4698 libpst4                            	     246        0        1        0      245
 4699 meteo-qt-l10n                      	     246        0        1        0      245
 4700 gir1.2-cogl-1.0                    	     245        0        0        0      245
 4701 gir1.2-coglpango-1.0               	     245        0        0        0      245
 4702 libcurl4-openssl-dev               	     245       10      220       15        0
 4703 libgnome-autoar-gtk-0-0            	     245       34      129        8       74
 4704 libio-sessiondata-perl             	     245        6      236        3        0
 4705 libjs-bootstrap                    	     245        0        0        0      245
 4706 liborc-0.4-0t64                    	     245       56      110        8       71
 4707 libqt6webenginewidgets6            	     245        9        5        0      231
 4708 python3-jaraco.functools           	     245       14      213       18        0
 4709 python3-tqdm                       	     245       14      223        8        0
 4710 gnome-system-tools                 	     244        8      217       19        0
 4711 libevolution                       	     244       36      196       12        0
 4712 libio-compress-brotli-perl         	     244        0        0        0      244
 4713 libsoap-lite-perl                  	     244       10      232        2        0
 4714 libx265-165                        	     244        1        2        0      241
 4715 libzix-0-0                         	     244        9       30        0      205
 4716 tor                                	     244       67      175        2        0
 4717 libmbedx509-0                      	     243        7       14        0      222
 4718 libraw23t64                        	     243       14       24        2      203
 4719 libusbmuxd4                        	     243        0        2        0      241
 4720 meteo-qt                           	     243       11      207       25        0
 4721 qpdf                               	     243        6      226       11        0
 4722 xserver-xorg-input-synaptics       	     243       29      211        3        0
 4723 evolution                          	     242       11      211       20        0
 4724 gir1.2-clutter-1.0                 	     242        0        0        0      242
 4725 libmbedtls12                       	     242        7       14        0      221
 4726 libmono-system-drawing4.0-cil      	     242        6      233        3        0
 4727 libopencv-dnn406                   	     242        0        0        0      242
 4728 libqtermwidget5-1                  	     242       11       39        4      188
 4729 libpgm-5.3-0t64                    	     241        9       31        0      201
 4730 lxde-icon-theme                    	     241        0        0        0      241
 4731 python3-platformdirs               	     241        7      224       10        0
 4732 gir1.2-edataserver-1.2             	     240        0        1        0      239
 4733 gir1.2-ical-3.0                    	     240        0        0        0      240
 4734 libclang-common-14-dev             	     240       10      228        2        0
 4735 libkeybinder0                      	     240        9       55        2      174
 4736 libout123-0                        	     240        0        0        0      240
 4737 libpodofo0.9.8                     	     240        0        0        0      240
 4738 lua-bitop                          	     240        5        8        0      227
 4739 lxqt                               	     240        0        0        0      240
 4740 texlive-xetex                      	     240        9      224        7        0
 4741 gir1.2-camel-1.2                   	     239        0        0        0      239
 4742 libavutil59                        	     239       46      105        6       82
 4743 libblockdev-mdraid3                	     239       52      114       11       62
 4744 libblockdev-nvme3                  	     239       53      115       10       61
 4745 libblockdev-part3                  	     239       53      114       10       62
 4746 libblockdev-swap3                  	     239       53      114       10       62
 4747 libblockdev3                       	     239       53      114       10       62
 4748 liberror-prone-java                	     239        0        0        0      239
 4749 libnorm1t64                        	     239        9       31        0      199
 4750 libswresample5                     	     239       46      105        6       82
 4751 libwireshark16                     	     239        0        1        0      238
 4752 libwiretap13                       	     239        0        1        0      238
 4753 libwsutil14                        	     239        0        1        0      238
 4754 node-balanced-match                	     239        1        6        0      232
 4755 node-brace-expansion               	     239        1        6        0      232
 4756 node-minimatch                     	     239        1        6        0      232
 4757 texlive                            	     239        0        0        0      239
 4758 evolution-plugins                  	     238        9      209       20        0
 4759 f2fs-tools                         	     238        9      225        4        0
 4760 libblockdev-fs3                    	     238       52      115       10       61
 4761 libblockdev-loop3                  	     238       52      114       10       62
 4762 libblockdev-utils3                 	     238       52      114       10       62
 4763 libcdio19t64                       	     238        8       15        0      215
 4764 libslang2-dev                      	     238        5      231        2        0
 4765 python3-pyqt5.qtwebkit             	     238        5      229        4        0
 4766 xpdf                               	     238       21      212        5        0
 4767 libblockdev-crypto3                	     237       52      114       10       61
 4768 libflac++6v5                       	     237        0        0        0      237
 4769 libnfs14                           	     237        4        5        0      228
 4770 libpstoedit0c2a                    	     237        0        0        0      237
 4771 libusb-1.0-0-dev                   	     237        3      230        4        0
 4772 python3-jaraco.context             	     237        5      188       44        0
 4773 kpartx                             	     236       28      205        3        0
 4774 libasan5                           	     236        0        0        0      236
 4775 libgnome-keyring-common            	     236        0        0        0      236
 4776 libjs-prettify                     	     236        0        0        0      236
 4777 libqt6webenginequick6              	     236        0        0        0      236
 4778 libtevent0t64                      	     236       21       38        1      176
 4779 libuv1-dev                         	     236        6      225        5        0
 4780 libvpx5                            	     236        1        3        0      232
 4781 libxmlrpc-lite-perl                	     236        5      228        3        0
 4782 teckit                             	     236        4      228        4        0
 4783 unrar-free                         	     236       15      217        4        0
 4784 fonts-roboto-slab                  	     235        1        5        0      229
 4785 libdv4t64                          	     235        0        2        0      233
 4786 libfluidsynth1                     	     235        0        0        0      235
 4787 libgnomecanvas2-common             	     235        0        0        0      235
 4788 libxcb-xrm0                        	     235       21       65        1      148
 4789 python3-zmq                        	     235        6      221        8        0
 4790 tor-geoipdb                        	     235        0        0        0      235
 4791 libflac-dev                        	     234        3      228        3        0
 4792 libgettextpo0                      	     234        0        0        0      234
 4793 libgpgmepp6t64                     	     234       15       32        2      185
 4794 libparse-debianchangelog-perl      	     234        4      229        1        0
 4795 librcd0                            	     234        0        0        0      234
 4796 swh-plugins                        	     234       15      218        1        0
 4797 task-cinnamon-desktop              	     234        0        0        0      234
 4798 x11proto-xf86vidmode-dev           	     234        1       22        0      211
 4799 debugedit                          	     233        7      219        7        0
 4800 libdvdcss2                         	     233        1        4        0      228
 4801 libebackend-1.2-11                 	     233       38      122        6       67
 4802 libebook-1.2-21                    	     233       38      122        6       67
 4803 libedata-book-1.2-27               	     233       38      122        6       67
 4804 libgnome-keyring0                  	     233        2        3        0      228
 4805 libgtk-4-media-gstreamer           	     233        6       17        2      208
 4806 libusageenvironment3               	     233        0        0        0      233
 4807 libv4l-0t64                        	     233        2        3        0      228
 4808 libv4lconvert0t64                  	     233        2        3        0      228
 4809 php-mbstring                       	     233        0        0        0      233
 4810 python3-autocommand                	     233        4      211       18        0
 4811 python3-html2text                  	     233        7      222        4        0
 4812 python3-pyqt5.qtwebchannel         	     233        9      216        8        0
 4813 cvs                                	     232       12      215        5        0
 4814 gcc-4.8-base                       	     232        0        0        0      232
 4815 libcurses-perl                     	     232        0        0        0      232
 4816 libswscale8                        	     232        8       32        0      192
 4817 linux-image-5.10.0-9-amd64         	     232        3      220        7        2
 4818 lxrandr                            	     232        4      207       21        0
 4819 python-dbus                        	     232       20      210        2        0
 4820 python3-inflect                    	     232        5      209       18        0
 4821 android-libziparchive              	     231        0        0        0      231
 4822 gpicview                           	     231        8      203       20        0
 4823 libatopology2t64                   	     231        0        0        0      231
 4824 libpostproc58                      	     231        7       29        0      195
 4825 libsmbclient0                      	     231        4       13        0      214
 4826 nautilus-data                      	     231        1        9        1      220
 4827 python3-jaraco.classes             	     231        5      218        8        0
 4828 firmware-brcm80211                 	     230        5      214       11        0
 4829 libavcodec61                       	     230       44      102        6       78
 4830 libavutil-dev                      	     230        2      215       13        0
 4831 libboost-iostreams1.67.0           	     230        1        0        0      229
 4832 libfftw3-long3                     	     230        0        0        0      230
 4833 libpipewire-0.3-0t64               	     230       49       96        8       77
 4834 libboost-thread1.83.0              	     229       14       12        0      203
 4835 libclamav11                        	     229       73      131        0       25
 4836 libcoin80c                         	     229        1        1        0      227
 4837 libntfs-3g89t64                    	     229        2        5        0      222
 4838 libpoppler-glib8t64                	     229        3       15        1      210
 4839 libprocps3                         	     229        0        0        0      229
 4840 libsndio-dev                       	     229        1      225        3        0
 4841 libx11-doc                         	     229        0        0        0      229
 4842 runit-services                     	     229        0        4        1      224
 4843 chrony                             	     228       70      157        1        0
 4844 default-jdk-headless               	     228        0        0        0      228
 4845 evolution-plugin-pstimport         	     228        7      201       20        0
 4846 glx-alternative-nvidia             	     228        0        0        0      228
 4847 linux-image-5.10.0-33-amd64        	     228        2      224        1        1
 4848 linux-image-6.1.0-21-amd64         	     228        2      226        0        0
 4849 lxpanel                            	     228       16      195       17        0
 4850 lxpanel-data                       	     228        8       22        1      197
 4851 nvidia-modprobe                    	     228       35      180       13        0
 4852 pyqt6-dev-tools                    	     228        7      214        7        0
 4853 vim-gui-common                     	     228        5      202       21        0
 4854 dos2unix                           	     227       17      209        1        0
 4855 gir1.2-gtkclutter-1.0              	     227        0        0        0      227
 4856 libavformat61                      	     227        7       30        0      190
 4857 libgnomecanvas2-0                  	     227        1        1        0      225
 4858 libgtk2.0-0t64                     	     227        6       15        0      206
 4859 libgupnp-igd-1.6-0                 	     227        0        0        0      227
 4860 lua-expat                          	     227        7        4        0      216
 4861 vulkan-tools                       	     227       14      202       11        0
 4862 calibre-bin                        	     226       15      197       14        0
 4863 libdbd-sqlite3-perl                	     226        0        2        0      224
 4864 libgphoto2-port12t64               	     226       32       54        6      134
 4865 libllvm19                          	     226       55      103        9       59
 4866 libodbccr2                         	     226        0        0        0      226
 4867 numlockx                           	     226       39      170       17        0
 4868 odbcinst1debian2                   	     226        0        2        0      224
 4869 calibre                            	     225       18      194       13        0
 4870 libchamplain-0.12-0                	     225        3       20        1      201
 4871 libsnmp40t64                       	     225        9       10        0      206
 4872 libswresample-dev                  	     225        1      211       13        0
 4873 libxtables10                       	     225        0        0        0      225
 4874 libzxing3                          	     225       12       23        1      189
 4875 linux-sysctl-defaults              	     225       40      167       18        0
 4876 python3-repoze.lru                 	     225       64      160        1        0
 4877 evolution-plugin-bogofilter        	     224        7      197       20        0
 4878 libavcodec-dev                     	     224        1      210       13        0
 4879 libchamplain-gtk-0.12-0            	     224        3       20        1      200
 4880 libibus-1.0-dev                    	     224        1      215        8        0
 4881 libicu52                           	     224        2        0        0      222
 4882 libjs-inherits                     	     224        0        0        0      224
 4883 libjxr0t64                         	     224        0        0        0      224
 4884 libpython3.7                       	     224        0        1        0      223
 4885 libreoffice-l10n-es                	     224       18      172       34        0
 4886 openjdk-17-jdk                     	     224        0       10        1      213
 4887 python-gobject-2                   	     224       26      196        2        0
 4888 python3-routes                     	     224        1      220        3        0
 4889 ruby2.7                            	     224        5      202       17        0
 4890 aptitude-doc-en                    	     223        0        0        0      223
 4891 gcc-x86-64-linux-gnu               	     223       27      165       31        0
 4892 gir1.2-ecal-2.0                    	     223        0        0        0      223
 4893 libdouble-conversion1              	     223        1        2        0      220
 4894 libgphoto2-6t64                    	     223       48      162       13        0
 4895 libossp-uuid16                     	     223        0        3        0      220
 4896 libplacebo349                      	     223        8       29        0      186
 4897 node-inherits                      	     223        1        7        0      215
 4898 nvidia-support                     	     223        8      202       13        0
 4899 libayatana-appindicator1           	     222       23       78        5      116
 4900 libayatana-indicator7              	     222       23       78        5      116
 4901 libfile-chdir-perl                 	     222        6      213        3        0
 4902 libfltk1.1                         	     222        0        1        0      221
 4903 libnvme1t64                        	     222       48      103       10       61
 4904 libsdl2-dev                        	     222        7      208        7        0
 4905 libxenstore3.0                     	     222       22       44        0      156
 4906 lockfile-progs                     	     222       51      167        4        0
 4907 node-once                          	     222        1       31        0      190
 4908 node-wrappy                        	     222        1       32        0      189
 4909 python3-pyqt5.qtwebengine          	     222        6      208        8        0
 4910 python3-serial                     	     222       10      205        7        0
 4911 x11proto-input-dev                 	     222        2       43        0      177
 4912 acpi-fakekey                       	     221       61      159        1        0
 4913 debsums                            	     221       17      200        4        0
 4914 libfile-fnmatch-perl               	     221        0        0        0      221
 4915 libmath-random-isaac-perl          	     221       10      207        4        0
 4916 libpoppler82                       	     221        0        0        0      221
 4917 node-fs.realpath                   	     221        1       31        0      189
 4918 node-glob                          	     221        1        6        0      214
 4919 node-inflight                      	     221        1       31        0      189
 4920 python3-chm                        	     221        2      206       13        0
 4921 qt6-image-formats-plugins          	     221       23       18        4      176
 4922 libcolamd3                         	     220        0        1        0      219
 4923 libcurses-ui-perl                  	     220       11      207        2        0
 4924 libgetopt-long-descriptive-perl    	     220       10      207        3        0
 4925 libpython3.5-minimal               	     220       25      194        1        0
 4926 libquazip5-1                       	     220        1        2        0      217
 4927 libsphinxbase3t64                  	     220        9       29        0      182
 4928 libsuitesparseconfig7              	     220        0        1        0      219
 4929 nvidia-kernel-common               	     220       30      179       11        0
 4930 python-numpy                       	     220        4      213        3        0
 4931 gstreamer1.0-pipewire              	     219        1        3        0      215
 4932 libfilezilla-common                	     219        0        0        0      219
 4933 libgbm-dev                         	     219        2      208        9        0
 4934 libmlt-data                        	     219        0        0        0      219
 4935 libxmlsec1t64                      	     219        4        8        0      207
 4936 node-safe-buffer                   	     219        1        6        0      212
 4937 python3-systemd                    	     219       22      195        2        0
 4938 libilmbase23                       	     218        1        1        0      216
 4939 libisc-export95                    	     218        0        0        0      218
 4940 libopencv-calib3d406               	     218        0        0        0      218
 4941 libopencv-features2d406            	     218        0        0        0      218
 4942 libopencv-flann406                 	     218        0        0        0      218
 4943 libpython3.5-stdlib                	     218       26      191        1        0
 4944 libtbb2                            	     218        0        1        0      217
 4945 python-cairo                       	     218       20      196        2        0
 4946 python3-typeguard                  	     218        4      169       45        0
 4947 qemu-system-arm                    	     218        6      199       13        0
 4948 handbrake                          	     217        7      189        4       17
 4949 libavtp0                           	     217        0        0        0      217
 4950 libdns-export100                   	     217        0        0        0      217
 4951 libisccfg-export90                 	     217        0        0        0      217
 4952 libopencv-objdetect406             	     217        0        0        0      217
 4953 lxde-settings-daemon               	     217        1      196       20        0
 4954 node-graceful-fs                   	     217        1        5        0      211
 4955 python3-greenlet                   	     217       11      189       17        0
 4956 libedata-cal-2.0-2                 	     216       38      122        6       50
 4957 libedataserverui-1.2-4             	     216       38      122        6       50
 4958 libflac12t64                       	     216       20      133        0       63
 4959 libgck-2-2                         	     216       47      101        9       59
 4960 libgcr-4-4                         	     216       47      101        9       59
 4961 libirs-export91                    	     216        0        0        0      216
 4962 libmovit8                          	     216        0        1        0      215
 4963 libopencv-video406                 	     216        0        0        0      216
 4964 libopenexr23                       	     216        1        1        0      214
 4965 node-core-util-is                  	     216        0        0        0      216
 4966 node-lru-cache                     	     216        1        5        0      210
 4967 node-semver                        	     216        7      206        3        0
 4968 node-util-deprecate                	     216        1       29        0      186
 4969 node-yallist                       	     216        1        5        0      210
 4970 python3-apsw                       	     216       18      186       12        0
 4971 python3-py7zr                      	     216        4      209        3        0
 4972 rpm                                	     216       23      184        9        0
 4973 xdelta                             	     216        7      207        2        0
 4974 xdelta3                            	     216        7      207        2        0
 4975 libavfilter10                      	     215        7       29        0      179
 4976 libboost-serialization1.74.0       	     215        2        3        0      210
 4977 liblog-any-perl                    	     215        4      207        4        0
 4978 libperl5.40                        	     215       26      154       35        0
 4979 libqt5designercomponents5          	     215        0        1        0      214
 4980 libsdl-sound1.2                    	     215        0        0        1      214
 4981 node-abbrev                        	     215        1        5        0      209
 4982 node-ansi-regex                    	     215        1        5        0      209
 4983 node-ansi-styles                   	     215        1        5        0      209
 4984 node-clone                         	     215        1        5        0      209
 4985 node-color-convert                 	     215        1        5        0      209
 4986 node-color-name                    	     215        1        5        0      209
 4987 node-defaults                      	     215        1        5        0      209
 4988 node-iconv-lite                    	     215        1        5        0      209
 4989 node-isarray                       	     215        1        5        0      209
 4990 node-mkdirp                        	     215        3      190        2       20
 4991 node-nopt                          	     215        3      209        3        0
 4992 node-path-is-absolute              	     215        0        0        0      215
 4993 node-process-nextick-args          	     215        0        0        0      215
 4994 node-readable-stream               	     215        8      204        3        0
 4995 node-string-decoder                	     215        1        5        0      209
 4996 node-string-width                  	     215        1        5        0      209
 4997 node-strip-ansi                    	     215        1        5        0      209
 4998 node-wcwidth.js                    	     215        1       28        0      186
 4999 perl-modules-5.40                  	     215       38      149       28        0
 5000 texlive-pstricks                   	     215        6      204        5        0
 5001 xaw3dg                             	     215        3        5        0      207
 5002 fonts-liberation-sans-narrow       	     214        3        6        0      205
 5003 libboost-iostreams1.55.0           	     214        0        0        0      214
 5004 libpystring0                       	     214        1        1        0      212
 5005 libsamplerate0-dev                 	     214        2      207        5        0
 5006 locate                             	     214       62      151        1        0
 5007 lxpolkit                           	     214       22      167       25        0
 5008 nautilus                           	     214       12      187       15        0
 5009 node-isexe                         	     214        1        6        0      207
 5010 node-rimraf                        	     214        7      204        3        0
 5011 node-signal-exit                   	     214        1        5        0      208
 5012 node-which                         	     214        3      208        3        0
 5013 php-mysql                          	     214        0        0        0      214
 5014 python-gi                          	     214        8      203        3        0
 5015 python3-gst-1.0                    	     214        5      205        4        0
 5016 python3-html5-parser               	     214       15      187       12        0
 5017 xserver-xorg-input-mouse           	     214        4      208        2        0
 5018 deluge-common                      	     213        9      197        7        0
 5019 gnuplot                            	     213        0        0        0      213
 5020 libcolord-gtk1                     	     213        0        2        0      211
 5021 liblc3-1                           	     213        7       11        2      193
 5022 libopencv-ml406                    	     213        0        0        0      213
 5023 libsyn123-0                        	     213        0        0        0      213
 5024 node-wide-align                    	     213        1        5        0      207
 5025 bind9-utils                        	     212       26      182        4        0
 5026 libclang-rt-14-dev                 	     212        3      207        2        0
 5027 libgail18t64                       	     212        2        8        0      202
 5028 libxalan2-java                     	     212        0        0        0      212
 5029 node-punycode                      	     212        1        6        0      205
 5030 python3-websocket                  	     212        5      199        8        0
 5031 qttools5-dev-tools                 	     212        6      190        3       13
 5032 dput                               	     211        7      202        2        0
 5033 g++-x86-64-linux-gnu               	     211       15      160       36        0
 5034 libbytes-random-secure-perl        	     211        9      198        4        0
 5035 libcrypt-random-seed-perl          	     211        9      198        4        0
 5036 libgcc-14-dev                      	     211        0        0        0      211
 5037 libhiredis0.14                     	     211       17       23        1      170
 5038 libimobiledevice-glue-1.0-0        	     211       54      109        9       39
 5039 liblog-any-adapter-screen-perl     	     211        3      204        4        0
 5040 libqt5core5t64                     	     211       19       52        2      138
 5041 libspandsp2t64                     	     211        0        1        0      210
 5042 libusbmuxd-2.0-7                   	     211       54      111        9       37
 5043 node-ajv                           	     211        5      203        3        0
 5044 node-chalk                         	     211        1        5        0      205
 5045 node-escape-string-regexp          	     211        0        0        0      211
 5046 node-has-flag                      	     211        1        5        0      205
 5047 node-json-parse-better-errors      	     211        0        0        0      211
 5048 node-json-schema                   	     211        1        6        0      204
 5049 node-json-stable-stringify         	     211        0        0        0      211
 5050 node-jsonify                       	     211        1       29        0      181
 5051 node-object-assign                 	     211        1        5        0      205
 5052 node-supports-color                	     211        1        5        0      205
 5053 ssh-askpass                        	     211        0       48        0      163
 5054 db5.3-util                         	     210       14      193        3        0
 5055 libieee1284-3t64                   	     210        0        0        0      210
 5056 libjs-is-typedarray                	     210        0        0        0      210
 5057 liblxqt1                           	     210       24       76        6      104
 5058 libplist-2.0-4                     	     210       53      107        9       41
 5059 libqt5dbus5t64                     	     210       19       51        2      138
 5060 node-chownr                        	     210        1        5        0      204
 5061 node-hosted-git-info               	     210        0        0        0      210
 5062 node-is-typedarray                 	     210        1        6        0      203
 5063 node-jsonparse                     	     210        1        5        0      204
 5064 node-mime-types                    	     210        1        5        0      204
 5065 node-normalize-package-data        	     210        0        0        0      210
 5066 node-slash                         	     210        1        5        0      204
 5067 node-spdx-correct                  	     210        1        5        0      204
 5068 node-spdx-expression-parse         	     210        0        0        0      210
 5069 node-spdx-license-ids              	     210        0        0        0      210
 5070 node-validate-npm-package-license  	     210        0        0        0      210
 5071 pixz                               	     210        6      198        6        0
 5072 gcc-14                             	     209       28      149       32        0
 5073 gir1.2-cscreensaver-1.0            	     209       10       24        0      175
 5074 libgit-wrapper-perl                	     209        4      202        3        0
 5075 libqt5network5t64                  	     209       18       51        2      138
 5076 libx11-xcb-dev                     	     209        6      199        4        0
 5077 node-archy                         	     209        1        5        0      203
 5078 node-debug                         	     209        0        0        0      209
 5079 node-encoding                      	     209        1        5        0      203
 5080 node-imurmurhash                   	     209        1       28        0      180
 5081 node-ini                           	     209        1        6        0      202
 5082 node-ms                            	     209        0        0        0      209
 5083 node-resolve-from                  	     209        1        6        0      202
 5084 node-through                       	     209        1        6        0      202
 5085 node-uuid                          	     209        1        6        0      202
 5086 php                                	     209        0        0        0      209
 5087 python3-parsedatetime              	     209        9      199        1        0
 5088 python3-pyqt5.qtsql                	     209        9      196        4        0
 5089 python3.5-minimal                  	     209       28      180        1        0
 5090 resolvconf                         	     209       50      159        0        0
 5091 xfonts-terminus                    	     209        1        0        0      208
 5092 deluge-gtk                         	     208        9      191        8        0
 5093 libimobiledevice-1.0-6             	     208       53      107        9       39
 5094 libprotobuf32t64                   	     208       29       30        4      145
 5095 lxtask                             	     208        4      187       17        0
 5096 node-mute-stream                   	     208        1        5        0      202
 5097 node-read                          	     208        1        5        0      202
 5098 node-write-file-atomic             	     208        0        0        0      208
 5099 python3-geoip                      	     208       12      188        8        0
 5100 rdesktop                           	     208       13      193        2        0
 5101 baobab                             	     207        3      181       23        0
 5102 isolinux                           	     207        4      201        2        0
 5103 libcodec2-0.8.1                    	     207        2        3        0      202
 5104 libid3-3.8.3v5                     	     207        0        0        0      207
 5105 libkyotocabinet16v5                	     207        0        0        0      207
 5106 liblognorm1                        	     207        0        0        0      207
 5107 libpsl0                            	     207        0        0        0      207
 5108 libqt5gui5t64                      	     207       19       51        2      135
 5109 libqt5widgets5t64                  	     207       19       51        2      135
 5110 libx264-155                        	     207        1        3        0      203
 5111 clearlooks-phenix-cinnabar-theme   	     206        0        0        0      206
 5112 fonts-comfortaa                    	     206        2        1        0      203
 5113 lxde-common                        	     206        1        3        0      202
 5114 mesa-common-dev                    	     206        5      191       10        0
 5115 myspell-es                         	     206        5      177       24        0
 5116 node-function-bind                 	     206        0        0        0      206
 5117 node-retry                         	     206        1        5        0      200
 5118 python3-webob                      	     206        1      201        4        0
 5119 redshift                           	     206       32      170        4        0
 5120 x11proto-scrnsaver-dev             	     206        1       15        0      190
 5121 brave-keyring                      	     205        0        0        0      205
 5122 gir1.2-gck-1                       	     205        9       45        8      143
 5123 libgdm1                            	     205        7      179       19        0
 5124 libgnutls-dane0t64                 	     205       44      101        8       52
 5125 liblo7                             	     205        5        1        0      199
 5126 libwant-perl                       	     205        0        0        0      205
 5127 lua-json                           	     205        0        0        0      205
 5128 node-resolve                       	     205        0        0        0      205
 5129 node-ssri                          	     205        1        5        0      199
 5130 python3.5                          	     205        7      197        1        0
 5131 qt5-assistant                      	     205        3      199        3        0
 5132 sysv-rc-conf                       	     205       11      191        3        0
 5133 task-spanish-desktop               	     205        0        0        0      205
 5134 yad                                	     205        4      190       11        0
 5135 fonts-ebgaramond-extra             	     204        5        2        0      197
 5136 gir1.2-gcr-3                       	     204        9       45        8      142
 5137 libfm-qt12                         	     204       22       74        6      102
 5138 libsgutils2-2                      	     204        0        0        0      204
 5139 node-fast-deep-equal               	     204        0        0        0      204
 5140 node-json-schema-traverse          	     204        0        0        0      204
 5141 node-mime                          	     204        2      197        5        0
 5142 node-spdx-exceptions               	     204        0        0        0      204
 5143 node-uri-js                        	     204        0        0        0      204
 5144 printer-driver-cups-pdf            	     204       11      187        6        0
 5145 python3-wrapt                      	     204        3      188       13        0
 5146 valgrind                           	     204       10      193        1        0
 5147 dosbox                             	     203        9      191        3        0
 5148 gcc-14-x86-64-linux-gnu            	     203       28      144       31        0
 5149 gitk                               	     203       10      186        7        0
 5150 libcaca-dev                        	     203        8      194        1        0
 5151 libgavl2                           	     203        0        0        0      203
 5152 libhamcrest-java                   	     203        0        0        0      203
 5153 libzbar0t64                        	     203        0        0        0      203
 5154 python3-socks                      	     203        6      196        1        0
 5155 fonts-gfs-artemisia                	     202        2        2        0      198
 5156 fonts-gfs-didot                    	     202        1        2        0      199
 5157 fonts-gfs-neohellenic              	     202        1        2        0      199
 5158 fonts-gfs-solomos                  	     202        1        0        0      201
 5159 fonts-sil-andika                   	     202        8        7        0      187
 5160 junit4                             	     202        0        0        0      202
 5161 libboost-locale1.83.0              	     202        4        5        0      193
 5162 libcontextual-return-perl          	     202        3      196        3        0
 5163 libglut-dev                        	     202        3      198        1        0
 5164 libio-prompter-perl                	     202        3      194        5        0
 5165 libjs-typedarray-to-buffer         	     202        0        0        0      202
 5166 libmtp9t64                         	     202        2        6        0      194
 5167 libneon27t64                       	     202        1        1        0      200
 5168 libpango1.0-0                      	     202        0        0        0      202
 5169 libreoffice-l10n-en-gb             	     202       17      161       24        0
 5170 libset-intspan-perl                	     202        2      197        3        0
 5171 libtasn1-doc                       	     202        0        0        0      202
 5172 node-indent-string                 	     202        0        0        0      202
 5173 node-p-map                         	     202        0        0        0      202
 5174 node-typedarray-to-buffer          	     202        0        0        0      202
 5175 php-gd                             	     202        0        0        0      202
 5176 php8.2-xml                         	     202       67      130        5        0
 5177 python3-docopt                     	     202        6      187        9        0
 5178 python3-pyqt6.qtqml                	     202       15      175       12        0
 5179 rar                                	     202       16      180        6        0
 5180 fonts-gfs-olga                     	     201        1        0        0      200
 5181 gyp                                	     201        5      193        3        0
 5182 iperf3                             	     201       16      179        6        0
 5183 libapache2-mod-php8.2              	     201       50      143        8        0
 5184 libc6-x32                          	     201        0        0        0      201
 5185 libcfitsio9                        	     201        1        3        0      197
 5186 libiperf0                          	     201       10       22        0      169
 5187 libopencolorio2.1                  	     201        1        1        0      199
 5188 pavucontrol-qt                     	     201       16      170       15        0
 5189 python3-libtorrent                 	     201       12      182        7        0
 5190 vim-gtk3                           	     201       32      156       12        1
 5191 wireguard-tools                    	     201       21      174        6        0
 5192 arj                                	     200       20      179        1        0
 5193 libgssdp-1.0-3                     	     200        1        0        0      199
 5194 liblxqt-globalkeys1                	     200       24       75        6       95
 5195 libqt5positioningquick5            	     200        1        1        0      198
 5196 node-colors                        	     200        2      195        3        0
 5197 pristine-tar                       	     200        5      193        2        0
 5198 python3-pyqt6.qtwebchannel         	     200       12      177       11        0
 5199 python3-pyqt6.qtwebengine          	     200       12      184        4        0
 5200 clang-14                           	     199       10      188        1        0
 5201 deluge                             	     199        4      187        8        0
 5202 fonts-oflb-asana-math              	     199        7       13        0      179
 5203 gnome-sushi                        	     199        2      180       17        0
 5204 greybird-gtk-theme                 	     199        5       17        4      173
 5205 hp-ppd                             	     199        0        0        0      199
 5206 libgitlab-api-v4-perl              	     199        8      189        2        0
 5207 libglibmm-2.4-1t64                 	     199        4        9        1      185
 5208 libhttp-tiny-multipart-perl        	     199        4      192        3        0
 5209 libjs-source-map                   	     199        0        0        0      199
 5210 libmediainfo0v5                    	     199        4       13        1      181
 5211 libmjpegutils-2.1-0t64             	     199        0        0        0      199
 5212 libmpeg2encpp-2.1-0t64             	     199        0        0        0      199
 5213 libmplex2-2.1-0t64                 	     199        0        0        0      199
 5214 libreoffice-help-es                	     199        0        0        0      199
 5215 libusb-1.0-doc                     	     199        0        0        0      199
 5216 meson                              	     199        5      188        6        0
 5217 qdoc-qt5                           	     199        2      193        4        0
 5218 texlive-bibtex-extra               	     199        4      190        5        0
 5219 connman                            	     198       37      154        7        0
 5220 fonts-lobster                      	     198        1        2        0      195
 5221 libevent-2.0-5                     	     198        1        0        0      197
 5222 libgupnp-1.0-4                     	     198        1        0        0      197
 5223 libisorelax-java                   	     198        0        0        0      198
 5224 libpath-iterator-rule-perl         	     198        3      191        4        0
 5225 libpython3.12-minimal              	     198       13      179        6        0
 5226 libquvi-scripts-0.9                	     198        2      159        2       35
 5227 libstring-escape-perl              	     198        4      190        4        0
 5228 meld                               	     198       11      186        1        0
 5229 node-set-blocking                  	     198        1       28        0      169
 5230 openmpi-common                     	     198        0        0        0      198
 5231 python3-configargparse             	     198       10      187        1        0
 5232 python3-unidiff                    	     198        7      189        2        0
 5233 texlive-latex-base-doc             	     198        0        0        0      198
 5234 gnome-shell-common                 	     197        6      166       22        3
 5235 libcdio-cdda2t64                   	     197        6       13        0      178
 5236 libcdio-paranoia2t64               	     197        6       13        0      178
 5237 libclucene-core1t64                	     197        4        4        0      189
 5238 libkadm5clnt-mit12                 	     197        0        3        0      194
 5239 libkadm5srv-mit12                  	     197        2        2        0      193
 5240 libmono-system-data4.0-cil         	     197        2      191        4        0
 5241 libmono-system-enterpriseservices4.0-cil	     197        0      193        4        0
 5242 libmono-system-transactions4.0-cil 	     197        2      191        4        0
 5243 liborcus-0.18-0                    	     197        3        3        0      191
 5244 liborcus-parser-0.18-0             	     197        3        3        0      191
 5245 libx265-209                        	     197        7       97        0       93
 5246 lxinput                            	     197        3      176       18        0
 5247 lxlock                             	     197        4      177       16        0
 5248 lxsession                          	     197       16      165       16        0
 5249 mercurial-common                   	     197       12      175       10        0
 5250 node-aproba                        	     197        1        5        0      191
 5251 node-are-we-there-yet              	     197        0        0        0      197
 5252 node-console-control-strings       	     197        0        0        0      197
 5253 node-delegates                     	     197        1        5        0      191
 5254 node-gauge                         	     197        1       29        0      167
 5255 node-has-unicode                   	     197        1       28        0      168
 5256 node-npmlog                        	     197        1        5        0      191
 5257 node-text-table                    	     197        1       28        0      168
 5258 comerr-dev                         	     196        6      185        5        0
 5259 fonts-lobstertwo                   	     196        1        2        0      193
 5260 gnome-colors-common                	     196        0        0        0      196
 5261 libclucene-contribs1t64            	     196        4        4        0      188
 5262 libcmis-0.6-6t64                   	     196        0        0        0      196
 5263 libfilezilla34                     	     196        1        3        0      192
 5264 libgpg-error-dev                   	     196        6      187        3        0
 5265 libpython3.12-stdlib               	     196       17      174        5        0
 5266 librdf0t64                         	     196        4        4        0      188
 5267 libstring-copyright-perl           	     196        3      189        4        0
 5268 libwildmidi-config                 	     196        0        0        0      196
 5269 libxmlsec1t64-nss                  	     196        4        4        0      188
 5270 links                              	     196        7      183        6        0
 5271 mercurial                          	     196        7      179       10        0
 5272 node-osenv                         	     196        1       28        0      167
 5273 node-tar                           	     196        1        6        0      189
 5274 python3-opengl                     	     196        5      184        7        0
 5275 python3-sortedcontainers           	     196        6      189        1        0
 5276 qemu-system-misc                   	     196        7      178       11        0
 5277 samba-ad-provision                 	     196        0        0        0      196
 5278 brave-browser                      	     195       31      132       31        1
 5279 clang                              	     195       12      178        5        0
 5280 fonts-cabin                        	     195        3        6        0      186
 5281 fonts-inconsolata                  	     195       10       22        0      163
 5282 libcrypt-ssleay-perl               	     195        0        0        0      195
 5283 libgssrpc4                         	     195        2        4        0      189
 5284 libnode-dev                        	     195        4      182        9        0
 5285 librasqal3t64                      	     195        4        4        0      187
 5286 libstdc++-14-dev                   	     195       10      143       42        0
 5287 lxappearance-obconf                	     195        0        0        0      195
 5288 lxsession-logout                   	     195        7      171       17        0
 5289 node-source-map                    	     195        0        3        0      192
 5290 nvidia-egl-common                  	     195        0        0        0      195
 5291 pavucontrol-qt-l10n                	     195        0        0        0      195
 5292 python-gtk2                        	     195        1        4        0      190
 5293 qemu-system-ppc                    	     195        6      178       11        0
 5294 exiftran                           	     194        5      186        3        0
 5295 fonts-gfs-complutum                	     194        0        0        0      194
 5296 g++-14                             	     194       15      142       37        0
 5297 gcr4                               	     194        5      163       26        0
 5298 libappstream-glib8                 	     194        4       24        2      164
 5299 libboost-atomic1.67.0              	     194        1        1        0      192
 5300 libboost-thread1.67.0              	     194        1        1        0      192
 5301 libsys-cpuaffinity-perl            	     194        0        0        0      194
 5302 nvidia-alternative                 	     194        0        0        0      194
 5303 cinnabar-icon-theme                	     193        0        0        0      193
 5304 coinor-libcbc3.1                   	     193        0        0        0      193
 5305 libbsd-dev                         	     193        8      182        3        0
 5306 libdatetime-format-builder-perl    	     193        6      185        2        0
 5307 libept1.5.0                        	     193        0        1        0      192
 5308 libfs6                             	     193        0        0        0      193
 5309 libmono-system-runtime-serialization-formatters-soap4.0-cil	     193        0      189        4        0
 5310 libmono-webbrowser4.0-cil          	     193        0      189        4        0
 5311 libpod-constants-perl              	     193        3      186        4        0
 5312 libuno-cppu3t64                    	     193       20      136       37        0
 5313 libuno-cppuhelpergcc3-3t64         	     193       20      136       37        0
 5314 libuno-purpenvhelpergcc3-3t64      	     193        9      138       46        0
 5315 libuno-sal3t64                     	     193       20      136       37        0
 5316 libuno-salhelpergcc3-3t64          	     193       20      136       37        0
 5317 libx32gomp1                        	     193        0        0        0      193
 5318 libx32itm1                         	     193        0        0        0      193
 5319 licensecheck                       	     193        7      183        3        0
 5320 melt                               	     193        5      184        4        0
 5321 qhelpgenerator-qt5                 	     193        2      187        4        0
 5322 qtattributionsscanner-qt5          	     193        2      187        4        0
 5323 texlive-fonts-extra                	     193        1        0        0      192
 5324 alsamixergui                       	     192        5      184        3        0
 5325 fonts-sil-gentiumplus              	     192        2        2        0      188
 5326 haveged                            	     192       53      138        1        0
 5327 libbasicusageenvironment1          	     192        0        0        0      192
 5328 libc6-dev-i386                     	     192       12      164       16        0
 5329 libmono-accessibility4.0-cil       	     192        5      184        3        0
 5330 libmono-system-windows-forms4.0-cil	     192        7      182        3        0
 5331 libpolkit-backend-consolekit-1-0   	     192        2        0        0      190
 5332 libsdl1.2-dev                      	     192        7      180        5        0
 5333 libtinyxml2-9                      	     192        4        7        0      181
 5334 libx32atomic1                      	     192        0        0        0      192
 5335 libx32quadmath0                    	     192        0        0        0      192
 5336 node-commander                     	     192        0        1        0      191
 5337 node-gyp                           	     192        5      184        3        0
 5338 node-read-package-json             	     192        0        0        0      192
 5339 openjdk-8-jre-headless             	     192        7      183        2        0
 5340 python3-pyqt6.qtsvg                	     192        3      177       12        0
 5341 ruby-did-you-mean                  	     192       12      176        4        0
 5342 geeqie-common                      	     191        1      187        3        0
 5343 libc6-dev-x32                      	     191        2      170       19        0
 5344 libdapclient6v5                    	     191        0        2        0      189
 5345 libgoffice-0.10-10-common          	     191        1        1        0      189
 5346 libiw30t64                         	     191        4        6        0      181
 5347 libnvidia-ml1                      	     191        1        6        0      184
 5348 linux-headers-6.1.0-28-common      	     191        5      185        1        0
 5349 node-agent-base                    	     191        0        0        0      191
 5350 node-builtins                      	     191        1        5        0      185
 5351 node-promise-inflight              	     191        1       28        0      162
 5352 openmpi-bin                        	     191        6      181        4        0
 5353 python-crypto                      	     191       10      180        1        0
 5354 python3-acme                       	     191       17      173        1        0
 5355 python3-certbot                    	     191       29      161        1        0
 5356 python3-dotenv                     	     191        6      177        8        0
 5357 python3-icu                        	     191       42      147        2        0
 5358 python3-josepy                     	     191       10      180        1        0
 5359 python3-rfc3339                    	     191        9      181        1        0
 5360 python3.12-minimal                 	     191       16      170        5        0
 5361 geeqie                             	     190       16      170        4        0
 5362 gnome-session-common               	     190        5      159       19        7
 5363 libdav1d-dev                       	     190        1      188        1        0
 5364 libgksu2-0                         	     190        7      183        0        0
 5365 libisc160                          	     190        0        0        0      190
 5366 libxcb-ewmh2                       	     190        6       33        0      151
 5367 node-cacache                       	     190        7      180        3        0
 5368 node-camelcase                     	     190        1        5        0      184
 5369 node-copy-concurrently             	     190        1        5        0      184
 5370 node-fs-write-stream-atomic        	     190        0        0        0      190
 5371 node-https-proxy-agent             	     190        0        0        0      190
 5372 node-iferr                         	     190        1       28        0      161
 5373 node-is-plain-obj                  	     190        0        0        0      190
 5374 node-minimist                      	     190        1        5        0      184
 5375 node-move-concurrently             	     190        1       28        0      161
 5376 node-npm-package-arg               	     190        0        0        0      190
 5377 node-promzard                      	     190        1       28        0      161
 5378 node-run-queue                     	     190        1        5        0      184
 5379 node-sprintf-js                    	     190        0        2        0      188
 5380 node-unique-filename               	     190        1        5        0      184
 5381 node-validate-npm-package-name     	     190        0        0        0      190
 5382 nvidia-legacy-check                	     190        0        0        0      190
 5383 nvidia-vulkan-common               	     190        0        0        0      190
 5384 python3-notify2                    	     190       14      175        1        0
 5385 python3-sipbuild                   	     190        0      166       24        0
 5386 sddm-theme-debian-maui             	     190        0        0        0      190
 5387 tcl-expect                         	     190        1        1        0      188
 5388 certbot                            	     189       67      122        0        0
 5389 default-jdk                        	     189        0        0        0      189
 5390 fonts-adf-gillius                  	     189        2        2        0      185
 5391 fonts-adf-universalis              	     189        2        2        0      185
 5392 intltool                           	     189        5      178        6        0
 5393 lib32atomic1                       	     189        0        0        0      189
 5394 lib32itm1                          	     189        0        0        0      189
 5395 lib32quadmath0                     	     189        0        0        0      189
 5396 libjs-sprintf-js                   	     189        0        0        0      189
 5397 liblxqt-globalkeys-ui1             	     189       23       75        6       85
 5398 libnvidia-glcore                   	     189       43       87        4       55
 5399 libnvidia-ptxjitcompiler1          	     189        0        0        0      189
 5400 libsoup-2.4-1                      	     189       11       10        3      165
 5401 libx32stdc++6                      	     189        0        0        0      189
 5402 libxdelta2                         	     189        0        0        0      189
 5403 lxsession-edit                     	     189        1      169       19        0
 5404 node-y18n                          	     189        3      180        3        3
 5405 npm                                	     189        9      178        2        0
 5406 python3-deprecation                	     189        7      175        7        0
 5407 python3.12                         	     189        5      179        5        0
 5408 qemu-system-sparc                  	     189        6      172       11        0
 5409 timidity                           	     189       12      172        5        0
 5410 g++-14-x86-64-linux-gnu            	     188       15      137       36        0
 5411 gir1.2-gdm-1.0                     	     188        5       48        8      127
 5412 libboost-filesystem1.67.0          	     188        1        1        0      186
 5413 libcupsfilters1t64                 	     188       44      108       14       22
 5414 libgsl25                           	     188        0        0        0      188
 5415 libigdgmm5                         	     188        0        0        0      188
 5416 libisccc140                        	     188        0        0        0      188
 5417 liblwres141                        	     188        0        0        0      188
 5418 libmath-random-isaac-xs-perl       	     188        1        2        0      185
 5419 libmsv-java                        	     188        0        0        0      188
 5420 libnvidia-eglcore                  	     188       48       97        4       39
 5421 libregexp-pattern-license-perl     	     188        2      182        4        0
 5422 libshp2                            	     188        0        0        0      188
 5423 libuser1                           	     188        0        0        0      188
 5424 node-cliui                         	     188        1        5        0      182
 5425 node-decamelize                    	     188        1        5        0      182
 5426 node-find-up                       	     188        1        5        0      182
 5427 node-get-caller-file               	     188        0        0        0      188
 5428 node-is-buffer                     	     188        0        0        0      188
 5429 node-kind-of                       	     188        0        0        0      188
 5430 node-locate-path                   	     188        1        5        0      182
 5431 node-npm-run-path                  	     188        0        0        0      188
 5432 node-p-limit                       	     188        1        5        0      182
 5433 node-p-locate                      	     188        1        5        0      182
 5434 node-path-exists                   	     188        1        5        0      182
 5435 node-require-directory             	     188        1        7        0      180
 5436 node-strip-json-comments           	     188        0        0        0      188
 5437 node-wrap-ansi                     	     188        1        5        0      182
 5438 node-yargs                         	     188        3      180        3        2
 5439 node-yargs-parser                  	     188        1        5        0      182
 5440 qemu-system-mips                   	     188        6      171       11        0
 5441 sharutils                          	     188        6      177        5        0
 5442 task-british-desktop               	     188        0        0        0      188
 5443 task-lxqt-desktop                  	     188        0        0        0      188
 5444 extlinux                           	     187        7      171        9        0
 5445 lib32gomp1                         	     187        0        0        0      187
 5446 libavformat-dev                    	     187        1      177        9        0
 5447 libevent-dev                       	     187        4      183        0        0
 5448 libirs161                          	     187        0        0        0      187
 5449 libopencv-highgui406               	     187        0        0        0      187
 5450 libqpdf29t64                       	     187        0        0        0      187
 5451 libxcb-shape0-dev                  	     187        3      183        1        0
 5452 node-is-stream                     	     187        1        5        0      181
 5453 node-opener                        	     187        5      179        3        0
 5454 node-path-is-inside                	     187        0        0        0      187
 5455 node-slice-ansi                    	     187        0        0        0      187
 5456 node-tslib                         	     187        0        0        0      187
 5457 php-curl                           	     187        0        0        0      187
 5458 db-util                            	     186       12      171        3        0
 5459 fonts-adf-accanthis                	     186        6        5        0      175
 5460 fonts-sil-gentiumplus-compact      	     186        1        1        0      184
 5461 gnuplot-qt                         	     186       14      171        1        0
 5462 libbind9-140                       	     186        0        0        0      186
 5463 libdns162                          	     186        0        0        0      186
 5464 libfontembed1t64                   	     186        0        0        0      186
 5465 libisccfg140                       	     186        0        0        0      186
 5466 libnvidia-egl-wayland1             	     186       34       85        4       63
 5467 libopencv-contrib406               	     186        0        0        0      186
 5468 libreoffice-avmedia-backend-gstreamer	     186        1      160        3       22
 5469 librpmbuild9                       	     186        0        0        0      186
 5470 librpmsign9                        	     186        0        0        0      186
 5471 linux-headers-6.1.0-28-amd64       	     186        4      181        1        0
 5472 mariadb-plugin-provider-lzma       	     186       66      118        2        0
 5473 node-shebang-command               	     186        1        6        0      179
 5474 node-shebang-regex                 	     186        1        4        0      181
 5475 openjade                           	     186        3      181        2        0
 5476 python3-asn1crypto                 	     186        3      183        0        0
 5477 dconf-editor                       	     185        4      176        5        0
 5478 fonts-junicode                     	     185        2        4        0      179
 5479 joe                                	     185       23      161        1        0
 5480 libboost-chrono1.67.0              	     185        1        1        0      183
 5481 libepsilon1                        	     185        0        2        0      183
 5482 libgfortran3                       	     185        0        0        0      185
 5483 libgnutls-deb0-28                  	     185        2        2        0      181
 5484 libjsoncpp1                        	     185        1        1        0      183
 5485 mariadb-plugin-provider-bzip2      	     185       65      118        2        0
 5486 mariadb-plugin-provider-lz4        	     185       65      118        2        0
 5487 mariadb-plugin-provider-lzo        	     185       65      118        2        0
 5488 node-depd                          	     185        2      180        3        0
 5489 node-ip-regex                      	     185        0        0        0      185
 5490 node-normalize-path                	     185        0        0        0      185
 5491 nvidia-kernel-support              	     185        0       24        1      160
 5492 ofono                              	     185       36      141        8        0
 5493 postgresql                         	     185        0        0        0      185
 5494 python3-pyqt6.qtquick              	     185       15      158       12        0
 5495 zoom                               	     185       19      160        5        1
 5496 android-libsparse                  	     184        0        0        0      184
 5497 firefox-esr-l10n-es-es             	     184       26      136       22        0
 5498 gksu                               	     184        7      177        0        0
 5499 libappstream5                      	     184       20       38        5      121
 5500 libglx-nvidia0                     	     184       39       59        2       84
 5501 liblirc-client0t64                 	     184        0        6        0      178
 5502 libquicktime2                      	     184        0        0        0      184
 5503 libreoffice-help-en-gb             	     184        0        0        0      184
 5504 libtool-bin                        	     184        0      181        3        0
 5505 libx265-dev                        	     184        1      183        0        0
 5506 mariadb-plugin-provider-snappy     	     184       65      118        1        0
 5507 node-anymatch                      	     184        0        0        0      184
 5508 node-argparse                      	     184        0        0        0      184
 5509 node-braces                        	     184        0        0        0      184
 5510 node-columnify                     	     184        0        0        0      184
 5511 node-err-code                      	     184        0        0        0      184
 5512 node-esprima                       	     184        6      175        3        0
 5513 node-fill-range                    	     184        0        0        0      184
 5514 node-ip                            	     184        0        0        0      184
 5515 node-is-number                     	     184        0        1        0      183
 5516 node-js-yaml                       	     184        8      173        3        0
 5517 node-lodash-packages               	     184        0        0        0      184
 5518 node-npm-bundled                   	     184        0        0        0      184
 5519 node-promise-retry                 	     184        0        0        0      184
 5520 node-repeat-string                 	     184        0        1        0      183
 5521 node-to-regex-range                	     184        0        0        0      184
 5522 openbox-lxde-session               	     184       12      157       15        0
 5523 python3-pyqt5.qtquick              	     184        7      175        2        0
 5524 x11proto-record-dev                	     184        0        5        0      179
 5525 fonts-adf-berenis                  	     183        6        5        0      172
 5526 freerdp2-x11                       	     183       13      168        2        0
 5527 gnome-human-icon-theme             	     183        0        0        0      183
 5528 libblas-dev                        	     183        5      175        3        0
 5529 libcuda1                           	     183        4        6        0      173
 5530 libfftw3-bin                       	     183        4      177        2        0
 5531 libnettle4                         	     183        2        2        0      179
 5532 libnvidia-glvkspirv                	     183       37       74        4       68
 5533 libpng12-0                         	     183        2        2        0      179
 5534 libposix-strptime-perl             	     183        0        0        0      183
 5535 libpq-dev                          	     183        3      161       19        0
 5536 libssl-doc                         	     183        0        9        0      174
 5537 miscfiles                          	     183        4      175        4        0
 5538 node-arrify                        	     183        0        0        0      183
 5539 node-is-extglob                    	     183        0        0        0      183
 5540 node-is-glob                       	     183        0        0        0      183
 5541 node-lodash                        	     183        2      177        3        1
 5542 nvidia-vdpau-driver                	     183        3        2        0      178
 5543 gnome-mime-data                    	     182        0        0        0      182
 5544 k3b-i18n                           	     182        0        0        0      182
 5545 libaom-dev                         	     182        2      179        1        0
 5546 libcdio18                          	     182        0        1        0      181
 5547 libfftw3-quad3                     	     182        0        0        0      182
 5548 liblouisutdml9t64                  	     182        0        0        0      182
 5549 libmysofa0                         	     182        0        0        0      182
 5550 libtiff-tools                      	     182        4      175        3        0
 5551 libxml-xpath-perl                  	     182        9      171        2        0
 5552 node-async                         	     182        0        1        0      181
 5553 node-electron-to-chromium          	     182        0        0        0      182
 5554 node-fast-levenshtein              	     182        0        0        0      182
 5555 node-glob-parent                   	     182        0        0        0      182
 5556 node-is-plain-object               	     182        0        0        0      182
 5557 node-isobject                      	     182        0        0        0      182
 5558 node-js-tokens                     	     182        0        0        0      182
 5559 node-json5                         	     182        6      173        3        0
 5560 node-micromatch                    	     182        0        0        0      182
 5561 node-minipass                      	     182        0        0        0      182
 5562 node-path-dirname                  	     182        0        0        0      182
 5563 node-strip-bom                     	     182        0        0        0      182
 5564 texlive-fonts-recommended-doc      	     182        0        0        0      182
 5565 x11vnc                             	     182       18      157        7        0
 5566 blender-data                       	     181        0      179        2        0
 5567 bluez-tools                        	     181        3      176        2        0
 5568 libewf2                            	     181        0        0        0      181
 5569 libgmic1                           	     181        0        0        0      181
 5570 libgoogle-gson-java                	     181        0        0        0      181
 5571 libhogweed2                        	     181        2        2        0      177
 5572 libjzlib-java                      	     181        0        0        0      181
 5573 libpython3.9-dev                   	     181        6      170        4        1
 5574 libqhull8.0                        	     181        0        2        0      179
 5575 libquvi-0.9-0.9.3                  	     181        0        0        0      181
 5576 libre-engine-re2-perl              	     181        0        0        0      181
 5577 libx32ubsan1                       	     181        0        0        0      181
 5578 lxhotkey-core                      	     181        2      161       18        0
 5579 node-async-each                    	     181        0        0        0      181
 5580 node-babel7-runtime                	     181        0        0        0      181
 5581 node-binary-extensions             	     181        0        0        0      181
 5582 node-browserslist                  	     181        6      168        7        0
 5583 node-caniuse-lite                  	     181        0        0        0      181
 5584 node-chokidar                      	     181        0        0        0      181
 5585 node-ci-info                       	     181        0        0        0      181
 5586 node-deep-equal                    	     181        0        0        0      181
 5587 node-is-binary-path                	     181        0        0        0      181
 5588 node-is-extendable                 	     181        0        1        0      180
 5589 node-picocolors                    	     181        0        0        0      181
 5590 node-readdirp                      	     181        0        0        0      181
 5591 node-regenerator-runtime           	     181        0        0        0      181
 5592 node-set-immediate-shim            	     181        0        0        0      181
 5593 php8.2-mbstring                    	     181       61      115        5        0
 5594 qemu-efi-aarch64                   	     181        0        0        0      181
 5595 usermode                           	     181        3      161       17        0
 5596 fonts-terminus-otb                 	     180        9       22        0      149
 5597 libarray-intspan-perl              	     180        2      174        4        0
 5598 libavutil58                        	     180       18       40        0      122
 5599 libjs-regenerate                   	     180        0        0        0      180
 5600 libjs-util                         	     180        0        0        0      180
 5601 libnuma-dev                        	     180        4      175        1        0
 5602 libregexp-pattern-perl             	     180        2      174        4        0
 5603 libxcb-xfixes0-dev                 	     180        2      177        1        0
 5604 lxde-core                          	     180        0        0        0      180
 5605 lxhotkey-gtk                       	     180        0        0        0      180
 5606 node-ansi-escapes                  	     180        0        0        0      180
 5607 node-convert-source-map            	     180        0        0        0      180
 5608 node-core-js                       	     180        0        0        0      180
 5609 node-debbundle-es-to-primitive     	     180        0        0        0      180
 5610 node-deep-is                       	     180        0        0        0      180
 5611 node-define-properties             	     180        0        0        0      180
 5612 node-es-abstract                   	     180        0        0        0      180
 5613 node-estraverse                    	     180        0        0        0      180
 5614 node-esutils                       	     180        0        0        0      180
 5615 node-for-in                        	     180        0        0        0      180
 5616 node-for-own                       	     180        0        0        0      180
 5617 node-globals                       	     180        0        0        0      180
 5618 node-ignore                        	     180        0        0        0      180
 5619 node-jsesc                         	     180        6      171        3        0
 5620 node-levn                          	     180        0        0        0      180
 5621 node-make-dir                      	     180        0        0        0      180
 5622 node-object-inspect                	     180        0        0        0      180
 5623 node-optionator                    	     180        0        0        0      180
 5624 node-pkg-dir                       	     180        0        0        0      180
 5625 node-postcss                       	     180        5      163       12        0
 5626 node-prelude-ls                    	     180        0        0        0      180
 5627 node-regenerate                    	     180        0        0        0      180
 5628 node-regenerate-unicode-properties 	     180        0        0        0      180
 5629 node-type-check                    	     180        0        0        0      180
 5630 node-util                          	     180        0        0        0      180
 5631 node-v8flags                       	     180        0        0        0      180
 5632 python3-dbus.mainloop.pyqt5        	     180       11      167        2        0
 5633 x11proto-randr-dev                 	     180        0       18        0      162
 5634 xfce4-notes                        	     180        6      167        7        0
 5635 xserver-xorg-video-nvidia          	     180       45      126        9        0
 5636 doc-base                           	     179       20      158        1        0
 5637 fastboot                           	     179        5      173        1        0
 5638 handlebars                         	     179        6      170        3        0
 5639 lib32ubsan1                        	     179        0        0        0      179
 5640 libgcrypt20-dev                    	     179        6      170        3        0
 5641 libheif-dev                        	     179        1      177        1        0
 5642 libjsch-java                       	     179        0        0        0      179
 5643 liblapack-dev                      	     179        0        4        0      175
 5644 node-ampproject-remapping          	     179        0        0        0      179
 5645 node-assert                        	     179        0        0        0      179
 5646 node-babel-helper-define-polyfill-provider	     179        2      174        3        0
 5647 node-babel-plugin-add-module-exports	     179        0        0        0      179
 5648 node-babel-plugin-polyfill-corejs2 	     179        0        0        0      179
 5649 node-babel-plugin-polyfill-corejs3 	     179        0        0        0      179
 5650 node-babel-plugin-polyfill-regenerator	     179        0        0        0      179
 5651 node-babel7                        	     179        6      170        3        0
 5652 node-clone-deep                    	     179        0        0        0      179
 5653 node-commondir                     	     179        0        0        0      179
 5654 node-core-js-compat                	     179        0        0        0      179
 5655 node-core-js-pure                  	     179        0        0        0      179
 5656 node-defined                       	     179        0        0        0      179
 5657 node-del                           	     179        0        0        0      179
 5658 node-error-ex                      	     179        0        0        0      179
 5659 node-es6-error                     	     179        0        0        0      179
 5660 node-escodegen                     	     179        6      170        3        0
 5661 node-find-cache-dir                	     179        0        0        0      179
 5662 node-foreground-child              	     179        0        0        0      179
 5663 node-fs-readdir-recursive          	     179        0        0        0      179
 5664 node-globby                        	     179        0        0        0      179
 5665 node-is-arrayish                   	     179        0        0        0      179
 5666 node-is-path-cwd                   	     179        0        0        0      179
 5667 node-is-path-inside                	     179        0        0        0      179
 5668 node-is-windows                    	     179        0        0        0      179
 5669 node-istanbul                      	     179        6      170        3        0
 5670 node-n3                            	     179        0        0        0      179
 5671 node-neo-async                     	     179        0        0        0      179
 5672 node-optimist                      	     179        0        0        0      179
 5673 node-parse-json                    	     179        0        0        0      179
 5674 node-path-type                     	     179        0        0        0      179
 5675 node-pify                          	     179        0        0        0      179
 5676 node-quick-lru                     	     179        0        0        0      179
 5677 node-randombytes                   	     179        0        0        0      179
 5678 node-read-pkg                      	     179        0        0        0      179
 5679 node-regenerator-transform         	     179        0        0        0      179
 5680 node-regexpu-core                  	     179        0        0        0      179
 5681 node-regjsgen                      	     179        0        0        0      179
 5682 node-regjsparser                   	     179        6      170        3        0
 5683 node-resumer                       	     179        0        0        0      179
 5684 node-serialize-javascript          	     179        0        0        0      179
 5685 node-source-map-support            	     179        0        0        0      179
 5686 node-tape                          	     179        6      170        3        0
 5687 node-to-fast-properties            	     179        0        0        0      179
 5688 node-unicode-canonical-property-names-ecmascript	     179        0        0        0      179
 5689 node-unicode-match-property-ecmascript	     179        0        0        0      179
 5690 node-unicode-match-property-value-ecmascript	     179        0        0        0      179
 5691 node-unicode-property-aliases-ecmascript	     179        0        0        0      179
 5692 node-wordwrap                      	     179        0        0        0      179
 5693 oss-compat                         	     179        3      175        1        0
 5694 pinentry-qt                        	     179        5      173        1        0
 5695 pipewire-alsa                      	     179        9       20        0      150
 5696 x11proto-xinerama-dev              	     179        0       18        0      161
 5697 xscreensaver-data-extra            	     179        3       33        0      143
 5698 fonts-go                           	     178        1        7        0      170
 5699 gnome-shell                        	     178       15      149       14        0
 5700 libboost-date-time1.67.0           	     178        0        1        0      177
 5701 libdbd-mariadb-perl                	     178        0        0        0      178
 5702 libdecor-0-dev                     	     178        2      173        3        0
 5703 libfftw3-dev                       	     178        4      172        2        0
 5704 libgroupsock8                      	     178        0        0        0      178
 5705 libgtop-2.0-10                     	     178        2        3        0      173
 5706 libmicrohttpd12                    	     178        7       11        0      160
 5707 libtest-fatal-perl                 	     178        6      171        1        0
 5708 node-cli-table                     	     178        0        0        0      178
 5709 node-diff                          	     178        2      173        3        0
 5710 qemu-system                        	     178        0        0        0      178
 5711 qtcore4-l10n                       	     178        0        0        0      178
 5712 texlive-latex-recommended-doc      	     178        0        0        0      178
 5713 tini                               	     178       26      148        4        0
 5714 btop                               	     177       17      138       22        0
 5715 expect                             	     177       15      159        3        0
 5716 libcoarrays-dev                    	     177        2      156        0       19
 5717 libduktape205                      	     177        1        0        0      176
 5718 libegl-nvidia0                     	     177       33       83        4       57
 5719 libfsverity0                       	     177        0        0        0      177
 5720 libgit2-1.1                        	     177        1        7        0      169
 5721 liblcms2-dev                       	     177        1      174        2        0
 5722 libnvidia-cfg1                     	     177       22       40        2      113
 5723 librsvg2-bin                       	     177        7      166        4        0
 5724 node-concat-stream                 	     177        1        5        0      171
 5725 node-errno                         	     177        1        5        0      171
 5726 node-prr                           	     177        1        7        0      169
 5727 node-typedarray                    	     177        1        7        0      169
 5728 gimp-help-common                   	     176        0        0        0      176
 5729 gir1.2-poppler-0.18                	     176        0        0        0      176
 5730 libbfio1                           	     176        0        0        0      176
 5731 libcairomm-1.16-1                  	     176        1        6        0      169
 5732 libgle3                            	     176        0        0        0      176
 5733 libgtk2-perl                       	     176        0        0        0      176
 5734 libindirect-perl                   	     176        0        0        0      176
 5735 liblsof0                           	     176        0        0        0      176
 5736 libmd-dev                          	     176        6      167        3        0
 5737 live-boot-doc                      	     176        0        0        0      176
 5738 python3-pikepdf                    	     176        8      157       11        0
 5739 qt5-qmltooling-plugins             	     176        0        0        0      176
 5740 transmission                       	     176        0        0        0      176
 5741 firefox-esr-l10n-es-ar             	     175       23      128       24        0
 5742 firefox-esr-l10n-es-mx             	     175       22      129       24        0
 5743 libcpuinfo0                        	     175        4        0        0      171
 5744 libglibmm-2.68-1t64                	     175        2       15        0      158
 5745 libjackson2-core-java              	     175        0        0        0      175
 5746 libjna-java                        	     175        0        0        0      175
 5747 libjna-jni                         	     175        1        2        0      172
 5748 libmbedcrypto16                    	     175        8       18        0      149
 5749 libxpp2-java                       	     175        0        0        0      175
 5750 mpg123                             	     175       11      163        1        0
 5751 network-manager-openvpn            	     175       31      142        2        0
 5752 python-setuptools                  	     175        8      166        1        0
 5753 python3-watchdog                   	     175        7      167        1        0
 5754 python3.9-dev                      	     175        5      164        5        1
 5755 sleuthkit                          	     175        7      161        7        0
 5756 uno-libs3                          	     175       11      161        3        0
 5757 cm-super-minimal                   	     174        1        0        0      173
 5758 firmware-bnx2                      	     174        4      168        2        0
 5759 jmtpfs                             	     174       10      164        0        0
 5760 libgfortran-10-dev                 	     174        0        0        0      174
 5761 libperl5.24                        	     174       62      111        1        0
 5762 libpolkit-backend-elogind-1-0      	     174        1        2        0      171
 5763 libportal-gtk4-1                   	     174        3        9        2      160
 5764 libtre5                            	     174        2        0        0      172
 5765 libtree-sitter0                    	     174       11       11        1      151
 5766 libx32gcc-s1                       	     174        0        0        0      174
 5767 nvidia-egl-icd                     	     174        0        0        0      174
 5768 nvidia-kernel-dkms                 	     174       11      154        9        0
 5769 openbsd-inetd                      	     174       37      135        2        0
 5770 recordmydesktop                    	     174        2      170        2        0
 5771 syncthing                          	     174       35      138        1        0
 5772 bind9                              	     173       59      113        1        0
 5773 claws-mail                         	     173       25      145        3        0
 5774 dunst                              	     173       30      140        3        0
 5775 libgl1-nvidia-glvnd-glx            	     173        0        0        0      173
 5776 libgtkmm-4.0-0                     	     173        1        6        0      166
 5777 libjxl0.9                          	     173        3       80        0       90
 5778 libnet-cidr-perl                   	     173        9      163        1        0
 5779 libraw19                           	     173        0        1        0      172
 5780 libvpx-dev                         	     173        1      167        5        0
 5781 libxdot4                           	     173        0        0        0      173
 5782 nvidia-driver-libs                 	     173        0        0        0      173
 5783 nvidia-vulkan-icd                  	     173        0        0        0      173
 5784 php-pear                           	     173       14      158        1        0
 5785 qemu-efi-arm                       	     173        0        0        0      173
 5786 xvfb                               	     173        7      138       28        0
 5787 aspell-ru                          	     172        3      155       14        0
 5788 dh-elpa-helper                     	     172       21      142        9        0
 5789 fonts-comic-neue                   	     172        4       13        0      155
 5790 gfortran-10                        	     172        5      166        1        0
 5791 imagemagick-7-common               	     172        0        0        0      172
 5792 libanyevent-perl                   	     172        0        0        0      172
 5793 libcanberra-gtk0                   	     172        4        2        1      165
 5794 libfile-slurper-perl               	     172        7      162        3        0
 5795 libfuture-perl                     	     172        3      165        4        0
 5796 libjs-events                       	     172        0        0        0      172
 5797 libmodule-scandeps-perl            	     172        4      168        0        0
 5798 liborbit-2-0                       	     172        0        0        0      172
 5799 libqt6core5compat6                 	     172       12       21        1      138
 5800 node-end-of-stream                 	     172        0        0        0      172
 5801 node-events                        	     172        0        0        0      172
 5802 nvidia-settings                    	     172       29      128       15        0
 5803 nvidia-smi                         	     172       23      136       13        0
 5804 python-apt                         	     172       12      159        1        0
 5805 dia-common                         	     171        0        0        0      171
 5806 firefox-esr-l10n-es-cl             	     171       22      125       24        0
 5807 fonts-sil-charis                   	     171        3        4        0      164
 5808 gnome-wine-icon-theme              	     171        0        0        0      171
 5809 libebml4v5                         	     171        0        0        0      171
 5810 libjim0.83                         	     171        0        0        0      171
 5811 liblouis17                         	     171        0        1        0      170
 5812 lxhotkey-data                      	     171        0        0        0      171
 5813 lxhotkey-plugin-openbox            	     171        0        0        0      171
 5814 nasm                               	     171        7      161        3        0
 5815 node-get-stream                    	     171        1        5        0      165
 5816 node-mimic-response                	     171        1        5        0      165
 5817 node-p-cancelable                  	     171        1        5        0      165
 5818 node-pump                          	     171        1        5        0      165
 5819 php-cli                            	     171       46       99        3       23
 5820 python-lxml                        	     171        4      166        1        0
 5821 runc                               	     171       25      137        9        0
 5822 uidmap                             	     171        8      153       10        0
 5823 arandr                             	     170       15      148        7        0
 5824 gnome-wise-icon-theme              	     170        0        0        0      170
 5825 hunspell-ru                        	     170        0        0        0      170
 5826 libboost-system1.62.0              	     170        2        2        0      166
 5827 libemail-valid-perl                	     170        7      161        2        0
 5828 libkf5screen7                      	     170       16       34        0      120
 5829 libmatroska6v5                     	     170        0        0        0      170
 5830 node-cli-cursor                    	     170        0        0        0      170
 5831 node-decompress-response           	     170        1        5        0      164
 5832 node-got                           	     170        1        5        0      164
 5833 node-growl                         	     170        0        0        0      170
 5834 node-lowercase-keys                	     170        1        5        0      164
 5835 node-merge-stream                  	     170        0        0        0      170
 5836 node-restore-cursor                	     170        0        0        0      170
 5837 rxvt-unicode                       	     170       18      150        2        0
 5838 dia                                	     169        8      157        4        0
 5839 eslint                             	     169        5      161        3        0
 5840 gnome-brave-icon-theme             	     169        0        0        0      169
 5841 gnome-dust-icon-theme              	     169        0        0        0      169
 5842 gnome-illustrious-icon-theme       	     169        0        0        0      169
 5843 gnome-noble-icon-theme             	     169        0        0        0      169
 5844 libasync-interrupt-perl            	     169        0        0        0      169
 5845 libgcc-8-dev                       	     169        0        0        0      169
 5846 libgoffice-0.10-10                 	     169       14      151        4        0
 5847 libzen0v5                          	     169        2       10        0      157
 5848 node-babel-plugin-lodash           	     169        0        0        0      169
 5849 node-doctrine                      	     169        0        0        0      169
 5850 node-eslint-scope                  	     169        0        0        0      169
 5851 node-eslint-utils                  	     169        0        0        0      169
 5852 node-eslint-visitor-keys           	     169        0        0        0      169
 5853 node-espree                        	     169        0        0        0      169
 5854 node-esquery                       	     169        0        0        0      169
 5855 node-esrecurse                     	     169        0        0        0      169
 5856 node-fancy-log                     	     169        0        0        0      169
 5857 node-file-entry-cache              	     169        0        0        0      169
 5858 node-flat-cache                    	     169        0        0        0      169
 5859 node-flatted                       	     169        0        0        0      169
 5860 node-functional-red-black-tree     	     169        0        0        0      169
 5861 node-interpret                     	     169        0        0        0      169
 5862 node-jest-debbundle                	     169        0        0        0      169
 5863 node-memfs                         	     169        2      164        3        0
 5864 node-progress                      	     169        0        0        0      169
 5865 node-rechoir                       	     169        0        0        0      169
 5866 node-regexpp                       	     169        0        0        0      169
 5867 node-resolve-cwd                   	     169        0        0        0      169
 5868 node-time-stamp                    	     169        0        0        0      169
 5869 node-v8-compile-cache              	     169        0        0        0      169
 5870 node-webassemblyjs                 	     169        2      164        3        0
 5871 node-write                         	     169        0        0        0      169
 5872 pipewire-audio                     	     169        0        0        0      169
 5873 golang-go                          	     168        3      152       13        0
 5874 golang-src                         	     168        0        0        0      168
 5875 libcwidget3v5                      	     168        0        0        0      168
 5876 libdvd-pkg                         	     168       45      122        1        0
 5877 libguard-perl                      	     168        0        1        0      167
 5878 libhdf5-openmpi-103-1              	     168        0        1        0      167
 5879 libnvcuvid1                        	     168        2        2        0      164
 5880 libqt5scripttools5                 	     168        0        0        0      168
 5881 libqt5xml5t64                      	     168       10       32        0      126
 5882 libsort-key-perl                   	     168        0        0        0      168
 5883 linux-image-6.1.0-18-amd64         	     168        1      166        0        1
 5884 live-boot-initramfs-tools          	     168        0        0        0      168
 5885 live-tools                         	     168        9      152        7        0
 5886 node-ajv-keywords                  	     168        0        0        0      168
 5887 node-chrome-trace-event            	     168        0        0        0      168
 5888 node-enhanced-resolve              	     168        2      163        3        0
 5889 node-es-module-lexer               	     168        0        0        0      168
 5890 node-jest-worker                   	     168        0        0        0      168
 5891 node-loader-runner                 	     168        0        0        0      168
 5892 node-memory-fs                     	     168        0        0        0      168
 5893 node-schema-utils                  	     168        0        0        0      168
 5894 node-source-list-map               	     168        0        0        0      168
 5895 node-tapable                       	     168        0        0        0      168
 5896 node-terser                        	     168        2      163        3        0
 5897 node-watchpack                     	     168        0        0        0      168
 5898 node-webpack-sources               	     168        2      163        3        0
 5899 python3-pyqt5.qtmultimedia         	     168        4      163        1        0
 5900 signal-desktop                     	     168        6       32        0      130
 5901 sphinx-common                      	     168        3      160        5        0
 5902 terser                             	     168        4      161        3        0
 5903 texlive-pictures-doc               	     168        0        0        0      168
 5904 texlive-pstricks-doc               	     168        0        0        0      168
 5905 webpack                            	     168        4      160        4        0
 5906 x11proto-render-dev                	     168        1       25        0      142
 5907 blender                            	     167        7      158        2        0
 5908 firefox-esr-l10n-en-gb             	     167       23      132       12        0
 5909 gcc-8                              	     167        6      160        1        0
 5910 libbluray1                         	     167        0        0        0      167
 5911 libgoocanvas-2.0-9                 	     167        0        1        0      166
 5912 libgoocanvas-2.0-common            	     167        0        1        0      166
 5913 libqt5location5                    	     167        1        1        0      165
 5914 libvkd3d1                          	     167        0        0        0      167
 5915 live-boot                          	     167        8      145       14        0
 5916 nvidia-driver                      	     167        0        5        1      161
 5917 rkhunter                           	     167       23      142        2        0
 5918 steam-libs                         	     167        0        0        0      167
 5919 blackbird-gtk-theme                	     166        0        0        0      166
 5920 freeglut3                          	     166        0        0        0      166
 5921 groff                              	     166        6      159        1        0
 5922 krb5-multidev                      	     166        5      152        9        0
 5923 libafflib0v5                       	     166        0        0        0      166
 5924 libde265-dev                       	     166        1      164        1        0
 5925 libetpan20                         	     166       12       21        0      133
 5926 libevent-core-2.1-7t64             	     166       31       64        2       69
 5927 liblog4cplus-2.0.5                 	     166        0        4        0      162
 5928 libnvidia-encode1                  	     166        1        2        0      163
 5929 mlock                              	     166       13      150        3        0
 5930 node-fetch                         	     166        0        0        0      166
 5931 nvidia-driver-bin                  	     166        3      148       15        0
 5932 quilt                              	     166        7      157        2        0
 5933 doxygen                            	     165        7      156        2        0
 5934 irussian                           	     165        2      149       14        0
 5935 libbonobo2-common                  	     165        1        0        0      164
 5936 libcrypt-openssl-bignum-perl       	     165       32       39        0       94
 5937 libjs-async                        	     165        0        0        0      165
 5938 libmcrypt4                         	     165       11       10        0      144
 5939 libosmgpsmap-1.0-1                 	     165        1        0        0      164
 5940 libwayland-egl1-mesa               	     165        0        0        0      165
 5941 linux-image-686                    	     165        0        0        0      165
 5942 node-data-uri-to-buffer            	     165        0        0        0      165
 5943 node-json-buffer                   	     165        0        0        0      165
 5944 occt-misc                          	     165        0        0        0      165
 5945 openjdk-8-jre                      	     165        0        0        0      165
 5946 python3-filelock                   	     165        3      147       15        0
 5947 python3-pbr                        	     165        3      158        4        0
 5948 winbind                            	     165       52      111        2        0
 5949 bluez-firmware                     	     164        2      158        4        0
 5950 containerd                         	     164       42      118        4        0
 5951 docker.io                          	     164       42      119        3        0
 5952 firmware-nvidia-gsp                	     164        3      146       15        0
 5953 gcc-13-base                        	     164        0        0        0      164
 5954 gnome-shell-extension-prefs        	     164        2      141       21        0
 5955 jackd                              	     164        0        0        0      164
 5956 libbonobo2-0                       	     164        0        0        0      164
 5957 libcanberra-gtk-module             	     164        3        1        0      160
 5958 libcrypt-openssl-rsa-perl          	     164       32       39        0       93
 5959 libgeocode-glib0                   	     164        2       28        1      133
 5960 libgtkglext1                       	     164        1        4        0      159
 5961 libqt6qmlworkerscript6             	     164       12       18        2      132
 5962 libts0t64                          	     164        0        0        0      164
 5963 libvisual-0.4-plugins              	     164        0        0        0      164
 5964 moreutils                          	     164       17      146        1        0
 5965 pfb2t1c2pfb                        	     164        4      156        4        0
 5966 python-pil                         	     164        7      155        2        0
 5967 qemu-guest-agent                   	     164       45      116        3        0
 5968 simplescreenrecorder               	     164        6      153        5        0
 5969 texlive-formats-extra              	     164       16      145        3        0
 5970 tigervnc-viewer                    	     164       20      143        1        0
 5971 claws-mail-i18n                    	     163        7       12        0      144
 5972 gcc-multilib                       	     163        0        0        0      163
 5973 gdm3                               	     163       25      128        9        1
 5974 gobject-introspection              	     163        5      151        7        0
 5975 jackd2                             	     163        5      155        3        0
 5976 libevent-extra-2.1-7               	     163        4        3        0      156
 5977 libmailutils5                      	     163        0        0        0      163
 5978 libopenthreads21                   	     163        0        0        0      163
 5979 libreoffice-style-tango            	     163        4      155        3        1
 5980 libswscale-dev                     	     163        0      155        8        0
 5981 libxcb-randr0-dev                  	     163        3      160        0        0
 5982 libxmu-headers                     	     163        5      157        1        0
 5983 live-config-sysvinit               	     163        1      153        8        1
 5984 node-negotiator                    	     163        0        0        0      163
 5985 chrome-gnome-shell                 	     162        2       29        1      130
 5986 dia-shapes                         	     162        0        0        0      162
 5987 libcloog-isl4                      	     162        0        0        0      162
 5988 libcollada-dom2.5-dp0              	     162        0        0        0      162
 5989 libdvdcss-dev                      	     162        0      161        1        0
 5990 libevent-openssl-2.1-7             	     162        4        3        0      155
 5991 libexif-dev                        	     162        0      160        2        0
 5992 libftdi1                           	     162        0        1        0      161
 5993 libindicator3-7                    	     162        1        2        0      159
 5994 libio-multiplex-perl               	     162       10      151        1        0
 5995 liblinear3                         	     162        0        0        0      162
 5996 libopenjp2-7-dev                   	     162        2      153        7        0
 5997 libopenscenegraph161               	     162        0        0        0      162
 5998 libossp-uuid-perl                  	     162        0        3        0      159
 5999 libostyle1c2                       	     162        0        0        0      162
 6000 libpangomm-2.48-1t64               	     162        1        6        0      155
 6001 libpoppler140                      	     162        2       12        0      148
 6002 libqt6core6t64                     	     162       28       35        6       93
 6003 libsepol1-dev                      	     162        1      159        2        0
 6004 php8.2                             	     162        0        0        0      162
 6005 python3-img2pdf                    	     162        4      150        8        0
 6006 python3-virtualenv                 	     162        7      153        2        0
 6007 qbittorrent                        	     162       19      136        7        0
 6008 texlive-fonts-extra-links          	     162        0        0        0      162
 6009 valgrind-dbg                       	     162        2      160        0        0
 6010 wmctrl                             	     162       11      149        2        0
 6011 cm-super                           	     161        0        0        0      161
 6012 gnome-colors                       	     161        0        0        0      161
 6013 libatlas3-base                     	     161        5       12        0      144
 6014 libboost-locale1.67.0              	     161        0        0        0      161
 6015 libhavege2                         	     161       49      105        1        6
 6016 libk3b8                            	     161        0        0        0      161
 6017 libnet-server-perl                 	     161       19      141        1        0
 6018 libqt6quickcontrols2-6             	     161       11       18        1      131
 6019 libqt6quicktemplates2-6            	     161       11       18        1      131
 6020 live-config-doc                    	     161        0        0        0      161
 6021 nginx-common                       	     161        1      148       11        1
 6022 node-base64-js                     	     161        0        0        0      161
 6023 node-cli-boxes                     	     161        1        5        0      155
 6024 node-css-loader                    	     161        0        0        0      161
 6025 node-css-selector-tokenizer        	     161        2      156        3        0
 6026 node-icss-utils                    	     161        0        0        0      161
 6027 node-ieee754                       	     161        0        0        0      161
 6028 node-postcss-modules-extract-imports	     161        0        0        0      161
 6029 node-postcss-modules-values        	     161        0        0        0      161
 6030 node-postcss-value-parser          	     161        0        0        0      161
 6031 node-widest-line                   	     161        1        5        0      155
 6032 python3-docker                     	     161        2      152        7        0
 6033 reiserfsprogs                      	     161       17      141        3        0
 6034 hardinfo                           	     160        2      138        2       18
 6035 i3status                           	     160       13      142        5        0
 6036 junit                              	     160        6      153        1        0
 6037 libgsf-bin                         	     160        4      135       21        0
 6038 libisl10                           	     160        0        0        0      160
 6039 libk3b-extracodecs                 	     160        0        0        0      160
 6040 libminizip1t64                     	     160       18       31        0      111
 6041 libmlt++7                          	     160        0        1        0      159
 6042 libmlt7                            	     160        0        1        0      159
 6043 libnvidia-rtcore                   	     160        0        1        0      159
 6044 libpython3.12t64                   	     160        0       11        0      149
 6045 librsvg2-dev                       	     160        4      153        3        0
 6046 libxmu-dev                         	     160        0        0        0      160
 6047 wireguard                          	     160        0        0        0      160
 6048 xscreensaver-gl-extra              	     160        0       24        0      136
 6049 alsa-tools                         	     159        3      154        2        0
 6050 fonts-unifont                      	     159       14       27        0      118
 6051 i3lock                             	     159        9      146        4        0
 6052 libboost-system1.74.0              	     159        0        0        0      159
 6053 libgit2-glib-1.0-0                 	     159        1        1        0      157
 6054 libgles-nvidia2                    	     159        0        0        0      159
 6055 libgnomevfs2-common                	     159        1        0        0      158
 6056 libmono-cairo4.0-cil               	     159        1      156        2        0
 6057 libopus-dev                        	     159        2      154        3        0
 6058 openjdk-11-jdk-headless            	     159        0        0        0      159
 6059 postgresql-client-15               	     159       25      123       11        0
 6060 cpp-4.9                            	     158        7      149        1        1
 6061 elinks-data                        	     158        0        0        0      158
 6062 extra-xdg-menus                    	     158        1      155        2        0
 6063 firmware-bnx2x                     	     158        3      153        2        0
 6064 gir1.2-gweather-4.0                	     158        5       44        8      101
 6065 libburn4t64                        	     158        0        0        0      158
 6066 libgdl-3-common                    	     158        0        0        0      158
 6067 libgles-nvidia1                    	     158        0        0        0      158
 6068 libobject-pad-perl                 	     158        0        0        0      158
 6069 libpcre2-posix2                    	     158        0        0        0      158
 6070 libqt6multimedia6                  	     158       14       18        1      125
 6071 libvhdi1                           	     158        0        0        0      158
 6072 libvmdk1                           	     158        0        0        0      158
 6073 opensp                             	     158        4      151        3        0
 6074 re                                 	     158        2      142       14        0
 6075 texlive-latex-extra-doc            	     158        0        0        0      158
 6076 fonts-ipafont-mincho               	     157        3        8        0      146
 6077 libboost-filesystem1.62.0          	     157        2        2        0      153
 6078 libdap27                           	     157        0        2        0      155
 6079 libgdl-3-5                         	     157        0        0        0      157
 6080 libmagickcore-7.q16-10             	     157        0        2        0      155
 6081 libnl-3-dev                        	     157        1      154        2        0
 6082 mueller7-dict                      	     157        0        0        0      157
 6083 php8.2-mysql                       	     157       52      101        4        0
 6084 python3-imagesize                  	     157        2      155        0        0
 6085 qjackctl                           	     157        5      150        2        0
 6086 simplescreenrecorder-lib           	     157        0        0        0      157
 6087 task-cyrillic                      	     157        0        0        0      157
 6088 unhide                             	     157       20      135        2        0
 6089 elinks                             	     156       10      141        5        0
 6090 gnome-tweaks                       	     156        1      138       17        0
 6091 iperf                              	     156        7      147        2        0
 6092 libblas-common                     	     156        0        0        0      156
 6093 libboost-iostreams1.62.0           	     156        0        0        0      156
 6094 libclang1-11                       	     156        7      148        0        1
 6095 libcrypt-openssl-random-perl       	     156        0        0        0      156
 6096 libgnomevfs2-0                     	     156        0        0        0      156
 6097 libisofs6t64                       	     156        0        0        0      156
 6098 libjs-lunr                         	     156        0        0        0      156
 6099 libmagickwand-7.q16-10             	     156        0        2        0      154
 6100 liborcus-0.14-0                    	     156        0        0        0      156
 6101 libqt6waylandeglclienthwintegration6	     156        0        0        0      156
 6102 libqt6waylandeglcompositorhwintegration6	     156        0        0        0      156
 6103 libre2-11                          	     156        1        2        0      153
 6104 obs-studio                         	     156       11      140        5        0
 6105 python3-alabaster                  	     156        2      154        0        0
 6106 python3-joblib                     	     156        2      136       18        0
 6107 qml6-module-qtquick                	     156        0        0        0      156
 6108 qml6-module-qtquick-layouts        	     156        9       18        1      128
 6109 user-setup                         	     156        2      150        4        0
 6110 wakeonlan                          	     156       10      144        2        0
 6111 wx-common                          	     156        3      151        2        0
 6112 firmware-zd1211                    	     155        2      145        7        1
 6113 fonts-ipafont-gothic               	     155       15       19        0      121
 6114 i3-wm                              	     155       23      129        3        0
 6115 ldap-utils                         	     155       16      137        2        0
 6116 libinotifytools0                   	     155        3       12        0      140
 6117 libqt5printsupport5t64             	     155        9       28        0      118
 6118 libzipios++0v5                     	     155        1        2        0      152
 6119 linux-image-5.10.0-32-amd64        	     155        1      152        0        2
 6120 node-define-property               	     155        0        0        0      155
 6121 node-ws                            	     155        4      148        3        0
 6122 python3-ruamel.yaml                	     155       18      135        2        0
 6123 python3-sphinx                     	     155        3      147        5        0
 6124 qml6-module-qtquick-controls       	     155        9       18        1      127
 6125 sddm-theme-maui                    	     155        0        0        0      155
 6126 inotify-tools                      	     154       11      142        1        0
 6127 libdata-section-perl               	     154        2      151        1        0
 6128 libgdk-pixbuf2.0-dev               	     154        0       38        0      116
 6129 libgnome2-common                   	     154        1        0        0      153
 6130 libinireader0                      	     154       12       20        0      122
 6131 libmodule-build-perl               	     154        5      149        0        0
 6132 libqt5sql5t64                      	     154        5       25        0      124
 6133 libsoftware-license-perl           	     154        2      151        1        0
 6134 node-is-descriptor                 	     154        0        0        0      154
 6135 node-stack-utils                   	     154        0        0        0      154
 6136 node-yaml                          	     154        0        0        0      154
 6137 python-glade2                      	     154        0        0        0      154
 6138 python3-snowballstemmer            	     154        2      152        0        0
 6139 task-russian                       	     154        0        0        0      154
 6140 firmware-libertas                  	     153        1      143        9        0
 6141 fping                              	     153       18      135        0        0
 6142 libboost-system1.74-dev            	     153        0        0        0      153
 6143 live-config                        	     153        1      150        2        0
 6144 lxde                               	     153        0        0        0      153
 6145 node-auto-bind                     	     153        0        0        0      153
 6146 node-cli-truncate                  	     153        0        0        0      153
 6147 node-get-value                     	     153        0        1        0      152
 6148 obs-plugins                        	     153        1        2        2      148
 6149 parcellite                         	     153       26      119        8        0
 6150 php-intl                           	     153        0        0        0      153
 6151 python3-ruamel.yaml.clib           	     153        0      143       10        0
 6152 steam-devices                      	     153       15      134        4        0
 6153 xtightvncviewer                    	     153        9      143        1        0
 6154 iptraf-ng                          	     152        7      144        1        0
 6155 libboost-date-time1.74.0           	     152        0        0        0      152
 6156 libboost-serialization1.74-dev     	     152        0        0        0      152
 6157 libgspell-1-3                      	     152        1        4        1      146
 6158 libjte1                            	     152        0        0        0      152
 6159 libnetpbm11t64                     	     152        0        0        0      152
 6160 libqt6concurrent6                  	     152        1        1        0      150
 6161 libsdl2-net-2.0-0                  	     152        0        2        0      150
 6162 libxml-libxslt-perl                	     152        0        2        0      150
 6163 libxml-writer-perl                 	     152        5      146        1        0
 6164 light-locker                       	     152       19      127        6        0
 6165 lz4                                	     152        9      136        7        0
 6166 node-base                          	     152        0        0        0      152
 6167 node-cache-base                    	     152        0        0        0      152
 6168 node-collection-visit              	     152        0        0        0      152
 6169 node-coveralls                     	     152        3      146        3        0
 6170 node-has-value                     	     152        0        0        0      152
 6171 node-has-values                    	     152        0        0        0      152
 6172 node-is-primitive                  	     152        0        0        0      152
 6173 node-lcov-parse                    	     152        1      148        3        0
 6174 node-log-driver                    	     152        0        0        0      152
 6175 node-map-visit                     	     152        0        0        0      152
 6176 node-mixin-deep                    	     152        0        0        0      152
 6177 node-object-visit                  	     152        0        0        0      152
 6178 node-pascalcase                    	     152        0        0        0      152
 6179 node-sellside-emitter              	     152        0        0        0      152
 6180 node-set-value                     	     152        0        0        0      152
 6181 node-shell-quote                   	     152        0        0        0      152
 6182 node-tap                           	     152        3      146        3        0
 6183 node-tap-mocha-reporter            	     152        3      146        3        0
 6184 node-tap-parser                    	     152        3      146        3        0
 6185 node-union-value                   	     152        0        0        0      152
 6186 node-unset-value                   	     152        0        0        0      152
 6187 php8.2-gd                          	     152       49      100        3        0
 6188 picom                              	     152       15      128        9        0
 6189 qml6-module-qtqml-workerscript     	     152        5       18        1      128
 6190 qt6-base-dev-tools                 	     152        5      134       13        0
 6191 snmp                               	     152       14      136        2        0
 6192 fonts-clear-sans                   	     151        3        4        0      144
 6193 jackd2-firewire                    	     151        0        1        0      150
 6194 libgnomevfs2-extra                 	     151        1        0        0      150
 6195 libkrb5-dev                        	     151        5      137        9        0
 6196 libmad0-dev                        	     151        2      146        3        0
 6197 libmemcached11                     	     151       28       38        1       84
 6198 mpi-default-bin                    	     151        0        0        0      151
 6199 mtp-tools                          	     151        7      142        2        0
 6200 python-enum34                      	     151        6      145        0        0
 6201 quassel-data                       	     151        0        0        0      151
 6202 vdpau-va-driver                    	     151        0        1        0      150
 6203 libantlr-java                      	     150        0        0        0      150
 6204 libdvdcss2-dbgsym                  	     150        0      148        1        1
 6205 libgnome-2-0                       	     150        0        0        0      150
 6206 libgnutlsxx30                      	     150        0        0        0      150
 6207 libkdb5-10                         	     150        2        4        0      144
 6208 libnetcf1                          	     150       22       42        0       86
 6209 libphodav-2.0-0                    	     150        5        6        0      139
 6210 libphodav-2.0-common               	     150        0        0        0      150
 6211 libzita-resampler1                 	     150        1        0        0      149
 6212 linux-image-6.1.0-32-amd64         	     150        6        0      144        0
 6213 mtr                                	     150        8      141        1        0
 6214 php8.2-curl                        	     150       54       93        3        0
 6215 python-is-python3                  	     150       25      122        3        0
 6216 python3-gdal                       	     150        7      135        8        0
 6217 xfce4-notes-plugin                 	     150        1        0        0      149
 6218 xserver-xorg-video-mga             	     150        4      145        1        0
 6219 bluebird-gtk-theme                 	     149        2        2        1      144
 6220 dnsmasq                            	     149        5      142        2        0
 6221 libboost-date-time1.74-dev         	     149        0        0        0      149
 6222 libfeature-compat-class-perl       	     149        2      144        3        0
 6223 libfeature-compat-try-perl         	     149        2      144        3        0
 6224 libjasper1                         	     149        1        0        0      148
 6225 libjavascriptcoregtk-6.0-1         	     149        3       12        5      129
 6226 libmagickcore-7.q16-10-extra       	     149        0        0        0      149
 6227 libstring-license-perl             	     149        2      144        3        0
 6228 libsysfs2                          	     149       10       15        0      124
 6229 libwebkitgtk-6.0-4                 	     149        3       12        5      129
 6230 memtest86+                         	     149        6      141        2        0
 6231 python-html5lib                    	     149        4      143        1        1
 6232 python-notify                      	     149        2      146        1        0
 6233 xserver-xorg-video-r128            	     149        1      147        1        0
 6234 dvgrab                             	     148        4      142        2        0
 6235 gstreamer1.0-tools                 	     148        5      132       11        0
 6236 libcdio13                          	     148        0        0        0      148
 6237 libgcc-13-dev                      	     148        0        0        0      148
 6238 libmpdclient2                      	     148       13       33        1      101
 6239 libxxf86dga-dev                    	     148        2      145        1        0
 6240 linux-image-6.1.0-22-amd64         	     148        2      146        0        0
 6241 wavpack                            	     148        5      140        3        0
 6242 wicd                               	     148        0        0        0      148
 6243 x11-xfs-utils                      	     148        7      141        0        0
 6244 firmware-qlogic                    	     147        2      143        2        0
 6245 fluid-soundfont-gs                 	     147        0        0        0      147
 6246 gir1.2-gnomebluetooth-3.0          	     147        5       44        8       90
 6247 libapache2-mod-php                 	     147        0        0        0      147
 6248 libboost-filesystem1.83.0          	     147       10       10        1      126
 6249 libclass-load-perl                 	     147        6      140        1        0
 6250 libgtkmm-3.0-1t64                  	     147        4        8        0      135
 6251 libpaper2                          	     147       49       44       11       43
 6252 libstb0                            	     147        0        0        0      147
 6253 libunoil-java                      	     147        1      144        2        0
 6254 r-base-core                        	     147       23      116        8        0
 6255 cpp-13                             	     146        7      137        2        0
 6256 libanyevent-i3-perl                	     146        1      140        5        0
 6257 libexiv2-28                        	     146       12       20        0      114
 6258 libexiv2-data                      	     146        0        0        0      146
 6259 libsensors4                        	     146        1        0        0      145
 6260 lxsession-default-apps             	     146        0      130       16        0
 6261 mencoder                           	     146        5      134        7        0
 6262 python-webencodings                	     146        4      141        1        0
 6263 quassel                            	     146        1      128       17        0
 6264 sane                               	     146        6      139        1        0
 6265 texlive-lang-german                	     146        0        0        0      146
 6266 firmware-myricom                   	     145        2      141        2        0
 6267 fonts-inter                        	     145        6       18        0      121
 6268 grub-efi-amd64-unsigned            	     145        4      118       23        0
 6269 libcurl4-gnutls-dev                	     145        4      132        9        0
 6270 libevent-core-2.1-6                	     145        0        0        0      145
 6271 libgweather-common                 	     145        0        3        0      142
 6272 libhidapi-hidraw0                  	     145        0        1        0      144
 6273 libpthreadpool0                    	     145        0        0        0      145
 6274 libzita-alsa-pcmi0                 	     145        0        0        0      145
 6275 linux-headers-6.1.0-31-common      	     145       12       97       36        0
 6276 lxlauncher                         	     145        1      127       17        0
 6277 python3-distlib                    	     145        2      140        3        0
 6278 python3-gssapi                     	     145        9      131        5        0
 6279 python3-pycparser                  	     145        9      133        3        0
 6280 telegram-desktop                   	     145       18      121        6        0
 6281 texlive-lang-english               	     145        0        0        0      145
 6282 xserver-xorg-video-cirrus          	     145        1      143        1        0
 6283 xserver-xorg-video-mach64          	     145        1      143        1        0
 6284 xserver-xorg-video-neomagic        	     145        1      143        1        0
 6285 fonts-paratype                     	     144        3        8        0      133
 6286 gv                                 	     144        9      131        4        0
 6287 libaribb24-0t64                    	     144       10        7        0      127
 6288 libasan1                           	     144        0        0        0      144
 6289 libavcodec60                       	     144       17       38        0       89
 6290 libevent-pthreads-2.1-6            	     144        0        1        0      143
 6291 libfltk-gl1.3                      	     144        0        0        0      144
 6292 libfsplib0                         	     144        2        0        0      142
 6293 libixml11t64                       	     144        3        8        0      133
 6294 libkf5kdegames7                    	     144        2        7        0      135
 6295 libnet-snmp-perl                   	     144       12      129        3        0
 6296 libpod-readme-perl                 	     144        4      140        0        0
 6297 librlottie0-1                      	     144        7       32        0      105
 6298 libunibilium4                      	     144        2        4        1      137
 6299 libunique-1.0-0                    	     144        3        3        0      138
 6300 libupnp17t64                       	     144        3        8        0      133
 6301 libvpx1                            	     144        0        2        0      142
 6302 python3-psycopg2                   	     144       16      123        5        0
 6303 r-cran-lattice                     	     144        8      131        5        0
 6304 read-edid                          	     144        5      137        2        0
 6305 refractainstaller-base             	     144        2      141        1        0
 6306 xserver-xorg-video-openchrome      	     144        2      141        1        0
 6307 xserver-xorg-video-savage          	     144        1      142        1        0
 6308 ant-contrib                        	     143        0        0        0      143
 6309 dselect                            	     143       12      129        2        0
 6310 gnuplot-x11                        	     143        9      134        0        0
 6311 krita-data                         	     143        0        0        0      143
 6312 libaio1t64                         	     143        2        2        0      139
 6313 libgcc-4.9-dev                     	     143        0        0        0      143
 6314 liblightcouch-java                 	     143        0        0        0      143
 6315 liblog4j2-java                     	     143        0        0        0      143
 6316 libmodule-signature-perl           	     143        4      139        0        0
 6317 libmongodb-java                    	     143        0        0        0      143
 6318 libnfs12                           	     143        0        0        0      143
 6319 libtsk19                           	     143        0        0        0      143
 6320 libvterm0                          	     143        4        6        1      132
 6321 libx32asan8                        	     143        0        0        0      143
 6322 python-bs4                         	     143        4      137        1        1
 6323 python-cryptography                	     143        8      135        0        0
 6324 python3-cffi                       	     143        3      137        3        0
 6325 python3-xapian                     	     143       14      124        5        0
 6326 r-cran-mass                        	     143        7      128        8        0
 6327 r-cran-matrix                      	     143        7      129        7        0
 6328 r-cran-mgcv                        	     143        7      132        4        0
 6329 r-cran-nlme                        	     143        7      131        5        0
 6330 xserver-xorg-video-sisusb          	     143        1      141        1        0
 6331 cryptsetup-run                     	     142        0       19        0      123
 6332 folks-common                       	     142        0        0        0      142
 6333 gtk2-engines-xfce                  	     142        0        0        0      142
 6334 kdenlive-data                      	     142        0        0        0      142
 6335 lib32asan8                         	     142        0        0        0      142
 6336 libfontbox2-java                   	     142        0        0        0      142
 6337 libgxps2t64                        	     142        0        1        0      141
 6338 linux-headers-6.1.0-31-amd64       	     142       12       95       35        0
 6339 linux-image-6.1.0-29-amd64         	     142        2      130        9        1
 6340 python3-kerberos                   	     142        4      135        3        0
 6341 python3-pyqt5.qtpositioning        	     142        4      135        3        0
 6342 python3-userpath                   	     142        0      140        2        0
 6343 r-doc-html                         	     142        0        0        0      142
 6344 steam-launcher                     	     142       23      115        4        0
 6345 tightvncpasswd                     	     142        8      133        1        0
 6346 firmware-netxen                    	     141        2      136        3        0
 6347 gthumb-data                        	     141        0        1        0      140
 6348 libass5                            	     141        1        0        0      140
 6349 libastro1                          	     141        1        1        0      139
 6350 libavahi-compat-libdnssd1          	     141       10       22        0      109
 6351 libboost-filesystem1.74-dev        	     141        0        0        0      141
 6352 libboost-regex1.74-dev             	     141        0        0        0      141
 6353 libhwloc-dev                       	     141        1      138        2        0
 6354 libmujs3                           	     141        2        6        0      133
 6355 libnl-route-3-dev                  	     141        0        0        0      141
 6356 libobs0                            	     141        1        2        1      137
 6357 libocct-data-exchange-7.6          	     141        1        4        0      136
 6358 libocct-draw-7.6                   	     141        0        0        0      141
 6359 libocct-foundation-7.6             	     141        1        4        0      136
 6360 libocct-modeling-algorithms-7.6    	     141        1        4        0      136
 6361 libocct-modeling-data-7.6          	     141        1        4        0      136
 6362 libocct-ocaf-7.6                   	     141        0        4        0      137
 6363 libocct-visualization-7.6          	     141        0        4        0      137
 6364 libreoffice-l10n-ru                	     141       10      116       15        0
 6365 libsass1                           	     141        3        0        0      138
 6366 libtermkey1                        	     141        2        4        1      134
 6367 nginx                              	     141       39       64        3       35
 6368 pipx                               	     141        9      131        1        0
 6369 r-cran-boot                        	     141        7      131        3        0
 6370 r-cran-class                       	     141        7      129        5        0
 6371 r-cran-cluster                     	     141        7      128        6        0
 6372 r-cran-codetools                   	     141        7      131        3        0
 6373 r-cran-foreign                     	     141        7      129        5        0
 6374 r-cran-kernsmooth                  	     141        7      129        5        0
 6375 r-cran-nnet                        	     141        7      129        5        0
 6376 r-cran-rpart                       	     141        7      129        5        0
 6377 r-cran-spatial                     	     141        8      128        5        0
 6378 r-cran-survival                    	     141        7      129        5        0
 6379 r-recommended                      	     141        0        0        0      141
 6380 refractasnapshot-base              	     141        1      137        3        0
 6381 unpaper                            	     141        6      133        2        0
 6382 xserver-xorg-video-tdfx            	     141        1      139        1        0
 6383 ccache                             	     140        8      129        3        0
 6384 firmware-ti-connectivity           	     140        0      136        4        0
 6385 gcc-13                             	     140        5      133        2        0
 6386 gimp-data-extras                   	     140        0        0        0      140
 6387 kdenlive                           	     140        5      131        4        0
 6388 krita                              	     140        7      130        3        0
 6389 libavformat57                      	     140        0        0        0      140
 6390 libdcmtk17                         	     140        0        2        0      138
 6391 libnetcdf18                        	     140        0        2        0      138
 6392 libnvidia-pkcs11-openssl3          	     140        0        0        0      140
 6393 libqwt-qt5-6                       	     140        1        1        0      138
 6394 libscalar-list-utils-perl          	     140       16       18        0      106
 6395 libswscale4                        	     140        0        0        0      140
 6396 libtracker-sparql-2.0-0            	     140        6       26        1      107
 6397 libxapian22                        	     140        1       19        0      120
 6398 gcc-4.9                            	     139        6      132        0        1
 6399 gnome-clocks                       	     139        4      121       14        0
 6400 libjim0.77                         	     139        0        0        0      139
 6401 libmojolicious-perl                	     139        8      128        3        0
 6402 libprotobuf-lite32t64              	     139        1        1        0      137
 6403 libqt5quicktest5                   	     139        0        0        0      139
 6404 libtexlua53                        	     139        0        0        0      139
 6405 libwxgtk3.0-0v5                    	     139        1        0        0      138
 6406 mythes-ru                          	     139        0        0        0      139
 6407 python3-urwid                      	     139        0      136        3        0
 6408 rygel-playbin                      	     139        1        4        0      134
 6409 unhide.rb                          	     139       10      128        1        0
 6410 xserver-xorg-video-trident         	     139        1      137        1        0
 6411 acpi-support                       	     138        4      123        2        9
 6412 dex                                	     138        9      124        5        0
 6413 gnome-browser-connector            	     138        3      119       16        0
 6414 gnumeric-common                    	     138        1        0        0      137
 6415 libavdevice61                      	     138        2        8        0      128
 6416 libglfw3                           	     138        0        0        0      138
 6417 liblist-allutils-perl              	     138        3      134        1        0
 6418 libproxy1-plugin-webkit            	     138       20       61        0       57
 6419 module-assistant                   	     138        7      124        7        0
 6420 php-zip                            	     138        0        0        0      138
 6421 python-cffi-backend                	     138        7      131        0        0
 6422 python-ipaddress                   	     138        6      132        0        0
 6423 qml6-module-qtqml-models           	     138        0        0        0      138
 6424 witalian                           	     138        3      116        7       12
 6425 aria2                              	     137        4      129        4        0
 6426 chkrootkit                         	     137       35       98        4        0
 6427 dillo                              	     137       11      125        1        0
 6428 gthumb                             	     137       13      120        4        0
 6429 libibverbs-dev                     	     137        1      134        2        0
 6430 libproxy1-plugin-networkmanager    	     137       20       61        0       56
 6431 libqtcore4                         	     137        0        1        0      136
 6432 linux-headers-6.1.0-26-common      	     137        0      136        0        1
 6433 marble-plugins                     	     137        0        2        0      135
 6434 marble-qt-data                     	     137        0        1        0      136
 6435 mariadb-client-core-10.5           	     137        8      116       13        0
 6436 ncftp                              	     137       16      117        4        0
 6437 pxlib1                             	     137        0        0        0      137
 6438 xss-lock                           	     137       11      123        3        0
 6439 chromium-l10n                      	     136       13      110       13        0
 6440 distro-info                        	     136        5      127        4        0
 6441 libboost-program-options1.83.0     	     136       10       10        0      116
 6442 libqt5test5t64                     	     136        1        2        0      133
 6443 librrd8                            	     136       14       20        0      102
 6444 libvtk9.1                          	     136        0        0        0      136
 6445 python3-pyside2.qtcore             	     136        4      129        3        0
 6446 rygel-tracker                      	     136        0        4        0      132
 6447 xserver-xorg-video-siliconmotion   	     136        1      134        1        0
 6448 avrdude                            	     135       19      115        1        0
 6449 binutils-avr                       	     135        4      130        1        0
 6450 gcc-avr                            	     135        5      129        1        0
 6451 gir1.2-gucharmap-2.90              	     135        0        0        0      135
 6452 gnumeric                           	     135       23      109        3        0
 6453 libclang-cpp11                     	     135        8      125        1        1
 6454 libgif-dev                         	     135        4      129        2        0
 6455 libi2c0                            	     135        0        0        0      135
 6456 libproj19                          	     135        0        2        0      133
 6457 libproxy1-plugin-gsettings         	     135        7        6        0      122
 6458 libptytty0                         	     135        8       28        0       99
 6459 libpython3.13-minimal              	     135       54       60       21        0
 6460 libqdox-java                       	     135        0        0        0      135
 6461 libstdc++-6-dev                    	     135        4      131        0        0
 6462 postfix-sqlite                     	     135       11      123        1        0
 6463 python-wicd                        	     135        3      131        1        0
 6464 python3-pyside2.qtgui              	     135        4      128        3        0
 6465 termit                             	     135        2      130        3        0
 6466 bamfdaemon                         	     134        7      119        8        0
 6467 grub-efi-ia32-bin                  	     134        1      132        1        0
 6468 libaria2-0                         	     134        1        1        0      132
 6469 libarmadillo10                     	     134        0        2        0      132
 6470 libatm1                            	     134        0        0        0      134
 6471 libnvidia-allocator1               	     134        3        7        0      124
 6472 libqt4-xml                         	     134        0        1        0      133
 6473 libqtgui4                          	     134        0        1        0      133
 6474 libsisu-guice-java                 	     134        0        0        0      134
 6475 libsrt1.5-openssl                  	     134        1        2        2      129
 6476 libxcb-sync-dev                    	     134        2      132        0        0
 6477 mediainfo                          	     134        8      126        0        0
 6478 ncompress                          	     134        7      121        6        0
 6479 python3-pyside2.qtwidgets          	     134        4      127        3        0
 6480 r-base-dev                         	     134        0        0        0      134
 6481 recode                             	     134       10      116        8        0
 6482 vim-addon-manager                  	     134        6      127        1        0
 6483 enscript                           	     133        8      121        4        0
 6484 firefox-esr-l10n-ru                	     133       18      104       11        0
 6485 gir1.2-mutter-11                   	     133        5       39        7       82
 6486 git-gui                            	     133        7      120        6        0
 6487 libclass-tiny-perl                 	     133        4      129        0        0
 6488 libencode-hanextra-perl            	     133        0        0        0      133
 6489 libkf5kipi-data                    	     133        0        0        0      133
 6490 libmariadb-dev                     	     133        7      115       11        0
 6491 libpython2.7-dev                   	     133        5      128        0        0
 6492 libqpdf21                          	     133        0        0        0      133
 6493 libsisu-ioc-java                   	     133        0        0        0      133
 6494 linux-headers-6.1.0-25-common      	     133        1      131        0        1
 6495 linux-headers-6.1.0-26-amd64       	     133        0      132        0        1
 6496 neovim                             	     133       10      112       11        0
 6497 phantomjs                          	     133        2      131        0        0
 6498 php8.2-intl                        	     133       47       84        2        0
 6499 python3-netaddr                    	     133        2      129        2        0
 6500 scribus-data                       	     133        0        0        0      133
 6501 tigervnc-common                    	     133        9      123        1        0
 6502 vpnc-scripts                       	     133        0        0        0      133
 6503 aspell-fr                          	     132        3      123        6        0
 6504 avr-libc                           	     132        5      126        1        0
 6505 gnome-calendar                     	     132        5      113       14        0
 6506 golang-doc                         	     132        0        0        0      132
 6507 latexmk                            	     132        3      128        1        0
 6508 libavresample3                     	     132        0        0        0      132
 6509 libboost-atomic1.74.0              	     132        0        0        0      132
 6510 libboost-chrono1.74-dev            	     132        0        0        0      132
 6511 libboost-program-options1.74-dev   	     132        0        0        0      132
 6512 libdata-compare-perl               	     132        2      128        2        0
 6513 libgettextpo-dev                   	     132        2      130        0        0
 6514 libimlib2t64                       	     132        7       16        1      108
 6515 libjxl0.10                         	     132       40       41        4       47
 6516 libsane-extras-common              	     132        0        0        0      132
 6517 libsdl-pango1                      	     132        0        0        0      132
 6518 libspatialaudio0t64                	     132        0        0        0      132
 6519 libxslt1-dev                       	     132        4      123        5        0
 6520 node-html5shiv                     	     132        0        2        0      130
 6521 python3-unicodedata2               	     132        1      114       17        0
 6522 qdbus                              	     132        0        0        0      132
 6523 scribus                            	     132        1      126        5        0
 6524 steam-libs-amd64                   	     132        0        0        0      132
 6525 console-data                       	     131        0        0        0      131
 6526 fontsnaps                          	     131        1      130        0        0
 6527 libamd3                            	     131        0        1        0      130
 6528 libboost-atomic1.74-dev            	     131        0        0        0      131
 6529 libcommons-net-java                	     131        0        0        0      131
 6530 libfaac0                           	     131        0        0        0      131
 6531 libio-socket-socks-perl            	     131        6      122        3        0
 6532 libkf5pulseaudioqt2                	     131        2        4        0      125
 6533 libmail-spf-perl                   	     131       29      102        0        0
 6534 python-is-python2                  	     131       11      120        0        0
 6535 wfrench                            	     131        4      120        7        0
 6536 xorgxrdp                           	     131       10      115        6        0
 6537 binutils-i686-linux-gnu            	     130        6      119        5        0
 6538 fonts-roboto                       	     130        0        0        0      130
 6539 gcc-12-multilib                    	     130        0        0        0      130
 6540 i3                                 	     130        0        0        0      130
 6541 libbcpg-java                       	     130        0        0        0      130
 6542 libhash-merge-perl                 	     130        3      125        2        0
 6543 libhtml-tableextract-perl          	     130        8      120        2        0
 6544 libldap2                           	     130       59       40       14       17
 6545 libmarblewidget-qt5-28             	     130        0        1        0      129
 6546 libnih-dbus1                       	     130        7       20        0      103
 6547 libnih1                            	     130        7       20        0      103
 6548 libphysfs1                         	     130        1        0        0      129
 6549 libpython3.13-stdlib               	     130       54       57       19        0
 6550 libqtdbus4                         	     130        0        1        0      129
 6551 libsdl-gfx1.2-5                    	     130        0        0        0      130
 6552 libx32gcc-12-dev                   	     130        0        0        0      130
 6553 libxcb-glx0-dev                    	     130        2      128        0        0
 6554 linux-cpupower                     	     130        2      109       19        0
 6555 mupdf                              	     130        9      116        5        0
 6556 murrine-themes                     	     130        0        0        0      130
 6557 neovim-runtime                     	     130        1        1        1      127
 6558 prerex                             	     130        4      125        1        0
 6559 python3-qtpy                       	     130        3      126        1        0
 6560 python3-tomli                      	     130        3      103       24        0
 6561 qtdeclarative5-dev-tools           	     130        1      127        0        2
 6562 wicd-daemon                        	     130       14      115        1        0
 6563 gedit-plugins                      	     129        0        0        0      129
 6564 gedit-plugins-common               	     129        0        0        0      129
 6565 iproute                            	     129        0        0        0      129
 6566 lib32gcc-12-dev                    	     129        0        0        0      129
 6567 libboost-thread1.74-dev            	     129        0        0        0      129
 6568 libcamd3                           	     129        0        1        0      128
 6569 libccolamd3                        	     129        0        1        0      128
 6570 libdbd-pg-perl                     	     129        3        1        0      125
 6571 libfdk-aac2                        	     129       26       36        0       67
 6572 libglpk40                          	     129        0        0        0      129
 6573 libnvidia-egl-gbm1                 	     129       25       63        4       37
 6574 libopenjfx-java                    	     129        0        0        0      129
 6575 libopenjfx-jni                     	     129        2        0        0      127
 6576 libumfpack6                        	     129        0        1        0      128
 6577 lua-luv                            	     129        2        4        1      122
 6578 opencv-data                        	     129        0        0        0      129
 6579 rcs                                	     129       14      115        0        0
 6580 refractainstaller-gui              	     129        2      127        0        0
 6581 virtualbox-7.0                     	     129       28      101        0        0
 6582 finger                             	     128        7      120        1        0
 6583 gir1.2-gudev-1.0                   	     128        2        3        0      123
 6584 gnome-color-manager                	     128        2      110       16        0
 6585 gnome-session                      	     128        1      107       16        4
 6586 gstreamer1.0-packagekit            	     128        0        2        0      126
 6587 libgd-dev                          	     128        2      126        0        0
 6588 libmpg123-dev                      	     128        1      125        2        0
 6589 libonnx1t64                        	     128        0        0        0      128
 6590 libsane-extras                     	     128        0        0        0      128
 6591 libxcb-present-dev                 	     128        2      126        0        0
 6592 openjdk-11-jdk                     	     128        0        7        1      120
 6593 python3-enchant                    	     128        1      123        4        0
 6594 steam-installer                    	     128       16      109        3        0
 6595 aspell-it                          	     127        2      119        6        0
 6596 cpp-13-x86-64-linux-gnu            	     127        5      120        2        0
 6597 gedit-plugin-color-picker          	     127        0        0        0      127
 6598 ipython3                           	     127        5      122        0        0
 6599 libbonoboui2-common                	     127        0        0        0      127
 6600 libcgmanager0                      	     127        7       17        0      103
 6601 libopenvdb10.0                     	     127        0        3        0      124
 6602 nvidia-suspend-common              	     127        2      109       16        0
 6603 refractasnapshot-gui               	     127        1      125        1        0
 6604 sqlitebrowser                      	     127        4      123        0        0
 6605 clearlooks-phenix-darkpurpy-theme  	     126        0        0        0      126
 6606 fdupes                             	     126        7      116        3        0
 6607 fonts-roboto-hinted                	     126        0        0        0      126
 6608 gkrellm                            	     126       17      108        1        0
 6609 gsmartcontrol                      	     126        4      117        5        0
 6610 libabsl20200923                    	     126       23       50        0       53
 6611 libclone-choose-perl               	     126        2      123        1        0
 6612 libdirectfb-1.7-7t64               	     126        0        1        0      125
 6613 libgmime-2.6-0                     	     126        1        0        0      125
 6614 libpam0g-dev                       	     126        2      121        3        0
 6615 libtiffxx5                         	     126        0        0        0      126
 6616 libuniversal-require-perl          	     126       11      113        2        0
 6617 libwagon-file-java                 	     126        0        0        0      126
 6618 mariadb-server-core-10.5           	     126       27       93        6        0
 6619 python-debian                      	     126        4      121        1        0
 6620 python3-jupyter-core               	     126        1      123        2        0
 6621 python3-pyasyncore                 	     126        1      116        9        0
 6622 python3.13-minimal                 	     126       54       51       21        0
 6623 tcl8.6-dev                         	     126        5      118        3        0
 6624 tightvncserver                     	     126       15      110        1        0
 6625 tshark                             	     126       10      112        4        0
 6626 discord                            	     125       22      100        3        0
 6627 etckeeper                          	     125       32       92        1        0
 6628 gnome-contacts                     	     125        3      107       15        0
 6629 hfsplus                            	     125        6      113        6        0
 6630 i2c-tools                          	     125       18      105        2        0
 6631 libdata-uniqid-perl                	     125        2      122        1        0
 6632 libgnustep-base1.27                	     125        0        0        0      125
 6633 libmojo-server-fastcgi-perl        	     125        1      121        3        0
 6634 libnss3-tools                      	     125        3      115        7        0
 6635 libopenexr22                       	     125        0        0        0      125
 6636 librygel-renderer-gst-2.8-0        	     125        1        2        0      122
 6637 libunwind-dev                      	     125        3      122        0        0
 6638 libv4l2rds0                        	     125        0        0        0      125
 6639 linux-headers-6.1.0-25-amd64       	     125        0      124        0        1
 6640 low-memory-monitor                 	     125        2      103       19        1
 6641 open-vm-tools                      	     125       38       84        3        0
 6642 python-support                     	     125       17      107        1        0
 6643 python3-nltk                       	     125        7      118        0        0
 6644 python3-pynvim                     	     125        1      113       11        0
 6645 shiboken2                          	     125        1      121        3        0
 6646 xserver-xorg-video-dummy           	     125        6      119        0        0
 6647 exuberant-ctags                    	     124        7      115        2        0
 6648 feynmf                             	     124        2      121        1        0
 6649 fonts-arphic-uming                 	     124        9        8        0      107
 6650 gcc-13-x86-64-linux-gnu            	     124        4      118        2        0
 6651 gedit-plugin-character-map         	     124        0        0        0      124
 6652 gedit-plugin-join-lines            	     124        0        0        0      124
 6653 gedit-plugin-multi-edit            	     124        0        0        0      124
 6654 gir1.2-ggit-1.0                    	     124        3      118        3        0
 6655 libilmbase12                       	     124        0        0        0      124
 6656 libmail-dkim-perl                  	     124       27       97        0        0
 6657 libmono-sqlite4.0-cil              	     124        2      120        2        0
 6658 libobjc-10-dev                     	     124        0        0        0      124
 6659 libopenexr-dev                     	     124        0      121        3        0
 6660 libprotoc32                        	     124        0        0        0      124
 6661 libsquish0                         	     124        0        0        0      124
 6662 libstdc++-8-dev                    	     124        2      121        1        0
 6663 libwireplumber-0.5-0               	     124        8       13        2      101
 6664 python2.7-dev                      	     124        5      119        0        0
 6665 python3-livereload                 	     124        6      118        0        0
 6666 qemu                               	     124        0        0        0      124
 6667 qtdeclarative5-dev                 	     124        1      123        0        0
 6668 texlive-science-doc                	     124        0        0        0      124
 6669 xfonts-unifont                     	     124        0        1        0      123
 6670 zathura                            	     124       11      111        2        0
 6671 zathura-pdf-poppler                	     124        2        9        0      113
 6672 calendar                           	     123        3      120        0        0
 6673 dbconfig-common                    	     123        9      113        1        0
 6674 firmware-intel-graphics            	     123       10       99       14        0
 6675 fonts-unfonts-core                 	     123        7       16        0      100
 6676 gir1.2-gexiv2-0.10                 	     123       10      113        0        0
 6677 gir1.2-grilo-0.3                   	     123        0        0        0      123
 6678 gnome-maps                         	     123        1      106       16        0
 6679 gpart                              	     123        3      120        0        0
 6680 gufw                               	     123       11      109        3        0
 6681 libbusiness-isbn-data-perl         	     123        1      117        5        0
 6682 libbusiness-isbn-perl              	     123        1      121        1        0
 6683 libjs-codemirror                   	     123        7      110        1        5
 6684 libldap2-dev                       	     123        0       47        0       76
 6685 libmono-system-web-applicationservices4.0-cil	     123        0      121        2        0
 6686 libmono-system-web-services4.0-cil 	     123        3      118        2        0
 6687 libmono-system-web4.0-cil          	     123        6      115        2        0
 6688 libmypaint-1.3-0                   	     123        0        0        0      123
 6689 libngspice0                        	     123        0        0        0      123
 6690 libopenmpi-dev                     	     123        0        3        0      120
 6691 libusb-dev                         	     123        2      119        2        0
 6692 postgresql-15                      	     123       44       76        3        0
 6693 python3-deprecated                 	     123        1      120        2        0
 6694 re2c                               	     123       13      109        1        0
 6695 sshpass                            	     123       11      112        0        0
 6696 texlive-fonts-extra-doc            	     123        0        0        0      123
 6697 alien                              	     122        9      112        1        0
 6698 autofs                             	     122       39       83        0        0
 6699 cowsay-off                         	     122        0        0        0      122
 6700 firmware-carl9170                  	     122        2      105       15        0
 6701 freecad-common                     	     122        0        0        0      122
 6702 gedit-plugin-bookmarks             	     122        0        0        0      122
 6703 gedit-plugin-bracket-completion    	     122        0        0        0      122
 6704 gedit-plugin-code-comment          	     122        0        0        0      122
 6705 gedit-plugin-draw-spaces           	     122        0        1        0      121
 6706 gedit-plugin-smart-spaces          	     122        0        0        0      122
 6707 gedit-plugin-terminal              	     122        0        0        0      122
 6708 gedit-plugin-word-completion       	     122        0        0        0      122
 6709 gir1.2-mediaart-2.0                	     122        0        0        0      122
 6710 gnome-weather                      	     122        1      105       16        0
 6711 kicad                              	     122        4      113        5        0
 6712 libdisplay-info2                   	     122       30       44        4       44
 6713 libgdk-pixbuf-xlib-2.0-dev         	     122        1      121        0        0
 6714 libmono-ldap4.0-cil                	     122        0      120        2        0
 6715 libmono-system-componentmodel-dataannotations4.0-cil	     122        0      120        2        0
 6716 libmono-system-design4.0-cil       	     122        0      120        2        0
 6717 libmono-system-ldap4.0-cil         	     122        0      120        2        0
 6718 libopenimageio2.4                  	     122        0        1        0      121
 6719 libpackage-deprecationmanager-perl 	     122        5      117        0        0
 6720 libpyside2-py3-5.15                	     122        1      119        2        0
 6721 libshiboken2-py3-5.15              	     122        3      117        2        0
 6722 libsubid4                          	     122        7        1        0      114
 6723 libxenmisc4.14                     	     122       22       43        0       57
 6724 mkdocs                             	     122       24       98        0        0
 6725 qml6-module-qtquick-templates      	     122        9       18        1       94
 6726 t1-cyrillic                        	     122        1        0        0      121
 6727 texlive-metapost                   	     122        0        0        0      122
 6728 wicd-gtk                           	     122       13      108        1        0
 6729 apulse                             	     121        5      116        0        0
 6730 darktable                          	     121       10      108        3        0
 6731 g++-8                              	     121        2      118        1        0
 6732 gedit-plugin-git                   	     121        0        0        0      121
 6733 git-doc                            	     121        0        0        0      121
 6734 ksysguardd                         	     121        3      116        2        0
 6735 libbsf-java                        	     121        0        0        0      121
 6736 libclang-cpp15                     	     121        9      110        2        0
 6737 libgeos-3.9.0                      	     121        0        2        0      119
 6738 libmagickcore-6.q16-3              	     121        0        0        0      121
 6739 libopenfec1                        	     121        0        0        0      121
 6740 libotr5t64                         	     121        2        3        0      116
 6741 network-manager-openvpn-gnome      	     121        2      104        1       14
 6742 python3-evdev                      	     121       18      100        3        0
 6743 python3-lunr                       	     121        1      118        2        0
 6744 python3-pyqt5.qtwebsockets         	     121        1      119        1        0
 6745 python3.13                         	     121       12       70       39        0
 6746 universal-ctags                    	     121        7      110        4        0
 6747 deb-multimedia-keyring             	     120        0        0        0      120
 6748 debian-pulseaudio-config-override  	     120        0        0        0      120
 6749 firmware-intel-misc                	     120       14       93       13        0
 6750 fonts-tlwg-waree                   	     120        0        0        0      120
 6751 freecad                            	     120        1       16        1      102
 6752 ghp-import                         	     120        7      113        0        0
 6753 golang                             	     120        0        0        0      120
 6754 guile-3.0                          	     120        6      111        3        0
 6755 iitalian                           	     120        1      113        6        0
 6756 ipset                              	     120       20       99        1        0
 6757 libboost-filesystem-dev            	     120        0        0        0      120
 6758 libbusiness-issn-perl              	     120        1      118        1        0
 6759 libcholmod5                        	     120        0        1        0      119
 6760 libcurl3                           	     120        1        0        0      119
 6761 libdialog15                        	     120        0        1        0      119
 6762 libhfsp0                           	     120        0        0        0      120
 6763 libkf5waylandserver5               	     120       16       31        0       73
 6764 liblouisutdml8                     	     120        0        0        0      120
 6765 libmagickwand-6.q16-3              	     120        0        0        0      120
 6766 libxcb-dri3-dev                    	     120        2      118        0        0
 6767 libxml-libxml-simple-perl          	     120        2      117        1        0
 6768 linux-image-6.1.0-17-amd64         	     120        5      114        0        1
 6769 python3-entrypoints                	     120        2      118        0        0
 6770 python3-mergedeep                  	     120        0      119        1        0
 6771 python3-multidict                  	     120       20       94        6        0
 6772 python3-nbformat                   	     120        2      116        2        0
 6773 python3-pyside2.qtuitools          	     120        0      117        3        0
 6774 python3-pyside2.qtxml              	     120        0      117        3        0
 6775 python3-pyyaml-env-tag             	     120        1      118        1        0
 6776 python3-werkzeug                   	     120        4      113        3        0
 6777 speedtest-cli                      	     120        2      115        3        0
 6778 vainfo                             	     120        6      107        7        0
 6779 apt-show-versions                  	     119       31       86        2        0
 6780 console-common                     	     119       11      107        1        0
 6781 dovecot-core                       	     119       40       79        0        0
 6782 gnome-music                        	     119        1      101       17        0
 6783 libboost-system-dev                	     119        0        0        0      119
 6784 libgdal28                          	     119        0        2        0      117
 6785 libgupnp-av-1.0-2                  	     119        0        0        0      119
 6786 libkseexpr-data                    	     119        0        0        0      119
 6787 libkseexpr4                        	     119        1        0        0      118
 6788 libkseexprui4                      	     119        1        0        0      118
 6789 libopenipmi0                       	     119        1        4        0      114
 6790 librnp0                            	     119       10        9        0      100
 6791 linux-headers-6.1.0-30-common      	     119        5      113        1        0
 6792 python3-ipython-genutils           	     119        9      110        0        0
 6793 python3-pyside2.qtopengl           	     119        0      116        3        0
 6794 python3-pyside2.qtsvg              	     119        0      116        3        0
 6795 qsynth                             	     119        3      113        3        0
 6796 scrub                              	     119        6      110        3        0
 6797 texlive-publishers                 	     119        0        0        0      119
 6798 atop                               	     118       34       82        2        0
 6799 code                               	     118       15       90       13        0
 6800 coinor-libcoinmp0                  	     118        0        0        0      118
 6801 fonts-arphic-bkai00mp              	     118        5        8        0      105
 6802 fonts-baekmuk                      	     118        6        8        0      104
 6803 fonts-hosny-amiri                  	     118       11       14        0       93
 6804 gedit-plugin-color-schemer         	     118        0        0        0      118
 6805 gedit-plugin-synctex               	     118        0        0        0      118
 6806 gnome-shell-extensions             	     118        1      100       15        2
 6807 graphicsmagick                     	     118        6      108        4        0
 6808 libboost-test1.74.0                	     118        0        0        0      118
 6809 libbtparse2                        	     118        0        0        0      118
 6810 libcdio-cdda1                      	     118        0        0        0      118
 6811 libdate-simple-perl                	     118        0        0        0      118
 6812 libdazzle-1.0-0                    	     118        3        2        0      113
 6813 libdecor-0-plugin-1-gtk            	     118        0        0        0      118
 6814 libembree3-3                       	     118        0        1        0      117
 6815 libkf5kipi32.0.0                   	     118        1        2        0      115
 6816 liblogback-java                    	     118        0        0        0      118
 6817 liblzf1                            	     118       34       40        0       44
 6818 libmodplug-dev                     	     118        1      117        0        0
 6819 libmono-csharp4.0c-cil             	     118        0      115        3        0
 6820 libmsgpackc2                       	     118        1        3        1      113
 6821 libosdcpu3.5.0                     	     118        0        1        0      117
 6822 libosdgpu3.5.0                     	     118        0        1        0      117
 6823 libqt6quickshapes6                 	     118        2        5        0      111
 6824 libsndfile1-dev                    	     118        2      113        3        0
 6825 libtext-roman-perl                 	     118        2      115        1        0
 6826 libtss2-mu-4.0.1-0t64              	     118        3       18        2       95
 6827 libwv-1.2-4                        	     118        0        1        0      117
 6828 libxfont1                          	     118        0        0        0      118
 6829 php8.2-zip                         	     118       41       75        2        0
 6830 python-openssl                     	     118        5      113        0        0
 6831 python3-mypy-extensions            	     118        2      112        4        0
 6832 qml6-module-qtquick-window         	     118        9       18        1       90
 6833 vprerex                            	     118        3      114        1        0
 6834 xrdp                               	     118       43       72        3        0
 6835 firefox                            	     117       24       85        7        1
 6836 foomatic-db                        	     117        0        0        0      117
 6837 fortunes-it                        	     117        0        0        0      117
 6838 g++-6                              	     117        6      111        0        0
 6839 gir1.2-geocodeglib-2.0             	     117        0        0        0      117
 6840 hunspell-it                        	     117        0        0        0      117
 6841 kicad-footprints                   	     117        0        0        0      117
 6842 kicad-symbols                      	     117        0        0        0      117
 6843 libbusiness-ismn-perl              	     117        1      115        1        0
 6844 libcdio-paranoia1                  	     117        0        0        0      117
 6845 libdatetime-calendar-julian-perl   	     117        2      114        1        0
 6846 libdirectfb-1.2-9                  	     117        0        0        0      117
 6847 libept1.6.0t64                     	     117        0        2        0      115
 6848 libfuture-asyncawait-perl          	     117        0        0        0      117
 6849 libgts-0.7-5t64                    	     117        0        0        0      117
 6850 libgupnp-dlna-2.0-3                	     117        0        0        0      117
 6851 libkf5plotting5                    	     117        0        1        0      116
 6852 libkf5sysguard-bin                 	     117        2      103        2       10
 6853 liblastfm5-1                       	     117        6       12        0       99
 6854 libmono-sharpzip4.84-cil           	     117        0      115        2        0
 6855 libpostproc54                      	     117        0        0        0      117
 6856 libpython3.13                      	     117        8        3        1      105
 6857 libtie-cycle-perl                  	     117        1      115        1        0
 6858 libtss2-sys1t64                    	     117        3       18        2       94
 6859 libtss2-tcti-cmd0t64               	     117        0        0        0      117
 6860 libtss2-tcti-device0t64            	     117        0        1        0      116
 6861 libtss2-tcti-mssim0t64             	     117        0        0        0      117
 6862 libtss2-tcti-swtpm0t64             	     117        0        0        0      117
 6863 libunicode-string-perl             	     117        0        0        0      117
 6864 linux-image-5.10.0-28-amd64        	     117        2      114        0        1
 6865 python-idna                        	     117        5      112        0        0
 6866 python3-itsdangerous               	     117        2      113        2        0
 6867 python3-pivy                       	     117        3      107        7        0
 6868 python3-pyqt5.qtxmlpatterns        	     117        1      115        1        0
 6869 python3-qrcode                     	     117        3      112        2        0
 6870 python3-wheel-whl                  	     117        0        0        0      117
 6871 rlwrap                             	     117        5      109        3        0
 6872 tcsh                               	     117       19       96        2        0
 6873 biber                              	     116        5      110        1        0
 6874 gperf                              	     116        1      114        1        0
 6875 gutenprint-locales                 	     116        0        0        0      116
 6876 hunspell-fr                        	     116        0        0        0      116
 6877 hunspell-fr-classical              	     116        0        0        0      116
 6878 libautovivification-perl           	     116        0        0        0      116
 6879 libboost-context1.74.0             	     116       17       19        0       80
 6880 libclang-common-11-dev             	     116        2      113        0        1
 6881 libencode-eucjpms-perl             	     116        0        0        0      116
 6882 libencode-jis2k-perl               	     116        0        0        0      116
 6883 libexo-helpers                     	     116        0        0        0      116
 6884 libfolks26                         	     116        1        1        0      114
 6885 libmygpo-qt5-1                     	     116        6       12        0       98
 6886 libpsl-dev                         	     116        0      114        2        0
 6887 libqalculate20-data                	     116        0        0        0      116
 6888 libqdbm14                          	     116        2        6        0      108
 6889 libqt4-dbus                        	     116        0        0        0      116
 6890 libtext-bibtex-perl                	     116        0        0        0      116
 6891 libtss2-esys-3.0.2-0t64            	     116        3       17        2       94
 6892 libx265-215                        	     116       46       25        5       40
 6893 linux-headers-6.1.0-30-amd64       	     116        5      110        1        0
 6894 linux-image-5.10.0-26-amd64        	     116        1      114        0        1
 6895 mate-indicator-applet-common       	     116        0        0        0      116
 6896 pmount                             	     116        8      108        0        0
 6897 python3-odf                        	     116        1      113        2        0
 6898 spamassassin                       	     116       29       86        1        0
 6899 clementine                         	     115       10      102        3        0
 6900 darkpurpy-icon-theme               	     115        0        0        0      115
 6901 dovecot-imapd                      	     115       29       86        0        0
 6902 firmware-intelwimax                	     115        0      114        0        1
 6903 fonts-tlwg-garuda                  	     115        0        0        0      115
 6904 golang-1.19-go                     	     115        3      112        0        0
 6905 golang-1.19-src                    	     115        1      114        0        0
 6906 kicad-templates                    	     115        0        0        0      115
 6907 liba52-0.7.4-dev                   	     115        6      109        0        0
 6908 libasprintf-dev                    	     115        2      113        0        0
 6909 libboost-test1.74-dev              	     115        0        0        0      115
 6910 libjcommander-java                 	     115        0        0        0      115
 6911 libjs-jquery-metadata              	     115        0        0        0      115
 6912 libjs-jquery-tablesorter           	     115        0        0        0      115
 6913 liblingua-translit-perl            	     115        4      110        1        0
 6914 libmikmod-dev                      	     115        6      109        0        0
 6915 libmxml1                           	     115        2        3        0      110
 6916 libopenal-dev                      	     115        1      114        0        0
 6917 libpoppler-cpp1                    	     115        0        0        0      115
 6918 libprotobuf-lite17                 	     115        0        0        0      115
 6919 libqt6shadertools6                 	     115        9       16        1       89
 6920 libruby2.5                         	     115       12      103        0        0
 6921 libtag2                            	     115       22        9        1       83
 6922 manpages-it                        	     115        0        0        0      115
 6923 php7.4-common                      	     115       37       78        0        0
 6924 psf-unifont                        	     115        0        0        0      115
 6925 python3-doc                        	     115        0        0        0      115
 6926 python3-unidecode                  	     115        0      112        3        0
 6927 vlan                               	     115        8      107        0        0
 6928 apt-xapian-index                   	     114       20       93        1        0
 6929 bind9utils                         	     114        6       27        0       81
 6930 fancontrol                         	     114        6      107        1        0
 6931 freeipmi-common                    	     114        0        0        0      114
 6932 gir1.2-rest-1.0                    	     114        0        1        0      113
 6933 gir1.2-tracker-3.0                 	     114        0        0        0      114
 6934 kicad-libraries                    	     114        0        0        0      114
 6935 libarchive-tools                   	     114        7      104        3        0
 6936 libboost-random1.74.0              	     114        0        2        0      112
 6937 libedataserverui4-1.0-0            	     114        2       13        3       96
 6938 libfaudio0                         	     114        0        0        0      114
 6939 libgnome-bluetooth13               	     114        1        6        0      107
 6940 libhivex0                          	     114        0        0        0      114
 6941 libpoppler64                       	     114        0        0        0      114
 6942 libqalculate20                     	     114        5       10        0       99
 6943 libreoffice-help-ru                	     114        0        0        0      114
 6944 libshumate-1.0-1                   	     114        0        0        0      114
 6945 libshumate-common                  	     114        0        0        0      114
 6946 mate-indicator-applet              	     114        0        0        0      114
 6947 mate-tweak                         	     114        5      103        6        0
 6948 mkvtoolnix                         	     114        5      104        5        0
 6949 python-debianbts                   	     114        5       59        0       50
 6950 python3-mccabe                     	     114        2      108        4        0
 6951 qml-module-qtquick-extras          	     114        0        0        0      114
 6952 ruby-pkg-config                    	     114        5      109        0        0
 6953 t1-teams                           	     114        0        1        0      113
 6954 texlive-lang-european              	     114        0        0        0      114
 6955 xdg-desktop-portal-gnome           	     114       19       87        8        0
 6956 docker-compose                     	     113        6      100        5        2
 6957 fonts-tlwg-mono                    	     113        0        0        0      113
 6958 fonts-tlwg-purisa                  	     113        0        0        0      113
 6959 freecad-python3                    	     113        4      106        3        0
 6960 gedit-plugin-session-saver         	     113        0        0        0      113
 6961 gir1.2-shumate-1.0                 	     113        0        0        0      113
 6962 gnumeric-doc                       	     113        0        0        0      113
 6963 icedtea-netx                       	     113        3       89        0       21
 6964 lacheck                            	     113        1      111        1        0
 6965 libboost-log1.74.0                 	     113        0        4        0      109
 6966 libfolks-eds26                     	     113        1        0        0      112
 6967 libgirara-gtk3-3                   	     113        2        8        0      103
 6968 libmaa4                            	     113       13       19        0       81
 6969 libqt5svg5-dev                     	     113        2      111        0        0
 6970 libspiro1                          	     113        0        0        0      113
 6971 libxcb-dri2-0-dev                  	     113        2      111        0        0
 6972 libxine2-bin                       	     113        2      106        5        0
 6973 maint-guide-it                     	     113        0        0        0      113
 6974 postgresql-client-13               	     113       14       97        2        0
 6975 python3-coverage                   	     113        3      107        3        0
 6976 python3-et-xmlfile                 	     113        1      112        0        0
 6977 python3-gdbm                       	     113        2      102        1        8
 6978 python3-jaraco.text                	     113        7       98        8        0
 6979 python3-openpyxl                   	     113        2      111        0        0
 6980 redshift-gtk                       	     113       15       94        4        0
 6981 spamc                              	     113       15       96        2        0
 6982 task-italian                       	     113        0        0        0      113
 6983 texlive-lang-french                	     113        1      107        3        2
 6984 unifont                            	     113        0        0        0      113
 6985 firmware-mediatek                  	     112        4       94       14        0
 6986 fontforge-common                   	     112        0        0        0      112
 6987 fonts-sil-padauk                   	     112        2        1        0      109
 6988 fonts-tlwg-kinnari                 	     112        0        0        0      112
 6989 fonts-tlwg-laksaman                	     112        0        0        0      112
 6990 fonts-tlwg-loma                    	     112        0        0        0      112
 6991 fonts-tlwg-norasi                  	     112        0        0        0      112
 6992 ifrench-gut                        	     112        2      104        6        0
 6993 latexdiff                          	     112        3      108        1        0
 6994 ldmtool                            	     112        6      103        3        0
 6995 libboost-regex-dev                 	     112        0        0        0      112
 6996 libcryptsetup4                     	     112        0        0        0      112
 6997 libjna-platform-java               	     112        0        0        0      112
 6998 libjs-jquery-mousewheel            	     112        0        0        0      112
 6999 libmariadb-dev-compat              	     112        4       97       11        0
 7000 libqt5remoteobjects5               	     112        1        1        0      110
 7001 libroc0.4                          	     112        0        0        0      112
 7002 libsvn-perl                        	     112        0        0        0      112
 7003 libtheoradec1                      	     112       43       27        6       36
 7004 libtokyocabinet9t64                	     112        3        1        0      108
 7005 libtumbler-1-0t64                  	     112        0       10        1      101
 7006 linux-headers-6.1.0-27-common      	     112        1      111        0        0
 7007 netfilter-persistent               	     112       11      100        1        0
 7008 python3-dockerpty                  	     112        2      105        5        0
 7009 python3-send2trash                 	     112        5      103        4        0
 7010 qml6-module-qtquick-shapes         	     112        2        5        0      105
 7011 ruby-json                          	     112        2       83        1       26
 7012 task-lxde-desktop                  	     112        0        0        0      112
 7013 tcllib                             	     112        5      106        1        0
 7014 v4l-utils                          	     112        8      102        2        0
 7015 vivaldi-stable                     	     112       12       85       15        0
 7016 xfce4-mount-plugin                 	     112        2       10        0      100
 7017 xxkb                               	     112        3       97       12        0
 7018 extra-cmake-modules                	     111        0        0        0      111
 7019 festival                           	     111        5      103        3        0
 7020 filelight                          	     111        3      103        5        0
 7021 firmware-intel-sound               	     111        4      105        2        0
 7022 fluidsynth                         	     111        3      104        4        0
 7023 fonts-arphic-gbsn00lp              	     111        3        0        0      108
 7024 fonts-tlwg-sawasdee                	     111        0        0        0      111
 7025 fonts-tlwg-typewriter              	     111        0        0        0      111
 7026 fonts-tlwg-typist                  	     111        0        0        0      111
 7027 fonts-tlwg-typo                    	     111        0        0        0      111
 7028 fonts-tlwg-umpush                  	     111        0        0        0      111
 7029 geany-plugins-common               	     111        0        0        0      111
 7030 gedit-plugin-text-size             	     111        0        0        0      111
 7031 hplip-gui                          	     111       10      101        0        0
 7032 libcap-dev                         	     111        2      107        2        0
 7033 libcfitsio10t64                    	     111        0        1        0      110
 7034 libgirepository1.0-dev             	     111        0      100        2        9
 7035 libglibmm-2.68-1                   	     111        6       18        0       87
 7036 libgwenhywfar-data                 	     111        2        1        0      108
 7037 liblivemedia64                     	     111        0        0        0      111
 7038 libokular5core9                    	     111        0        4        0      107
 7039 libserialport0                     	     111        1        1        0      109
 7040 libtesseract4                      	     111        1        4        0      106
 7041 libtheoraenc1                      	     111       43       26        6       36
 7042 php7.4-cli                         	     111       33       77        1        0
 7043 php7.4-json                        	     111       37       74        0        0
 7044 php7.4-opcache                     	     111       37       74        0        0
 7045 php7.4-readline                    	     111       37       74        0        0
 7046 python-odf-tools                   	     111        3      106        2        0
 7047 qttools5-dev                       	     111        2      106        3        0
 7048 supermin                           	     111        5      101        5        0
 7049 tidy                               	     111        5      103        3        0
 7050 ttf-dejavu-core                    	     111        0        0        0      111
 7051 acpitool                           	     110        4      106        0        0
 7052 bleachbit                          	     110        7      100        3        0
 7053 fonts-arphic-gkai00mp              	     110        9       19        0       82
 7054 giblib1                            	     110        0        0        0      110
 7055 krita-gmic                         	     110        3      106        1        0
 7056 libadwaitaqt1                      	     110        1        9        0      100
 7057 libadwaitaqtpriv1                  	     110        1        9        0      100
 7058 libavalon-framework-java           	     110        0        0        0      110
 7059 libbamf3-2                         	     110        6       14        0       90
 7060 libcommons-lang-java               	     110        0        0        0      110
 7061 libdata-perl-perl                  	     110        5      105        0        0
 7062 libexo-1-0                         	     110        0        0        0      110
 7063 libldap-dev                        	     110        1      102        7        0
 7064 libmono-i18n-cjk4.0-cil            	     110        0      108        2        0
 7065 libmono-i18n-mideast4.0-cil        	     110        0      108        2        0
 7066 libmono-i18n-other4.0-cil          	     110        0      108        2        0
 7067 libmono-i18n-rare4.0-cil           	     110        0      108        2        0
 7068 libmono-i18n4.0-all                	     110        0        0        0      110
 7069 libmono-system-runtime4.0-cil      	     110        0      108        2        0
 7070 libmoox-handlesvia-perl            	     110        5      105        0        0
 7071 libnftables0                       	     110        0        0        0      110
 7072 libotf0                            	     110        3        3        0      104
 7073 libxcomp3                          	     110        3        4        0      103
 7074 linux-headers-6.1.0-27-amd64       	     110        1      109        0        0
 7075 python-reportbug                   	     110        3      104        1        2
 7076 python3-png                        	     110        0      108        2        0
 7077 ruby-locale                        	     110       24       86        0        0
 7078 texlive-lang-spanish               	     110        0        0        0      110
 7079 xinetd                             	     110       45       65        0        0
 7080 asymptote                          	     109        3      100        6        0
 7081 chktex                             	     109        2      106        1        0
 7082 fonts-arphic-bsmi00lp              	     109        4        0        0      105
 7083 fonts-gfs-didot-classic            	     109        0        0        0      109
 7084 fonts-tlwg-garuda-otf              	     109        2        0        0      107
 7085 fonts-unfonts-extra                	     109        0        1        0      108
 7086 libboost-program-options-dev       	     109        0        0        0      109
 7087 libfile-readbackwards-perl         	     109        8      100        1        0
 7088 libmate-sensors-applet-plugin0     	     109        9       17        0       83
 7089 libmodule-runtime-conflicts-perl   	     109        4      105        0        0
 7090 libp8-platform2                    	     109        5       10        0       94
 7091 libplacebo7                        	     109        0        0        0      109
 7092 librewolf                          	     109       18       70       21        0
 7093 libsaxon-java                      	     109        2      105        2        0
 7094 libspatialindex6                   	     109        2        5        0      102
 7095 libxine2-ffmpeg                    	     109        1        5        0      103
 7096 libxkbfile-dev                     	     109        1      107        1        0
 7097 linux-headers-6.1.0-23-common      	     109        1      108        0        0
 7098 mate-sensors-applet-common         	     109        7        9        0       93
 7099 python-odf-doc                     	     109        0        0        0      109
 7100 ruby-gettext                       	     109       24       85        0        0
 7101 ruby-text                          	     109        5      103        1        0
 7102 task-french                        	     109        0        0        0      109
 7103 x11proto-kb-dev                    	     109        2       43        0       64
 7104 antlr                              	     108        3      104        1        0
 7105 asymptote-doc                      	     108        0        0        0      108
 7106 debian-reference-it                	     108        0        0        0      108
 7107 evtest                             	     108        5       99        3        1
 7108 hunspell                           	     108        1      106        1        0
 7109 iptables-persistent                	     108        1       75        3       29
 7110 kicad-demos                        	     108        0        0        0      108
 7111 ksysguard                          	     108        2      104        2        0
 7112 ksysguard-data                     	     108        0        5        0      103
 7113 libaqbanking-data                  	     108        2        1        0      105
 7114 libavif-dev                        	     108        1      105        2        0
 7115 libclass-load-xs-perl              	     108        0        0        0      108
 7116 libgcr-3-common                    	     108        0        0        0      108
 7117 libgles2-mesa                      	     108        0        0        0      108
 7118 libgraphviz-dev                    	     108        1      105        2        0
 7119 libgutenprint2                     	     108        0        0        0      108
 7120 libjs-requirejs                    	     108        0        0        0      108
 7121 libk3b7                            	     108        0        0        0      108
 7122 libldm-1.0-0                       	     108        0        0        0      108
 7123 libmono-system-runtime-serialization4.0-cil	     108        3      103        2        0
 7124 libmoose-perl                      	     108        0        0        0      108
 7125 libqt5opengl5t64                   	     108        1        2        0      105
 7126 libtirpc1                          	     108        0        0        0      108
 7127 libxshmfence-dev                   	     108        3      105        0        0
 7128 libzeitgeist-2.0-0                 	     108        9       31        0       68
 7129 linux-image-6.1.0-13-amd64         	     108        1      105        0        2
 7130 lua-filesystem                     	     108        7        4        0       97
 7131 mate-sensors-applet                	     108        9       17        0       82
 7132 python3-jmespath                   	     108        0      107        1        0
 7133 python3-yarl                       	     108       19       83        6        0
 7134 qtscript5-dev                      	     108        2      106        0        0
 7135 torbrowser-launcher                	     108       10       96        2        0
 7136 ufraw-batch                        	     108        4      104        0        0
 7137 emacs-nox                          	     107       13       77       16        1
 7138 fonts-gfs-bodoni-classic           	     107        0        0        0      107
 7139 fonts-gfs-gazis                    	     107        0        0        0      107
 7140 fonts-gfs-theokritos               	     107        0        0        0      107
 7141 fonts-tlwg-kinnari-otf             	     107        2        2        0      103
 7142 fonts-tlwg-laksaman-otf            	     107        2        1        0      104
 7143 fonts-tlwg-loma-otf                	     107        4        8        0       95
 7144 fonts-tlwg-mono-otf                	     107        1        0        0      106
 7145 fonts-tlwg-norasi-otf              	     107        1        0        0      106
 7146 fonts-tlwg-purisa-otf              	     107        1        0        0      106
 7147 gnome-text-editor                  	     107        2       89       16        0
 7148 libarchive-dev                     	     107        0      104        3        0
 7149 libgegl-0.4-0t64                   	     107        0        1        0      106
 7150 libgvc6-plugins-gtk                	     107        0        2        0      105
 7151 libmedc11                          	     107        0        1        0      106
 7152 libmono-system-xml-linq4.0-cil     	     107        2      103        2        0
 7153 libsoqt520                         	     107        0        0        0      107
 7154 libsox-fmt-mp3                     	     107        1        0        0      106
 7155 libvlc-dev                         	     107        2      103        2        0
 7156 normalize-audio                    	     107        4       96        7        0
 7157 pyqt5-dev-tools                    	     107        4      100        3        0
 7158 python3-flask                      	     107        4      102        1        0
 7159 qml6-module-qtqml                  	     107        0        0        0      107
 7160 autoconf-archive                   	     106        0        0        0      106
 7161 caja-extensions-common             	     106       21       41        1       43
 7162 calculix-ccx                       	     106        0      104        2        0
 7163 command-not-found                  	     106       17       89        0        0
 7164 festlex-cmu                        	     106        0        0        0      106
 7165 festlex-poslex                     	     106        0        0        0      106
 7166 fonts-dustin                       	     106        2        2        0      102
 7167 fonts-tlwg-sawasdee-otf            	     106        1        0        0      105
 7168 fonts-tlwg-typewriter-otf          	     106        1        0        0      105
 7169 fonts-tlwg-typist-otf              	     106        1        0        0      105
 7170 fonts-tlwg-typo-otf                	     106        3        1        0      102
 7171 fonts-tlwg-umpush-otf              	     106        3        3        0      100
 7172 fonts-tlwg-waree-otf               	     106        3        1        0      102
 7173 libboost-date-time-dev             	     106        0        0        0      106
 7174 libdevel-overloadinfo-perl         	     106        4      102        0        0
 7175 libgmime-3.0-0                     	     106        7       13        0       86
 7176 libgnomeui-common                  	     106        1        0        0      105
 7177 libgudev-1.0-dev                   	     106        0      105        1        0
 7178 libkwin4-effect-builtins1          	     106       16       30        0       60
 7179 libmono-system-servicemodel-internals0.0-cil	     106        0      104        2        0
 7180 libpmix-dev                        	     106        0        0        0      106
 7181 librecad-data                      	     106        2        1        0      103
 7182 libssh2-1-dev                      	     106        1      104        1        0
 7183 libtext-soundex-perl               	     106        0        0        0      106
 7184 libwxbase3.2-1t64                  	     106        2        2        1      101
 7185 linux-headers-6.1.0-23-amd64       	     106        1      105        0        0
 7186 mpack                              	     106        7       98        1        0
 7187 mpg321                             	     106       10       96        0        0
 7188 openjfx                            	     106        0        0        0      106
 7189 python-gi-cairo                    	     106        5      100        1        0
 7190 python3-pandas                     	     106        2      104        0        0
 7191 python3-pandas-lib                 	     106        3      100        3        0
 7192 python3-pyqt5.qtchart              	     106        0      105        1        0
 7193 python3-pyqt5.qtserialport         	     106        2      103        1        0
 7194 python3-zope.event                 	     106       40       65        1        0
 7195 task-cyrillic-desktop              	     106        0        0        0      106
 7196 unixodbc-dev                       	     106        3      102        1        0
 7197 adwaita-qt                         	     105        1        9        0       95
 7198 gtkhash                            	     105        2       97        6        0
 7199 ipcalc                             	     105        4      100        1        0
 7200 kf5-kdepim-apps-libs-data          	     105        0        0        0      105
 7201 libeatmydata1                      	     105        1        0        0      104
 7202 libfinance-quote-perl              	     105        5       97        3        0
 7203 libfontforge4                      	     105        0        0        0      105
 7204 libhtml-treebuilder-xpath-perl     	     105        6       97        2        0
 7205 libk3b7-extracodecs                	     105        0        0        0      105
 7206 libmagickcore-6.q16-7t64           	     105        1        1        0      103
 7207 libmagickwand-6.q16-7t64           	     105        1        1        0      103
 7208 libofx7                            	     105        5        1        0       99
 7209 libqt4-network                     	     105        0        1        0      104
 7210 libzlcore-data                     	     105        0        0        0      105
 7211 pdfarranger                        	     105        8       91        6        0
 7212 python-dateutil                    	     105        2      101        1        1
 7213 python-pycurl                      	     105        8       96        1        0
 7214 python-pygments                    	     105        3      101        1        0
 7215 python3-pyqt5.qtremoteobjects      	     105        1      103        1        0
 7216 python3-pyqt5.qtsensors            	     105        1      103        1        0
 7217 python3-pyqt5.qttexttospeech       	     105        1      103        1        0
 7218 python3.11-doc                     	     105        0        0        0      105
 7219 rrdtool                            	     105       13       89        3        0
 7220 selinux-utils                      	     105        4      100        1        0
 7221 systemd-standalone-tmpfiles        	     105       10       87        8        0
 7222 clang-11                           	     104        1      103        0        0
 7223 debian-goodies                     	     104       10       91        3        0
 7224 firmware-nvidia-graphics           	     104        6       86       12        0
 7225 kolourpaint                        	     104        6       94        4        0
 7226 libaudio-scrobbler-perl            	     104        9       94        1        0
 7227 libcxsparse3                       	     104        0        0        0      104
 7228 libdleyna-connector-dbus-1.0-1     	     104        0        0        0      104
 7229 libmpfr-dev                        	     104        7       97        0        0
 7230 libncursesw5-dev                   	     104        0        5        0       99
 7231 libopenjpeg5                       	     104        0        1        0      103
 7232 libots0                            	     104        0       10        0       94
 7233 libpython3.5                       	     104        0        0        0      104
 7234 librecad                           	     104        6       98        0        0
 7235 librygel-core-2.6-2                	     104        0        0        0      104
 7236 librygel-db-2.6-2                  	     104        0        0        0      104
 7237 libwxgtk3.2-1t64                   	     104        2        2        1       99
 7238 libxine2-misc-plugins              	     104        1        5        0       98
 7239 llvm-11-runtime                    	     104        3       98        1        2
 7240 mozo                               	     104        6       91        7        0
 7241 net.downloadhelper.coapp           	     104        1       55        0       48
 7242 p7zip-rar                          	     104        4       88        0       12
 7243 python3-aiohttp                    	     104       17       81        6        0
 7244 shellcheck                         	     104        7       95        2        0
 7245 task-russian-desktop               	     104        0        0        0      104
 7246 tigervnc-standalone-server         	     104       13       90        1        0
 7247 vim-scripts                        	     104        4       98        2        0
 7248 abiword-common                     	     103        0        0        0      103
 7249 cmst                               	     103        6       90        7        0
 7250 eatmydata                          	     103        6       95        2        0
 7251 fastjar                            	     103        5       98        0        0
 7252 fluxbox                            	     103       14       88        1        0
 7253 libcryptx-perl                     	     103        4        4        0       95
 7254 libkdecorations2private7           	     103       16       30        0       57
 7255 libmail-authenticationresults-perl 	     103        7       96        0        0
 7256 libqgpgme7                         	     103        2        6        0       95
 7257 libspooles2.2                      	     103        0        0        0      103
 7258 libxnnpack0                        	     103        0        0        0      103
 7259 libzxingcore1                      	     103        0        2        0      101
 7260 software-properties-kde            	     103        2       99        2        0
 7261 containerd.io                      	     102       29       72        1        0
 7262 docbook                            	     102        0        0        0      102
 7263 freetds-common                     	     102        0        0        0      102
 7264 gvfs-bin                           	     102        1      101        0        0
 7265 libalut0                           	     102        0        0        0      102
 7266 libastyle3                         	     102        0        2        0      100
 7267 libboost-thread-dev                	     102        0        0        0      102
 7268 libconfig-general-perl             	     102        6       96        0        0
 7269 libenet7                           	     102        0        2        0      100
 7270 libfop-java                        	     102        0        0        0      102
 7271 libglib2.0-doc                     	     102        0        0        0      102
 7272 libglyr1                           	     102        1        0        0      101
 7273 libgovirt-common                   	     102        0        0        0      102
 7274 libgovirt2                         	     102        0        0        0      102
 7275 libguestfs0                        	     102        0        0        0      102
 7276 libgweather-3-16                   	     102        2       27        1       72
 7277 liblog-message-perl                	     102        2      100        0        0
 7278 liblog-message-simple-perl         	     102        2      100        0        0
 7279 libmusicbrainz-discid-perl         	     102        0        0        0      102
 7280 libprotobuf17                      	     102        0        1        0      101
 7281 libstd-rust-dev                    	     102        0        0        0      102
 7282 libwebservice-musicbrainz-perl     	     102        5       95        2        0
 7283 libyaml-snake-java                 	     102        0        0        0      102
 7284 libzltext-data                     	     102        0        0        0      102
 7285 link-grammar-dictionaries-en       	     102        2       94        3        3
 7286 llvm-11                            	     102        3       98        1        0
 7287 manpages-fr                        	     102        0        0        0      102
 7288 metacity                           	     102        5       87       10        0
 7289 policycoreutils                    	     102       20       82        0        0
 7290 purifyeps                          	     102        2       99        1        0
 7291 python3-isort                      	     102        2       93        7        0
 7292 python3-jdcal                      	     102        7       95        0        0
 7293 stellarium-data                    	     102        0        0        0      102
 7294 texlive-games                      	     102        1       99        2        0
 7295 texlive-metapost-doc               	     102        1       99        2        0
 7296 cd-discid                          	     101        2       97        2        0
 7297 default-libmysqlclient-dev         	     101        0        0        0      101
 7298 evemu-tools                        	     101        3       94        3        1
 7299 fbreader                           	     101        4       96        1        0
 7300 festvox-kallpc16k                  	     101        0        0        0      101
 7301 ghc                                	     101        5       91        5        0
 7302 libasprintf0v5                     	     101        0        0        0      101
 7303 libaubio5                          	     101        0        0        0      101
 7304 libavif9                           	     101       22       46        0       33
 7305 libdevel-partialdump-perl          	     101        3       98        0        0
 7306 libfreeipmi17                      	     101        4        7        0       90
 7307 libgav1-0                          	     101       22       46        0       33
 7308 libindi-data                       	     101        0        0        0      101
 7309 libkasten4controllers0             	     101        0        0        0      101
 7310 libkasten4core0                    	     101        0        0        0      101
 7311 libkasten4gui0                     	     101        0        0        0      101
 7312 libkasten4okteta2controllers0      	     101        0        0        0      101
 7313 libkasten4okteta2core0             	     101        0        0        0      101
 7314 libkasten4okteta2gui0              	     101        0        0        0      101
 7315 liblz4-dev                         	     101        3       94        4        0
 7316 libmagickcore-6.q16-7-extra        	     101        0        0        0      101
 7317 libmariadbclient18                 	     101        1        0        0      100
 7318 libokteta-l10n                     	     101        0        0        0      101
 7319 libokteta3core0                    	     101        0        0        0      101
 7320 libokteta3gui0                     	     101        0        0        0      101
 7321 libswscale7                        	     101        4        8        0       89
 7322 libyaml-cpp0.6                     	     101        2        5        0       94
 7323 llvm-runtime                       	     101        4       92        5        0
 7324 php-psr-log                        	     101       12       89        0        0
 7325 qt-at-spi                          	     101        0        0        0      101
 7326 scour                              	     101        1      100        0        0
 7327 stellarium                         	     101        5       96        0        0
 7328 terminator                         	     101       17       81        3        0
 7329 texlive-lang-cjk                   	     101        1       95        4        1
 7330 texlive-lang-cyrillic              	     101        2       96        3        0
 7331 tk8.6-dev                          	     101        3       96        2        0
 7332 debconf-utils                      	     100        8       90        2        0
 7333 dleyna-server                      	     100        2       96        2        0
 7334 docker-ce                          	     100       30       68        2        0
 7335 docker-ce-cli                      	     100       15       77        8        0
 7336 dvidvi                             	     100        1       98        1        0
 7337 elfutils                           	     100        4       92        4        0
 7338 imagemagick-7.q16                  	     100       13       75       12        0
 7339 libcoarrays-openmpi-dev            	     100        0        0        0      100
 7340 libencode-eucjpascii-perl          	     100        0        0        0      100
 7341 libjson-parse-perl                 	     100        0        0        0      100
 7342 libkf5alarmcalendar-data           	     100        0        0        0      100
 7343 libkf6windowsystem-data            	     100       15       11        4       70
 7344 libkmlconvenience1                 	     100        0        0        0      100
 7345 libkmlregionator1                  	     100        0        0        0      100
 7346 libmspack0t64                      	     100       23       12        2       63
 7347 libpth20                           	     100        1        1        0       98
 7348 libreoffice-uiconfig-base          	     100        3       71       26        0
 7349 libsdl-mixer1.2-dev                	     100        1       99        0        0
 7350 libspreadsheet-xlsx-perl           	     100        6       92        2        0
 7351 libsys-virt-perl                   	     100        0        0        0      100
 7352 libtagsoup-java                    	     100        0        0        0      100
 7353 libupnp6                           	     100        1        2        0       97
 7354 libxmmsclient6                     	     100        1        3        0       96
 7355 minisat                            	     100        5       93        2        0
 7356 nethogs                            	     100        4       96        0        0
 7357 nextcloud-desktop-common           	     100        0        0        0      100
 7358 nextcloud-desktop-l10n             	     100       16       36        1       47
 7359 python-tdb                         	     100        4       95        1        0
 7360 python3-fastjsonschema             	     100        1       97        2        0
 7361 sa-compile                         	     100       10       88        2        0
 7362 texlive-lang-other                 	     100        0        7        0       93
 7363 wl-clipboard                       	     100        2       94        4        0
 7364 xmlto                              	     100        2       97        1        0
 7365 abiword                            	      99        5       91        3        0
 7366 alsa-tools-gui                     	      99        2       95        2        0
 7367 arp-scan                           	      99        5       94        0        0
 7368 criu                               	      99        8       82        9        0
 7369 device-tree-compiler               	      99        4       93        2        0
 7370 isc-dhcp-server                    	      99       26       73        0        0
 7371 latex-cjk-japanese-wadalab         	      99        0        0        0       99
 7372 libabiword-3.0                     	      99        0        1        0       98
 7373 libaqbanking44                     	      99        5        1        0       93
 7374 libavformat60                      	      99        4        7        0       88
 7375 libcec6                            	      99        3       10        0       86
 7376 libglib2.0-cil                     	      99        0        1        0       98
 7377 libhtml-selector-xpath-perl        	      99        6       91        2        0
 7378 libkf6config-data                  	      99       10        8        1       80
 7379 libkmlxsd1                         	      99        0        0        0       99
 7380 libleveldb1d                       	      99        2        6        0       91
 7381 libllvm3.9                         	      99        0        0        0       99
 7382 libmagickcore-6.q16-3-extra        	      99        0        0        0       99
 7383 libmono-microsoft-csharp4.0-cil    	      99        0       96        3        0
 7384 libmonosgen-2.0-1                  	      99        0        0        0       99
 7385 libqt5concurrent5t64               	      99        7       12        0       80
 7386 libqt6uitools6                     	      99        0        0        0       99
 7387 libsodium18                        	      99        0        0        0       99
 7388 libterm-ui-perl                    	      99        2       97        0        0
 7389 libwebpdecoder3                    	      99        7        4        0       88
 7390 libwin-hivex-perl                  	      99        2       92        5        0
 7391 libzita-convolver4                 	      99        3        5        0       91
 7392 linux-image-6.1.0-20-amd64         	      99        0       99        0        0
 7393 llvm                               	      99        5       89        5        0
 7394 llvm-11-tools                      	      99        3       94        1        1
 7395 nvidia-detect                      	      99        2       88        9        0
 7396 python-ldb                         	      99        9       89        1        0
 7397 python-tables-data                 	      99        0        0        0       99
 7398 python3-asgiref                    	      99        1       96        2        0
 7399 qt6-svg-plugins                    	      99       25       19        6       49
 7400 texlive-humanities                 	      99        2       94        2        1
 7401 docbook-dsssl                      	      98        1       96        1        0
 7402 easytag                            	      98        8       83        7        0
 7403 faad                               	      98        3       89        6        0
 7404 grim                               	      98        3       92        3        0
 7405 libarchive-extract-perl            	      98        2       96        0        0
 7406 libboost-coroutine1.74.0           	      98       16       17        0       65
 7407 libc-client2007e                   	      98       14       23        0       61
 7408 libcamel-1.2-64t64                 	      98       25       26        4       43
 7409 libcommons-exec-java               	      98        0        0        0       98
 7410 libforms2                          	      98        0        0        0       98
 7411 libhashkit2                        	      98       22       29        1       46
 7412 libical3t64                        	      98       14       30        2       52
 7413 libjackson2-annotations-java       	      98        0        0        0       98
 7414 libjline2-java                     	      98        0        0        0       98
 7415 libkf6notifications-data           	      98       13       10        4       71
 7416 libkf6windowsystem6                	      98       24       26        6       42
 7417 libntfs-3g871                      	      98        0        0        0       98
 7418 libpcap0.8-dev                     	      98        2       93        3        0
 7419 libsgmls-perl                      	      98        1       96        1        0
 7420 libudev0                           	      98        1        0        0       97
 7421 libweb-scraper-perl                	      98        6       90        2        0
 7422 lyx-common                         	      98        0        0        0       98
 7423 openjdk-21-jre-headless            	      98        5       86        7        0
 7424 python-pyasn1                      	      98        5       93        0        0
 7425 python3-logilab-common             	      98       21       75        2        0
 7426 python3-numexpr                    	      98        3       92        3        0
 7427 python3-pyside2.qtnetwork          	      98        4       91        3        0
 7428 texlive-lang-chinese               	      98        0        0        0       98
 7429 texlive-lang-japanese              	      98        2       92        4        0
 7430 texlive-lang-polish                	      98       10       87        1        0
 7431 vim-nox                            	      98        9       86        3        0
 7432 yasm                               	      98        3       95        0        0
 7433 clamav-daemon                      	      97       36       60        1        0
 7434 fish                               	      97        6       88        3        0
 7435 fish-common                        	      97        3       16        0       78
 7436 gcc-doc-base                       	      97        0        0        0       97
 7437 gimp-gutenprint                    	      97        0       97        0        0
 7438 gnome-initial-setup                	      97        1       80       16        0
 7439 gnugo                              	      97        2       94        1        0
 7440 lcdf-typetools                     	      97        2       94        1        0
 7441 libcares2                          	      97        9       15        1       72
 7442 libdebuginfod1t64                  	      97        0        0        0       97
 7443 libestools2.5                      	      97        0        1        0       96
 7444 libgcc-11-dev                      	      97        0        0        0       97
 7445 libglew-dev                        	      97        1       96        0        0
 7446 libgutenprintui2-2                 	      97        0        0        0       97
 7447 libimath-dev                       	      97        0       95        2        0
 7448 libjackson2-databind-java          	      97        0        0        0       97
 7449 libkf6configcore6                  	      97       24       25        4       44
 7450 libkf6coreaddons-data              	      97       22       22        4       49
 7451 libkomparediff2-5                  	      97        0        0        0       97
 7452 libmono-data-tds4.0-cil            	      97        0       95        2        0
 7453 librygel-renderer-2.6-2            	      97        0        0        0       97
 7454 librygel-server-2.6-2              	      97        0        0        0       97
 7455 libschroedinger-1.0-0              	      97        0        1        0       96
 7456 libsidplayfp5                      	      97        3        2        0       92
 7457 libxaw7-dev                        	      97        5       91        1        0
 7458 maven                              	      97       13       84        0        0
 7459 monitoring-plugins-common          	      97       10       86        1        0
 7460 nm-connection-editor               	      97       20       65       12        0
 7461 qdbus-qt6                          	      97        4       79       14        0
 7462 r-base-html                        	      97        1       90        6        0
 7463 rustc                              	      97        7       87        3        0
 7464 steam                              	      97        0       20        0       77
 7465 wsdd                               	      97       20       66       11        0
 7466 xmms2-core                         	      97        2       95        0        0
 7467 caja-open-terminal                 	      96       22       46        1       27
 7468 dcraw                              	      96        4       90        2        0
 7469 gnome-icon-theme-extras            	      96        0        0        0       96
 7470 latex-cjk-chinese-arphic-bkai00mp  	      96        0        0        0       96
 7471 latex-cjk-common                   	      96        5       90        1        0
 7472 latex-cjk-japanese                 	      96        2       92        2        0
 7473 libbtf1                            	      96        0        0        0       96
 7474 libedataserver-1.2-27t64           	      96       25       26        4       41
 7475 libgtk2.0-cil                      	      96        0        1        0       95
 7476 libgwenhywfar79                    	      96        5        1        0       90
 7477 libkf5kaddressbookgrantlee5        	      96        2        6        0       88
 7478 libkf6guiaddons-data               	      96        0        0        0       96
 7479 libkf6notifications6               	      96       22       25        4       45
 7480 libklu1                            	      96        0        0        0       96
 7481 libmono-messaging4.0-cil           	      96        0       94        2        0
 7482 libmono-system-configuration-install4.0-cil	      96        0       94        2        0
 7483 libmono-system-identitymodel-selectors4.0-cil	      96        0       94        2        0
 7484 libmono-system-identitymodel4.0-cil	      96        0       94        2        0
 7485 libmono-system-messaging4.0-cil    	      96        0       94        2        0
 7486 libmono-system-servicemodel-activation4.0-cil	      96        0       94        2        0
 7487 libmono-system-servicemodel4.0a-cil	      96        1       93        2        0
 7488 libqt5gamepad5                     	      96        2        2        0       92
 7489 librxtx-java                       	      96        0       95        1        0
 7490 llvm-11-dev                        	      96       13       82        1        0
 7491 monitoring-plugins-basic           	      96       33       62        1        0
 7492 perl-modules-5.38                  	      96        3       93        0        0
 7493 python3-astroid                    	      96        2       90        4        0
 7494 python3-frozenlist                 	      96       19       72        5        0
 7495 python3-pydot                      	      96        1       93        2        0
 7496 python3-sentry-sdk                 	      96        2       87        7        0
 7497 python3-tables                     	      96        3       93        0        0
 7498 python3-tables-lib                 	      96        1       92        3        0
 7499 screenfetch                        	      96        5       82        9        0
 7500 alacarte                           	      95        4       80       11        0
 7501 clamtk                             	      95        7       85        3        0
 7502 context                            	      95        6       88        1        0
 7503 gir1.2-gnomekeyring-1.0            	      95        1       93        1        0
 7504 gnucash                            	      95        9       83        3        0
 7505 gnucash-common                     	      95        6       87        2        0
 7506 ipmitool                           	      95        6       89        0        0
 7507 libdw-dev                          	      95        2       93        0        0
 7508 libglew2.0                         	      95        0        0        0       95
 7509 libguestfs-perl                    	      95        0        0        0       95
 7510 libguestfs-tools                   	      95        2       89        4        0
 7511 libhdf5-fortran-102                	      95        0        0        0       95
 7512 libkf5coreaddons-dev-bin           	      95        2       93        0        0
 7513 libkf6i18n-data                    	      95        7        6        1       81
 7514 libkf6widgetsaddons-data           	      95       17       20        1       57
 7515 libmono-cecil-private-cil          	      95        0       93        2        0
 7516 libparted-fs-resize0t64            	      95        2        1        0       92
 7517 librarian0                         	      95        0        0        0       95
 7518 libtiff5-dev                       	      95        0       27        0       68
 7519 libxine2                           	      95        0        0        0       95
 7520 libxine2-x                         	      95        1        4        0       90
 7521 lyx                                	      95        6       87        2        0
 7522 mono-mcs                           	      95        2       90        3        0
 7523 openconnect                        	      95       10       85        0        0
 7524 opus-tools                         	      95        1       89        5        0
 7525 php-psr-container                  	      95       11       84        0        0
 7526 php-symfony-filesystem             	      95       12       79        4        0
 7527 pylint                             	      95        4       84        7        0
 7528 python-samba                       	      95        9       86        0        0
 7529 python3-aiosignal                  	      95        1       90        4        0
 7530 tcl-dev                            	      95        1       89        5        0
 7531 texlive-lang-korean                	      95        1       90        4        0
 7532 texlive-publishers-doc             	      95        1       85        2        7
 7533 unison                             	      95        7       86        2        0
 7534 alsa-oss                           	      94        3       90        1        0
 7535 cargo                              	      94        3       87        4        0
 7536 clamdscan                          	      94       13       79        2        0
 7537 connman-gtk                        	      94        6       82        6        0
 7538 dict                               	      94        9       79        6        0
 7539 fragmaster                         	      94        1       92        1        0
 7540 freeglut3-dev                      	      94        0       27        0       67
 7541 gir1.2-xfconf-0                    	      94        0        0        0       94
 7542 glyrc                              	      94        1       89        4        0
 7543 gnome-orca                         	      94        1       52        1       40
 7544 latex-cjk-all                      	      94        0        0        0       94
 7545 latex-cjk-chinese                  	      94        2       90        2        0
 7546 latex-cjk-chinese-arphic-bsmi00lp  	      94        0        0        0       94
 7547 latex-cjk-chinese-arphic-gbsn00lp  	      94        0        0        0       94
 7548 latex-cjk-chinese-arphic-gkai00mp  	      94        0        0        0       94
 7549 latex-cjk-korean                   	      94        0        0        0       94
 7550 latex-cjk-thai                     	      94        4       89        1        0
 7551 libcaf-openmpi-3                   	      94        0        0        0       94
 7552 libcamel-1.2-62                    	      94        2       28        1       63
 7553 libcpan-changes-perl               	      94        4       90        0        0
 7554 libhdf5-hl-fortran-100             	      94        0        0        0       94
 7555 libkf5config-dev-bin               	      94        2       92        0        0
 7556 libkf6colorscheme-data             	      94        3        3        0       88
 7557 libkf6guiaddons6                   	      94       15       21        2       56
 7558 libkf6wallet-data                  	      94        3       10        1       80
 7559 libmetacity3                       	      94        1        5        0       88
 7560 libmono-microsoft-build-engine4.0-cil	      94        0       92        2        0
 7561 libmono-microsoft-build-framework4.0-cil	      94        0       92        2        0
 7562 libmono-microsoft-build-utilities-v4.0-4.0-cil	      94        0       92        2        0
 7563 libmsgraph-0-1                     	      94        0        0        0       94
 7564 libopencv-core2.4v5                	      94        0        0        0       94
 7565 libpod-markdown-perl               	      94        4       90        0        0
 7566 libtbb-dev                         	      94        1       89        4        0
 7567 libtxc-dxtn-s2tc                   	      94        0        0        0       94
 7568 libwrap0-dev                       	      94        2       89        3        0
 7569 libxine2-plugins                   	      94        0        0        0       94
 7570 libzlcore0.13                      	      94        0        0        0       94
 7571 mythes-fr                          	      94        0        0        0       94
 7572 php-symfony-service-contracts      	      94       12       81        1        0
 7573 python3-libapparmor                	      94        4       88        2        0
 7574 python3-pdfminer                   	      94        8       83        3        0
 7575 texlive-lang-italian               	      94        0        0        0       94
 7576 texlive-music                      	      94        2       89        3        0
 7577 abcde                              	      93        5       86        2        0
 7578 checkinstall                       	      93        3       90        0        0
 7579 djvulibre-bin                      	      93        4       89        0        0
 7580 flameshot                          	      93       13       75        5        0
 7581 font-manager-common                	      93        3       11        0       79
 7582 idle                               	      93        4       87        2        0
 7583 libattr1-dev                       	      93        1       91        1        0
 7584 libboost-regex1.67.0               	      93        1        0        0       92
 7585 libcrossguid0                      	      93        3       10        0       80
 7586 libcrypto++8                       	      93        2        9        1       81
 7587 libjlatexmath-java                 	      93        0        0        0       93
 7588 libkf5config-dev                   	      93        2       91        0        0
 7589 libkf5coreaddons-dev               	      93        3       90        0        0
 7590 libkf5i18n-dev                     	      93        3       90        0        0
 7591 libkf5sane-data                    	      93        0        0        0       93
 7592 libkf5windowsystem-dev             	      93        1       91        1        0
 7593 libkf6colorscheme6                 	      93       17       20        1       55
 7594 libkf6configgui6                   	      93       17       20        1       55
 7595 libkf6coreaddons6                  	      93       22       22        4       45
 7596 libkf6dbusaddons-data              	      93       12       10        4       67
 7597 libkf6i18n6                        	      93       21       22        4       46
 7598 libkf6widgetsaddons6               	      93       17       20        1       55
 7599 liblvm2cmd2.02                     	      93        0        0        0       93
 7600 libmono-2.0-dev                    	      93        5       86        2        0
 7601 libmono-management4.0-cil          	      93        0       90        3        0
 7602 libmono-microsoft-build-tasks-v4.0-4.0-cil	      93        0       91        2        0
 7603 libmono-system-data-services-client4.0-cil	      93        0       91        2        0
 7604 libmono-system-net-http4.0-cil     	      93        3       88        2        0
 7605 libmono-system-runtime-caching4.0-cil	      93        0       91        2        0
 7606 libmono-system-xaml4.0-cil         	      93        0       91        2        0
 7607 libmono-windowsbase4.0-cil         	      93        2       89        2        0
 7608 libmono-xbuild-tasks4.0-cil        	      93        0       91        2        0
 7609 libopencv-imgproc2.4v5             	      93        0        0        0       93
 7610 libpackage-constants-perl          	      93        2       91        0        0
 7611 libpostproc57                      	      93        4        3        0       86
 7612 libqca-qt6-2                       	      93        8       18        1       66
 7613 libre2-5                           	      93        1        0        0       92
 7614 libunibreak1                       	      93        0        0        0       93
 7615 libykpers-1-1                      	      93        4       14        0       75
 7616 libyubikey0                        	      93        4       11        0       78
 7617 mono-xbuild                        	      93        4       87        2        0
 7618 python-caja-common                 	      93        0        0        0       93
 7619 python3-apparmor                   	      93        2       89        2        0
 7620 python3-bleach                     	      93        2       91        0        0
 7621 python3-exceptiongroup             	      93        3       90        0        0
 7622 python3-mock                       	      93        2       90        1        0
 7623 python3-networkx                   	      93        0       91        2        0
 7624 python3-tomlkit                    	      93        2       86        5        0
 7625 swig                               	      93        2       90        1        0
 7626 wpolish                            	      93        3       82        8        0
 7627 abiword-plugin-grammar             	      92        0        1        0       91
 7628 apper-data                         	      92        1        4        0       87
 7629 context-modules                    	      92        0        0        0       92
 7630 cpp-11                             	      92        3       89        0        0
 7631 font-viewer                        	      92        0        0        0       92
 7632 fzf                                	      92        8       75        9        0
 7633 gnome-core                         	      92        0        0        0       92
 7634 krb5-config                        	      92        0        0        0       92
 7635 kwallet6                           	      92       16       54       22        0
 7636 libalien-sdl-perl                  	      92        2       89        1        0
 7637 libbonoboui2-0                     	      92        0        0        0       92
 7638 libfmt10                           	      92        5        6        0       81
 7639 libfuse2t64                        	      92        4        4        1       83
 7640 libgnomeui-0                       	      92        0        0        0       92
 7641 libhunspell-1.4-0                  	      92        0        0        0       92
 7642 libkf5sane5                        	      92        0        0        0       92
 7643 libkf6config-bin                   	      92        7       61       24        0
 7644 libkf6dbusaddons6                  	      92       20       22        4       46
 7645 libkf6wallet6                      	      92       12       18        1       61
 7646 libkf6walletbackend6               	      92        7       17        1       67
 7647 libksignalplotter9                 	      92        0        2        0       90
 7648 liblxc1                            	      92        9       21        1       61
 7649 libmono-compilerservices-symbolwriter4.0-cil	      92        0       90        2        0
 7650 libmono-microsoft-web-infrastructure1.0-cil	      92        0       90        2        0
 7651 libmono-system-componentmodel-composition4.0-cil	      92        0       90        2        0
 7652 libmono-system-data-linq4.0-cil    	      92        0       90        2        0
 7653 libmono-system-drawing-design4.0-cil	      92        0       90        2        0
 7654 libmono-system-net-http-webrequest4.0-cil	      92        2       88        2        0
 7655 libmono-system-web-extensions4.0-cil	      92        0       90        2        0
 7656 libpci-dev                         	      92        4       87        1        0
 7657 libterm-readline-gnu-perl          	      92        2       90        0        0
 7658 libwagon-http-shaded-java          	      92        0        0        0       92
 7659 libx32asan6                        	      92        0        0        0       92
 7660 libyubikey-udev                    	      92        7       84        1        0
 7661 libzltext0.13                      	      92        0        0        0       92
 7662 mono-csharp-shell                  	      92        1       88        3        0
 7663 nrss                               	      92        3       89        0        0
 7664 nxproxy                            	      92        8       83        1        0
 7665 openipmi                           	      92        3       88        1        0
 7666 pass                               	      92       10       80        2        0
 7667 python-tk                          	      92        0       92        0        0
 7668 python3-bottleneck                 	      92        4       85        3        0
 7669 python3-pandocfilters              	      92        3       89        0        0
 7670 python3-typeshed                   	      92        0        0        0       92
 7671 rarian-compat                      	      92        4       87        1        0
 7672 tlp                                	      92       21       67        4        0
 7673 apparmor-utils                     	      91        3       86        2        0
 7674 fonts-wqy-microhei                 	      91       10       13        0       68
 7675 golang-1.19-doc                    	      91        0        0        0       91
 7676 iputils-tracepath                  	      91        5       85        1        0
 7677 kpat                               	      91        7       83        1        0
 7678 libantlr3-runtime-java             	      91        0        0        0       91
 7679 libboost-program-options1.67.0     	      91        1        0        0       90
 7680 libclang1-15                       	      91        6       85        0        0
 7681 libebackend-1.2-10                 	      91        2       28        1       60
 7682 libemail-simple-perl               	      91       15       76        0        0
 7683 libfstrcmp0                        	      91        3       10        0       78
 7684 libftgl2                           	      91        0        1        0       90
 7685 libmbedcrypto7t64                  	      91        1       13        0       77
 7686 libmono-codecontracts4.0-cil       	      91        0       89        2        0
 7687 libmono-custommarshalers4.0-cil    	      91        0       89        2        0
 7688 libmono-http4.0-cil                	      91        0       89        2        0
 7689 libmono-messaging-rabbitmq4.0-cil  	      91        0       89        2        0
 7690 libmono-microsoft-build4.0-cil     	      91        0       89        2        0
 7691 libmono-microsoft-visualc10.0-cil  	      91        0       89        2        0
 7692 libmono-oracle4.0-cil              	      91        0       89        2        0
 7693 libmono-rabbitmq4.0-cil            	      91        0       89        2        0
 7694 libmono-relaxng4.0-cil             	      91        0       89        2        0
 7695 libmono-simd4.0-cil                	      91        0       89        2        0
 7696 libmono-system-data-datasetextensions4.0-cil	      91        0       89        2        0
 7697 libmono-system-data-services4.0-cil	      91        0       89        2        0
 7698 libmono-system-dynamic4.0-cil      	      91        0       89        2        0
 7699 libmono-system-management4.0-cil   	      91        0       89        2        0
 7700 libmono-system-net4.0-cil          	      91        0       89        2        0
 7701 libmono-system-runtime-durableinstancing4.0-cil	      91        0       89        2        0
 7702 libmono-system-servicemodel-discovery4.0-cil	      91        0       89        2        0
 7703 libmono-system-servicemodel-routing4.0-cil	      91        0       89        2        0
 7704 libmono-system-servicemodel-web4.0-cil	      91        0       89        2        0
 7705 libmono-system-serviceprocess4.0-cil	      91        0       89        2        0
 7706 libmono-system-web-abstractions4.0-cil	      91        0       89        2        0
 7707 libmono-system-web-dynamicdata4.0-cil	      91        0       89        2        0
 7708 libmono-system-web-extensions-design4.0-cil	      91        0       89        2        0
 7709 libmono-system-web-mvc3.0-cil      	      91        0       89        2        0
 7710 libmono-system-web-razor2.0-cil    	      91        0       89        2        0
 7711 libmono-system-web-routing4.0-cil  	      91        0       89        2        0
 7712 libmono-system-web-webpages-deployment2.0-cil	      91        0       89        2        0
 7713 libmono-system-web-webpages-razor2.0-cil	      91        0       89        2        0
 7714 libmono-system-web-webpages2.0-cil 	      91        0       89        2        0
 7715 libmono-tasklets4.0-cil            	      91        0       89        2        0
 7716 libmono-webmatrix-data4.0-cil      	      91        0       89        2        0
 7717 libnextcloudsync0                  	      91       15       30        1       45
 7718 libpoppler-dev                     	      91        0        0        0       91
 7719 libqrupdate1                       	      91        0        0        0       91
 7720 libqt6help6                        	      91        1        0        0       90
 7721 libshairplay0                      	      91        3       10        0       78
 7722 libwmf-dev                         	      91        1       89        1        0
 7723 mpi-default-dev                    	      91        0        0        0       91
 7724 network-manager-applet             	      91       33       50        8        0
 7725 nextcloud-desktop                  	      91       20       69        2        0
 7726 octave-common                      	      91        0        0        0       91
 7727 postgresql-13                      	      91       30       61        0        0
 7728 python-backports.functools-lru-cache	      91        3       87        1        0
 7729 python3-pygraphviz                 	      91        0       84        7        0
 7730 python3-sqlalchemy                 	      91        1       89        1        0
 7731 snmpd                              	      91       32       59        0        0
 7732 texlive-lang-czechslovak           	      91        8       82        1        0
 7733 texlive-lang-portuguese            	      91        0        0        0       91
 7734 vdpauinfo                          	      91        4       86        1        0
 7735 zeitgeist-core                     	      91       12       79        0        0
 7736 clinfo                             	      90        4       78        8        0
 7737 conky                              	      90        0        0        0       90
 7738 fonts-sjfonts                      	      90        1        3        0       86
 7739 gir1.2-gspell-1                    	      90        2        6        0       82
 7740 hexedit                            	      90        5       81        4        0
 7741 kate-data                          	      90        0        0        0       90
 7742 lib32asan6                         	      90        0        0        0       90
 7743 libaec-dev                         	      90        0       90        0        0
 7744 libasm1                            	      90        0        0        0       90
 7745 libavfilter6                       	      90        0        0        0       90
 7746 libdate-tz3                        	      90        6       11        1       72
 7747 libeigen3-dev                      	      90        2       87        1        0
 7748 libgrpc29                          	      90        0        0        0       90
 7749 libisc95                           	      90        0        0        0       90
 7750 libisccc90                         	      90        0        0        0       90
 7751 libjack-jackd2-dev                 	      90        0       89        1        0
 7752 libjs-three                        	      90        0        1        0       89
 7753 libmono-cil-dev                    	      90        3       85        2        0
 7754 libmono-db2-1.0-cil                	      90        0       88        2        0
 7755 libmono-debugger-soft4.0a-cil      	      90        0       88        2        0
 7756 libmono-parallel4.0-cil            	      90        0       88        2        0
 7757 libmono-peapi4.0a-cil              	      90        0       88        2        0
 7758 libmono-system-io-compression-filesystem4.0-cil	      90        0       88        2        0
 7759 libmono-system-io-compression4.0-cil	      90        0       88        2        0
 7760 libmono-system-json-microsoft4.0-cil	      90        0       88        2        0
 7761 libmono-system-json4.0-cil         	      90        0       88        2        0
 7762 libmono-system-ldap-protocols4.0-cil	      90        0       88        2        0
 7763 libmono-system-net-http-formatting4.0-cil	      90        0       88        2        0
 7764 libmono-system-reactive-core2.2-cil	      90        0       88        2        0
 7765 libmono-system-reactive-debugger2.2-cil	      90        0       88        2        0
 7766 libmono-system-reactive-experimental2.2-cil	      90        0       88        2        0
 7767 libmono-system-reactive-interfaces2.2-cil	      90        0       88        2        0
 7768 libmono-system-reactive-linq2.2-cil	      90        0       88        2        0
 7769 libmono-system-reactive-observable-aliases0.0-cil	      90        0       88        2        0
 7770 libmono-system-reactive-platformservices2.2-cil	      90        0       88        2        0
 7771 libmono-system-reactive-providers2.2-cil	      90        0       88        2        0
 7772 libmono-system-reactive-runtime-remoting2.2-cil	      90        0       88        2        0
 7773 libmono-system-reactive-windows-forms2.2-cil	      90        0       88        2        0
 7774 libmono-system-reactive-windows-threading2.2-cil	      90        0       88        2        0
 7775 libmono-system-threading-tasks-dataflow4.0-cil	      90        0       88        2        0
 7776 libmono-system-web-http-selfhost4.0-cil	      90        0       88        2        0
 7777 libmono-system-web-http-webhost4.0-cil	      90        0       88        2        0
 7778 libmono-system-web-http4.0-cil     	      90        0       88        2        0
 7779 libmono-system-windows-forms-datavisualization4.0a-cil	      90        0       88        2        0
 7780 libmono-system-windows4.0-cil      	      90        0       88        2        0
 7781 libmono-system-xml-serialization4.0-cil	      90        0       88        2        0
 7782 libmonosgen-2.0-dev                	      90        4       84        2        0
 7783 libomp-11-dev                      	      90        4       84        0        2
 7784 libomp5-11                         	      90        4       84        0        2
 7785 libqt4-svg                         	      90        0        1        0       89
 7786 libqt6designer6                    	      90        1        0        0       89
 7787 libreoffice-l10n-fr                	      90        4       77        9        0
 7788 librsyntaxtextarea-java            	      90        0        0        0       90
 7789 libsdl-perl                        	      90        0        0        0       90
 7790 libtie-simple-perl                 	      90        2       87        1        0
 7791 libxmlrpc-core-c3                  	      90        1        2        0       87
 7792 pastebinit                         	      90        3       83        4        0
 7793 pdf2djvu                           	      90        3       85        2        0
 7794 php-imagick                        	      90       11       13        0       66
 7795 postgresql-client                  	      90        0        0        0       90
 7796 python-urllib3                     	      90        5       84        0        1
 7797 python3-augeas                     	      90       32       58        0        0
 7798 python3-jupyter-client             	      90        1       89        0        0
 7799 python3-levenshtein                	      90        2       81        7        0
 7800 qml6-module-qtquick-dialogs        	      90        9       14        1       66
 7801 x11proto-damage-dev                	      90        1       24        0       65
 7802 x11proto-fixes-dev                 	      90        1       25        0       64
 7803 xdm                                	      90       20       70        0        0
 7804 xmms2-plugin-alsa                  	      90        1       89        0        0
 7805 xmms2-plugin-id3v2                 	      90        1       89        0        0
 7806 apper                              	      89       15       72        2        0
 7807 arduino                            	      89        3       85        1        0
 7808 b43-fwcutter                       	      89        3       77        9        0
 7809 daemon                             	      89       12       77        0        0
 7810 dhcpcd-base                        	      89        9       63       17        0
 7811 epiphany-browser-data              	      89        0        1        0       88
 7812 fortunes                           	      89        0        0        0       89
 7813 fp-utils-3.2.2                     	      89        6       83        0        0
 7814 gcc-11                             	      89        4       85        0        0
 7815 gdbserver                          	      89        1       86        2        0
 7816 gir1.2-amtk-5                      	      89        0        1        0       88
 7817 gir1.2-caja-2.0                    	      89       19       46        1       23
 7818 gir1.2-tepl-6                      	      89        0        1        0       88
 7819 guile-2.0-libs                     	      89        0        0        0       89
 7820 guvcview                           	      89        3       84        2        0
 7821 lib32z1                            	      89        0        1        0       88
 7822 libavutil54                        	      89        1        1        0       87
 7823 libbsd-resource-perl               	      89        0        0        0       89
 7824 libebook-contacts-1.2-4t64         	      89       25       26        4       34
 7825 libfreecell-solver0                	      89        1        3        0       85
 7826 libgirepository-2.0-0              	      89        0        0        0       89
 7827 libjudydebian1                     	      89        1        0        0       88
 7828 libkf5alarmcalendar5abi1           	      89        1        2        0       86
 7829 libpam-ck-connector                	      89       10       10        0       69
 7830 libportaudiocpp0                   	      89        0        0        0       89
 7831 libqca-qt6-plugins                 	      89        1        2        1       85
 7832 libqt6serialport6                  	      89        0        0        0       89
 7833 libsdl2-gfx-1.0-0                  	      89        0        0        0       89
 7834 libsexpp0                          	      89        9        9        0       71
 7835 libzip5                            	      89        5        2        0       82
 7836 lxc                                	      89       19       68        2        0
 7837 nextcloud-desktop-doc              	      89        0        0        0       89
 7838 nvme-cli                           	      89       15       71        3        0
 7839 openjfx-source                     	      89        0        0        0       89
 7840 pngquant                           	      89        7       79        3        0
 7841 python-dnspython                   	      89        5       84        0        0
 7842 qemu-user-static                   	      89        8       76        5        0
 7843 qt5ct                              	      89       14       72        3        0
 7844 rtorrent                           	      89        5       84        0        0
 7845 ruby-debian                        	      89       19       68        2        0
 7846 texlive-lang-arabic                	      89        0        0        0       89
 7847 volumeicon-alsa                    	      89       13       74        2        0
 7848 webp                               	      89        5       81        3        0
 7849 xmms2-plugin-mad                   	      89        1       88        0        0
 7850 xmms2-plugin-vorbis                	      89        1       88        0        0
 7851 caps                               	      88        8       79        1        0
 7852 fontforge                          	      88        2       85        1        0
 7853 fp-units-rtl-3.2.2                 	      88        7       80        0        1
 7854 gir1.2-gtkspell3-3.0               	      88        1        0        0       87
 7855 iceweasel                          	      88       11       73        4        0
 7856 libboost-iostreams-dev             	      88        0        0        0       88
 7857 libforms-dev                       	      88        1       87        0        0
 7858 libgdbm-dev                        	      88        3       85        0        0
 7859 libhtml-treebuilder-libxml-perl    	      88        2       84        2        0
 7860 libjs-iscroll                      	      88        0       85        3        0
 7861 libkf6archive-data                 	      88       11        9        2       66
 7862 libkf6archive6                     	      88       18       17        2       51
 7863 libkf6crash6                       	      88       22       20        4       42
 7864 libkwaylandserver5                 	      88       16       30        0       42
 7865 libkwinglutils12                   	      88       16       29        0       43
 7866 libkwinxrenderutils12              	      88       16       29        0       43
 7867 libperl5.38t64                     	      88        8       80        0        0
 7868 libpostproc-dev                    	      88        0       82        6        0
 7869 libsodium-dev                      	      88        2       85        1        0
 7870 libstroke0                         	      88       10       16        0       62
 7871 linux-image-5.10.0-21-amd64        	      88        0       88        0        0
 7872 lua-lgi                            	      88        3       12        0       73
 7873 marble-data                        	      88        0        0        0       88
 7874 mono-devel                         	      88        8       78        2        0
 7875 playonlinux                        	      88        3       85        0        0
 7876 python3-natsort                    	      88        2       86        0        0
 7877 texlive-humanities-doc             	      88        0        0        0       88
 7878 bmon                               	      87        2       85        0        0
 7879 fp-compiler-3.2.2                  	      87        6       81        0        0
 7880 gdal-bin                           	      87        3       80        4        0
 7881 geany-plugin-addons                	      87        0        0        0       87
 7882 gir1.2-goocanvas-2.0               	      87        0        1        0       86
 7883 gnucash-docs                       	      87        0        0        0       87
 7884 golang-1.19                        	      87        0        0        0       87
 7885 hwloc                              	      87        2       85        0        0
 7886 kodi-data                          	      87        1       77        5        4
 7887 libatrildocument3t64               	      87       10        8        1       68
 7888 libatrilview3t64                   	      87        2        1        0       84
 7889 libfilesys-df-perl                 	      87        3        4        0       80
 7890 libfontenc-dev                     	      87        0       86        1        0
 7891 libgsm1-dev                        	      87        1       84        2        0
 7892 libindiclient1                     	      87        0        3        0       84
 7893 libjmdns-java                      	      87        0        0        0       87
 7894 libkf6solid-data                   	      87       14       12        4       57
 7895 libkwineffects12a                  	      87       16       29        0       42
 7896 libmono-cscompmgd0.0-cil           	      87        0       85        2        0
 7897 libmono-smdiagnostics0.0-cil       	      87        0       85        2        0
 7898 libmono-system-data-entity4.0-cil  	      87        0       85        2        0
 7899 libmono-system-deployment4.0-cil   	      87        0       85        2        0
 7900 libmono-system-numerics-vectors4.0-cil	      87        0       85        2        0
 7901 libmono-system-reflection-context4.0-cil	      87        0       85        2        0
 7902 libmono-system-web-mobile4.0-cil   	      87        0       85        2        0
 7903 libmono-system-web-regularexpressions4.0-cil	      87        0       85        2        0
 7904 libmono-system-workflow-activities4.0-cil	      87        0       85        2        0
 7905 libmono-system-workflow-componentmodel4.0-cil	      87        0       85        2        0
 7906 libmono-system-workflow-runtime4.0-cil	      87        0       85        2        0
 7907 lzma                               	      87        7       80        0        0
 7908 openjdk-21-jre                     	      87        1        2        0       84
 7909 python-libxml2                     	      87        4       82        1        0
 7910 python3-xmltodict                  	      87        0       84        3        0
 7911 rclone                             	      87        7       78        2        0
 7912 sg3-utils                          	      87        5       82        0        0
 7913 stunnel4                           	      87        8       79        0        0
 7914 usr-is-merged                      	      87        0        0        0       87
 7915 default-mysql-client               	      86        0        0        0       86
 7916 fpc-source-3.2.2                   	      86        0        0        0       86
 7917 gcc-10-multilib                    	      86        0        0        0       86
 7918 hdf5-helpers                       	      86        1       84        1        0
 7919 john-data                          	      86        0        0        0       86
 7920 kodi                               	      86        4       78        4        0
 7921 libbcel-java                       	      86        0        0        0       86
 7922 libbison-dev                       	      86        0        0        0       86
 7923 libboost-iostreams1.74-dev         	      86        0        0        0       86
 7924 libconfig-simple-perl              	      86       12       73        1        0
 7925 libdumb1                           	      86        0        0        0       86
 7926 libfcgi0t64                        	      86        0        0        0       86
 7927 libguestfs-hfsplus                 	      86        0        0        0       86
 7928 libhdf5-cpp-103-1                  	      86        0        0        0       86
 7929 libindicator7                      	      86        0        0        0       86
 7930 libjavaparser-java                 	      86        0        0        0       86
 7931 libkf5kaddressbookimportexport5    	      86        0        0        0       86
 7932 libkf6codecs-data                  	      86       10        8        1       67
 7933 libkf6configwidgets-data           	      86        7        7        1       71
 7934 libkf6dbusaddons-bin               	      86        6       52       28        0
 7935 libkf6itemviews-data               	      86       10        8        1       67
 7936 libpolkit-qt6-1-1                  	      86       10       18        3       55
 7937 libreoffice-help-fr                	      86        0        0        0       86
 7938 libspqr2                           	      86        0        0        0       86
 7939 libsql-abstract-perl               	      86        1       85        0        0
 7940 libstdc++-13-dev                   	      86        2       83        1        0
 7941 liburi-escape-xs-perl              	      86        0        0        0       86
 7942 libx32gcc-10-dev                   	      86        0        0        0       86
 7943 linux-image-5.10.0-30-amd64        	      86        4       82        0        0
 7944 octave                             	      86        7       78        1        0
 7945 pbuilder                           	      86        7       77        2        0
 7946 python3-caja                       	      86       19       46        1       20
 7947 python3-nbconvert                  	      86        1       84        1        0
 7948 python3-openshot                   	      86        1       83        2        0
 7949 python3-pycodestyle                	      86        0       86        0        0
 7950 python3-pyqtgraph                  	      86        3       81        2        0
 7951 python3-pyside2.qtprintsupport     	      86        3       80        3        0
 7952 python3-pyside2.qtwebchannel       	      86        3       80        3        0
 7953 python3-pyside2.qtwebenginecore    	      86        3       80        3        0
 7954 python3-pyside2.qtwebenginewidgets 	      86        3       80        3        0
 7955 qalc                               	      86        4       80        2        0
 7956 qml6-module-qt-labs-platform       	      86        9       15        1       61
 7957 xbase-clients                      	      86        0        0        0       86
 7958 arduino-ctags                      	      85        3       81        1        0
 7959 cpuid                              	      85        4       81        0        0
 7960 edict                              	      85        2       79        3        1
 7961 falkon                             	      85       11       70        4        0
 7962 fonts-ebgaramond                   	      85        1        4        0       80
 7963 geany-plugin-spellcheck            	      85        0        0        0       85
 7964 jarwrapper                         	      85        2       83        0        0
 7965 john                               	      85        7       77        1        0
 7966 kdegames-card-data-kf5             	      85        0        0        0       85
 7967 kodi-bin                           	      85        3       25        0       57
 7968 libastylej-jni                     	      85        1        1        0       83
 7969 libdns100                          	      85        0        0        0       85
 7970 libffms2-5                         	      85        0        0        0       85
 7971 libgnome-desktop-3-20t64           	      85        2        5        1       77
 7972 libguestfs-reiserfs                	      85        0        0        0       85
 7973 libguestfs-xfs                     	      85        0        0        0       85
 7974 libiniparser1                      	      85        2        0        0       83
 7975 libisccfg90                        	      85        0        0        0       85
 7976 libjansson-dev                     	      85        2       81        2        0
 7977 libkf5dbusaddons-dev               	      85        3       82        0        0
 7978 libkf5service-dev                  	      85        2       83        0        0
 7979 libkf6auth-data                    	      85       14       10        4       57
 7980 libkf6codecs6                      	      85       17       16        1       51
 7981 libkf6iconthemes-data              	      85        0        0        0       85
 7982 libkf6solid6                       	      85       21       20        6       38
 7983 libkirigami-data                   	      85        5        7        1       72
 7984 liblwres90                         	      85        0        0        0       85
 7985 libnova-0.16-0                     	      85        1        3        0       81
 7986 libsigc++-2.0-dev                  	      85        0       85        0        0
 7987 libsys-cpu-perl                    	      85        1        2        0       82
 7988 libsysprof-capture-4-dev           	      85        2       74        9        0
 7989 libzlui-gtk                        	      85        2       82        1        0
 7990 openshot-qt                        	      85        4       78        3        0
 7991 python3-dill                       	      85        8       74        3        0
 7992 python3-gnupg                      	      85        2       76        7        0
 7993 python3-ujson                      	      85        9       72        4        0
 7994 python3-vobject                    	      85        1       83        1        0
 7995 redis-tools                        	      85       34       51        0        0
 7996 ruby-dev                           	      85        0        0        0       85
 7997 ruby-ffi                           	      85        0       14        0       71
 7998 sbsigntool                         	      85        3       82        0        0
 7999 vorbisgain                         	      85        3       80        2        0
 8000 vpnc                               	      85        9       75        1        0
 8001 xournal                            	      85        8       77        0        0
 8002 arduino-builder                    	      84        3       80        1        0
 8003 arduino-core-avr                   	      84        1       81        2        0
 8004 epiphany-browser                   	      84       10       70        4        0
 8005 fonts-ipaexfont-gothic             	      84        1        1        0       82
 8006 fonts-ipaexfont-mincho             	      84        0        1        0       83
 8007 gpsd                               	      84       20       64        0        0
 8008 kio-audiocd                        	      84        0        4        0       80
 8009 lib32gcc-10-dev                    	      84        0        0        0       84
 8010 libappindicator1                   	      84        0        0        0       84
 8011 libapr1t64                         	      84       16       17        0       51
 8012 libavcodec-extra                   	      84        0        0        0       84
 8013 libbind9-90                        	      84        0        0        0       84
 8014 libboost-nowide1.74.0              	      84        0        0        0       84
 8015 libboost-program-options1.62.0     	      84        2        2        0       80
 8016 libevemu3                          	      84        0        0        0       84
 8017 libgwengui-gtk3-79                 	      84        5        1        0       78
 8018 libjssc-java                       	      84        1       82        1        0
 8019 libkf6breezeicons6                 	      84       17       16        1       50
 8020 libkf6configwidgets6               	      84       17       16        1       50
 8021 libkf6iconthemes6                  	      84       17       16        1       50
 8022 libkf6iconwidgets6                 	      84       17       16        1       50
 8023 libkf6itemviews6                   	      84       17       16        1       50
 8024 libkf6jobwidgets-data              	      84       13       15        1       55
 8025 libkf6kcmutils-data                	      84        0        0        0       84
 8026 libkf6service-data                 	      84        0        0        0       84
 8027 liblistserialsj-dev                	      84        0        0        0       84
 8028 liblistserialsj1                   	      84        1        1        0       82
 8029 liblvm2app2.2                      	      84        0        0        0       84
 8030 libnet-libidn2-perl                	      84        7       10        0       67
 8031 libnotmuch4                        	      84        0        0        0       84
 8032 libqt6designercomponents6          	      84        1        0        0       83
 8033 libsemver-java                     	      84        0        0        0       84
 8034 libvamp-sdk2v5                     	      84        0        0        0       84
 8035 libwebp5                           	      84        0        0        0       84
 8036 libwebrtc-audio-processing-1-3     	      84        0        0        0       84
 8037 libwsutil12                        	      84        0        0        0       84
 8038 libxerces-c3.2t64                  	      84        0        0        0       84
 8039 libxfont-dev                       	      84        1       82        1        0
 8040 linux-compiler-gcc-6-x86           	      84        0        0        0       84
 8041 linux-image-5.10.0-23-amd64        	      84        0       83        0        1
 8042 lshw-gtk                           	      84        2       81        1        0
 8043 lxcfs                              	      84       21       61        2        0
 8044 php-bz2                            	      84        0        0        0       84
 8045 python3-keyrings.alt               	      84        2       82        0        0
 8046 redis-server                       	      84       15       69        0        0
 8047 ruby2.5                            	      84        4       80        0        0
 8048 acpica-tools                       	      83        3       80        0        0
 8049 dbconfig-mysql                     	      83        0        0        0       83
 8050 dmtracedump                        	      83        2       80        1        0
 8051 docker-compose-plugin              	      83        0        0        0       83
 8052 font-manager                       	      83        1       79        3        0
 8053 gir1.2-matepanelapplet-4.0         	      83        3       10        0       70
 8054 git-lfs                            	      83        3       72        8        0
 8055 git-svn                            	      83        4       74        5        0
 8056 guile-2.2                          	      83        3       78        2        0
 8057 kanjidic                           	      83        0        0        0       83
 8058 kded6                              	      83       15       46       22        0
 8059 krdc                               	      83        4       79        0        0
 8060 libappindicator3-1                 	      83        1        2        0       80
 8061 libaprutil1t64                     	      83       15       17        0       51
 8062 libclang-common-15-dev             	      83        1       80        2        0
 8063 libcwiid1                          	      83        2        0        0       81
 8064 libebackend-1.2-11t64              	      83       25       26        4       28
 8065 libebook-1.2-21t64                 	      83       25       26        4       28
 8066 libedata-book-1.2-27t64            	      83       25       26        4       28
 8067 libemail-mime-encodings-perl       	      83       14       69        0        0
 8068 libevdev-dev                       	      83        0       82        1        0
 8069 libgcrypt11                        	      83        0        0        0       83
 8070 libjemalloc1                       	      83        1        0        0       82
 8071 libkf6authcore6                    	      83       21       18        4       40
 8072 libkf6doctools6                    	      83        0        0        0       83
 8073 libkf6guiaddons-bin                	      83        3       52       28        0
 8074 libkf6jobwidgets6                  	      83       13       15        1       54
 8075 libkf6kiocore6                     	      83       21       18        4       40
 8076 libkf6kiogui6                      	      83       20       18        4       41
 8077 libkf6service-bin                  	      83        9       50       24        0
 8078 libkf6service6                     	      83       22       18        4       39
 8079 libkirigami6                       	      83        9       15        1       58
 8080 libkirigamidelegates6              	      83        9       15        1       58
 8081 libkirigamidialogs6                	      83        9       15        1       58
 8082 libkirigamilayouts6                	      83        9       15        1       58
 8083 libkirigamiplatform6               	      83       10       15        1       57
 8084 libkirigamiprimitives6             	      83        9       15        1       58
 8085 libkirigamiprivate6                	      83        9       15        1       58
 8086 liblink-grammar5                   	      83        0        1        0       82
 8087 libwiretap11                       	      83        0        0        0       83
 8088 libxdg-basedir1                    	      83        3       13        0       67
 8089 linux-kbuild-4.9                   	      83        0        0        0       83
 8090 msr-tools                          	      83        2       75        6        0
 8091 phonon-backend-gstreamer-common    	      83        0        0        0       83
 8092 python3-dulwich                    	      83        3       80        0        0
 8093 python3-lazy-object-proxy          	      83        1       78        4        0
 8094 python3-sqlalchemy-ext             	      83        1       76        6        0
 8095 qmake6                             	      83        3       70       10        0
 8096 qmake6-bin                         	      83        3       70       10        0
 8097 qml6-module-org-kde-kirigami       	      83        9       15        1       58
 8098 radeontop                          	      83        2       80        1        0
 8099 ruby-nokogiri                      	      83        3       80        0        0
 8100 ruby-sqlite3                       	      83        1       67        0       15
 8101 vamp-plugin-sdk                    	      83        1       82        0        0
 8102 virtualenv                         	      83        3       19        0       61
 8103 antiword                           	      82        8       73        1        0
 8104 busybox-static                     	      82       11       68        3        0
 8105 fonts-adf-oldania                  	      82        2        4        0       76
 8106 fonts-terminus                     	      82        4        6        0       72
 8107 fonts-tuffy                        	      82        3        1        0       78
 8108 g++-multilib                       	      82        0        0        0       82
 8109 gnome                              	      82        0        0        0       82
 8110 hprof-conv                         	      82        0       18        0       64
 8111 kdiff3                             	      82        8       71        3        0
 8112 kio6                               	      82       16       45       21        0
 8113 libappconfig-perl                  	      82        3       78        1        0
 8114 libboost-test-dev                  	      82        0        0        0       82
 8115 libdatetime-format-iso8601-perl    	      82        4       74        4        0
 8116 libdb5.3-dev                       	      82        2       80        0        0
 8117 libfreecad-python3-0.20            	      82        3       77        2        0
 8118 libgeoip1t64                       	      82        0        3        0       79
 8119 libhdf5-dev                        	      82        1       80        1        0
 8120 libjsr166y-java                    	      82        0        0        0       82
 8121 libkf6kcmutilscore6                	      82       11       15        1       55
 8122 libkf6kcmutilsquick6               	      82       11       15        1       55
 8123 libopencsg1                        	      82        3        2        0       77
 8124 libraw15                           	      82        0        0        0       82
 8125 librtmidi6                         	      82        0        2        0       80
 8126 libstring-util-perl                	      82        6       74        2        0
 8127 libtest-deep-perl                  	      82        1       81        0        0
 8128 libwings3                          	      82        5       15        0       62
 8129 libwutil5                          	      82        6       20        0       56
 8130 libx264-142                        	      82        1        1        0       80
 8131 libxstream-java                    	      82        0        0        0       82
 8132 memtester                          	      82        6       75        1        0
 8133 mythes-it                          	      82        0        0        0       82
 8134 netcat                             	      82        0        0        0       82
 8135 ntpstat                            	      82        2       79        1        0
 8136 octave-doc                         	      82        0        0        0       82
 8137 okular-extra-backends              	      82        0        4        0       78
 8138 python3-ipykernel                  	      82        1       81        0        0
 8139 python3-pyside2.qtwebengine        	      82        0       79        3        0
 8140 python3-typer                      	      82        0       66       16        0
 8141 rofi                               	      82        5       72        5        0
 8142 sgmlspl                            	      82        2       79        1        0
 8143 aspell-pl                          	      81        2       72        7        0
 8144 byobu                              	      81        9       70        2        0
 8145 conky-std                          	      81       16       65        0        0
 8146 etherwake                          	      81        9       72        0        0
 8147 firefox-esr-l10n-it                	      81       10       64        7        0
 8148 fonts-nanum                        	      81        6       11        0       64
 8149 libasn1-8-heimdal                  	      81        6        4        0       71
 8150 libavcodec56                       	      81        1        1        0       79
 8151 libavresample2                     	      81        1        1        0       79
 8152 libb64-0d                          	      81        1        7        0       73
 8153 libdapserver7v5                    	      81        0        0        0       81
 8154 libemail-messageid-perl            	      81       14       67        0        0
 8155 libemail-mime-contenttype-perl     	      81       14       67        0        0
 8156 libemail-mime-perl                 	      81       14       67        0        0
 8157 libkf5windowsystem-doc             	      81        0        0        0       81
 8158 libmoox-types-mooselike-perl       	      81        3       78        0        0
 8159 libneon27t64-gnutls                	      81        0        0        0       81
 8160 liboath0                           	      81        0        2        0       79
 8161 libsasl2-dev                       	      81        1       77        3        0
 8162 libtasn1-3                         	      81        0        0        0       81
 8163 libwireshark14                     	      81        0        0        0       81
 8164 libzip-dev                         	      81        3       77        1        0
 8165 pulsemixer                         	      81        5       74        2        0
 8166 python-roman                       	      81        3       77        1        0
 8167 python3-dns                        	      81        3       78        0        0
 8168 tap-plugins                        	      81        6       74        1        0
 8169 thunderbird-l10n-de                	      81       11       63        7        0
 8170 unixodbc                           	      81        4       75        2        0
 8171 wmaker-common                      	      81        4       77        0        0
 8172 firefox-esr-l10n-fr                	      80       10       63        7        0
 8173 fonts-wqy-zenhei                   	      80        9       23        0       48
 8174 gamemode-daemon                    	      80       13       65        2        0
 8175 grub-efi                           	      80        0        0        0       80
 8176 help2man                           	      80        1       79        0        0
 8177 icewm-common                       	      80        9       70        1        0
 8178 idle-python3.11                    	      80        4       74        2        0
 8179 kapptemplate                       	      80        1       79        0        0
 8180 kmahjongg                          	      80        3       76        1        0
 8181 kodi-repository-kodi               	      80        0        0        0       80
 8182 libavfilter-dev                    	      80        0       75        5        0
 8183 libboost-chrono-dev                	      80        0        0        0       80
 8184 libdbus-glib-1-dev                 	      80        2       75        3        0
 8185 libdjvulibre-dev                   	      80        0       79        1        0
 8186 libgamemode0                       	      80        2        0        0       78
 8187 libgettext-commons-java            	      80        0        0        0       80
 8188 libgnutls26                        	      80        1        0        0       79
 8189 libgspell-1-1                      	      80        0        1        0       79
 8190 libjim0.76                         	      80        0        0        0       80
 8191 libkf5config-doc                   	      80        0        0        0       80
 8192 liblxqt0                           	      80       11       63        2        4
 8193 libnvme1                           	      80        4       10        0       66
 8194 libpod-latex-perl                  	      80        1       79        0        0
 8195 librrds-perl                       	      80        5       11        0       64
 8196 libtext-levenshtein-perl           	      80        1       78        1        0
 8197 libwebsockets17                    	      80        2        1        0       77
 8198 libyara9                           	      80        0        0        0       80
 8199 mate-icon-theme-faenza             	      80        0        0        0       80
 8200 ncat                               	      80        4       76        0        0
 8201 openscad                           	      80        4       75        1        0
 8202 pavumeter                          	      80        3       77        0        0
 8203 php-composer-ca-bundle             	      80       10       67        3        0
 8204 python-requests                    	      80        5       75        0        0
 8205 python-soupsieve                   	      80        2       77        1        0
 8206 python-xdg                         	      80        2       78        0        0
 8207 python3-gnucash                    	      80        7       71        2        0
 8208 python3-passlib                    	      80        0       76        4        0
 8209 python3.13-tk                      	      80        2       63       15        0
 8210 qt6-base-dev                       	      80        3       67       10        0
 8211 ripgrep                            	      80        5       72        3        0
 8212 spawn-fcgi                         	      80        3       76        1        0
 8213 albatross-gtk-theme                	      79        0        0        0       79
 8214 amule-common                       	      79        0       75        4        0
 8215 android-libbacktrace               	      79        0        0        0       79
 8216 extundelete                        	      79        4       75        0        0
 8217 firmware-b43-installer             	      79        2        8        5       64
 8218 firmware-sof-signed                	      79        9       60        9        1
 8219 gir1.2-babl-0.1                    	      79        0        0        0       79
 8220 icewm                              	      79       11       67        1        0
 8221 iputils-arping                     	      79        3       76        0        0
 8222 kdiff3-doc                         	      79        0        0        0       79
 8223 libany-uri-escape-perl             	      79        1       78        0        0
 8224 libassimp5                         	      79        0        0        0       79
 8225 libboost-date-time1.62.0           	      79        0        0        0       79
 8226 libboost-thread1.62.0              	      79        2        2        0       75
 8227 libemail-abstract-perl             	      79        3       76        0        0
 8228 libgd-perl                         	      79        4       67        1        7
 8229 libgnome-panel0                    	      79        1        5        0       73
 8230 libgsl28                           	      79        0        0        0       79
 8231 libheimbase1-heimdal               	      79        6        4        0       69
 8232 libhx509-5-heimdal                 	      79        2        2        0       75
 8233 libkf6iconthemes-bin               	      79        6       45       28        0
 8234 libkrb5-26-heimdal                 	      79        2        2        0       75
 8235 libmosquitto1                      	      79        1        2        0       76
 8236 libonnxruntime1.19.2               	      79        0        0        0       79
 8237 libprotobuf-dev                    	      79        0       75        4        0
 8238 libreoffice-uiconfig-report-builder	      79        3       57       19        0
 8239 libsfml-system2.5                  	      79        0        2        0       77
 8240 libthrowable-perl                  	      79        3       76        0        0
 8241 libuutil3linux                     	      79       15       37        0       27
 8242 libvdpau-dev                       	      79        1       77        1        0
 8243 libvte-common                      	      79        0        2        0       77
 8244 libwind0-heimdal                   	      79        2        2        0       75
 8245 libwraster6                        	      79        5       15        0       59
 8246 libxmlb1                           	      79        5       25        1       48
 8247 libxmmsclient-glib1                	      79        0        3        0       76
 8248 mmdebstrap                         	      79        3       69        7        0
 8249 nala                               	      79        9       59       11        0
 8250 php-symfony-deprecation-contracts  	      79       10       68        1        0
 8251 python-asn1crypto                  	      79        2       77        0        0
 8252 python-pyinotify                   	      79        2       75        2        0
 8253 python3-cssutils                   	      79        0       78        1        0
 8254 python3-humanfriendly              	      79        3       74        2        0
 8255 racc                               	      79        3       76        0        0
 8256 xfig                               	      79        3       76        0        0
 8257 2to3                               	      78        5       72        1        0
 8258 alsaplayer-alsa                    	      78        0        1        0       77
 8259 android-libutils                   	      78        0        0        0       78
 8260 dblatex                            	      78        5       72        1        0
 8261 docker-buildx-plugin               	      78        0        0        0       78
 8262 epstool                            	      78        1       76        1        0
 8263 etc1tool                           	      78        2       75        1        0
 8264 extrepo                            	      78        5       58       15        0
 8265 flashrom                           	      78       14       64        0        0
 8266 fpc                                	      78        0        0        0       78
 8267 fxload                             	      78        3       73        2        0
 8268 gimp-help-en                       	      78        0        0        0       78
 8269 gir1.2-gegl-0.4                    	      78        0        0        0       78
 8270 gphoto2                            	      78        2       76        0        0
 8271 libasprintf0c2                     	      78        0        0        0       78
 8272 libavahi-common-dev                	      78        2       76        0        0
 8273 libdbus-glib-1-dev-bin             	      78        2       73        3        0
 8274 libedataserver-1.2-25              	      78        2       27        1       48
 8275 libfakechroot                      	      78        0        0        0       78
 8276 libipc-signal-perl                 	      78       21       56        1        0
 8277 libipset13                         	      78        0        0        0       78
 8278 libjson-c-dev                      	      78        2       76        0        0
 8279 libkf5coreaddons-doc               	      78        0        0        0       78
 8280 libkf5i18n-doc                     	      78        0        0        0       78
 8281 libkpmcore10                       	      78        0        0        0       78
 8282 liblouis12                         	      78        0        0        0       78
 8283 libnginx-mod-http-echo             	      78       26       52        0        0
 8284 libnspr4-dev                       	      78        1       76        1        0
 8285 libnvpair3linux                    	      78       15       37        0       26
 8286 libproc-waitstat-perl              	      78       21       56        1        0
 8287 libqpdf17                          	      78        0        0        0       78
 8288 libqtcurve-utils2                  	      78        0        8        0       70
 8289 librdmacm1t64                      	      78        2        1        0       75
 8290 librecode3                         	      78        0        0        0       78
 8291 libtheora-dev                      	      78        1       74        3        0
 8292 libwxgtk-webview3.2-1              	      78        0        1        0       77
 8293 linux-image-6.1.0-10-686           	      78        2       58       18        0
 8294 logtail                            	      78       22       55        1        0
 8295 mariadb-server-10.5                	      78        6       50        4       18
 8296 numba-doc                          	      78        0        0        0       78
 8297 nvidia-persistenced                	      78       21       55        1        1
 8298 pahole                             	      78        8       57       13        0
 8299 python3-fastimport                 	      78        2       76        0        0
 8300 python3-newt                       	      78        6       66        6        0
 8301 qemu-user                          	      78        3       66        9        0
 8302 qml6-module-qtmultimedia           	      78        1        1        0       76
 8303 r-base                             	      78        0        0        0       78
 8304 seahorse-daemon                    	      78        3       71        4        0
 8305 swig4.0                            	      78        2       75        1        0
 8306 task-french-desktop                	      78        0        0        0       78
 8307 texlive-full                       	      78        0        0        0       78
 8308 unace                              	      78        7       59        0       12
 8309 xserver-xorg-dev                   	      78        4       60       14        0
 8310 xutils-dev                         	      78        2       76        0        0
 8311 alsaplayer-common                  	      77        4       72        1        0
 8312 android-sdk-platform-tools         	      77        0        0        0       77
 8313 asciidoc-common                    	      77        0        0        0       77
 8314 designer-qt6                       	      77        1       65       11        0
 8315 fakechroot                         	      77        3       72        2        0
 8316 fp-docs-3.2.2                      	      77        0        0        0       77
 8317 geany-plugin-automark              	      77        0        0        0       77
 8318 geany-plugin-treebrowser           	      77        0        0        0       77
 8319 gir1.2-matedesktop-2.0             	      77        3        1        0       73
 8320 gtklp                              	      77        1       74        1        1
 8321 ipolish                            	      77        1       69        7        0
 8322 ivy                                	      77        0        0        0       77
 8323 kodi-visualization-spectrum        	      77        0        0        0       77
 8324 libavfilter9                       	      77        4        3        0       70
 8325 libboost-serialization-dev         	      77        0        0        0       77
 8326 libconvert-asn1-perl               	      77        8       69        0        0
 8327 libdazzle-common                   	      77        0        0        0       77
 8328 libfreehep-graphics2d-java         	      77        0        0        0       77
 8329 libfreehep-io-java                 	      77        0        0        0       77
 8330 libgavl1                           	      77        0        0        0       77
 8331 libjansi1-java                     	      77        0        0        0       77
 8332 liblhasa0                          	      77        0        0        0       77
 8333 libllvm3.5                         	      77        0        0        0       77
 8334 libmailutils9t64                   	      77        0        0        0       77
 8335 libnfs8                            	      77        0        0        0       77
 8336 libpoppler-cpp2                    	      77        0        0        0       77
 8337 libpython-dev                      	      77        4       73        0        0
 8338 libpython2-dev                     	      77        3       74        0        0
 8339 libraw1394-dev                     	      77        0       76        1        0
 8340 libstrongswan                      	      77       17       60        0        0
 8341 libtepl-5-0                        	      77        1        2        1       73
 8342 libvecmath-java                    	      77        0        0        0       77
 8343 libvte9                            	      77        1       22        0       54
 8344 libwebcam0                         	      77        0        0        0       77
 8345 libwebpdemux1                      	      77        0        0        0       77
 8346 markdown                           	      77        1       75        1        0
 8347 mediainfo-gui                      	      77        5       72        0        0
 8348 mksh                               	      77       13       63        1        0
 8349 mtd-utils                          	      77        3       73        1        0
 8350 nscd                               	      77       29       47        1        0
 8351 paperkey                           	      77        2       74        1        0
 8352 psensor-common                     	      77        0        2        0       75
 8353 putty-tools                        	      77        3       72        2        0
 8354 python-docutils                    	      77        2       74        1        0
 8355 python3-llvmlite                   	      77        0       77        0        0
 8356 python3-numba                      	      77        0       77        0        0
 8357 xpra                               	      77       15       62        0        0
 8358 amule                              	      76        6       66        4        0
 8359 asunder                            	      76        2       73        1        0
 8360 ebtables                           	      76        7       68        1        0
 8361 geany-plugin-lineoperations        	      76        0        0        0       76
 8362 geany-plugin-markdown              	      76        0        0        0       76
 8363 girepository-tools                 	      76        2       51       23        0
 8364 gpsd-tools                         	      76        5       70        1        0
 8365 groovy                             	      76        3       72        1        0
 8366 grub-customizer                    	      76        4       68        4        0
 8367 kwayland5-data                     	      76        0        0        0       76
 8368 libbinio1v5                        	      76        9       21        0       46
 8369 libboost-locale-dev                	      76        0        0        0       76
 8370 libboost-python-dev                	      76        0        0        0       76
 8371 libdleyna-core-1.0-5               	      76        0        0        0       76
 8372 libebook-contacts-1.2-3            	      76        2       27        1       46
 8373 libemail-sender-perl               	      76        3       73        0        0
 8374 libfox-1.6-0                       	      76        2        3        0       71
 8375 libgio-2.0-dev-bin                 	      76        2       51       23        0
 8376 libilbc3                           	      76       24       34        0       18
 8377 libjson-glib-dev                   	      76        0       73        3        0
 8378 libkf6globalaccel-data             	      76       10        8        1       57
 8379 libkf6xmlgui-data                  	      76        6        7        1       62
 8380 libllvm17t64                       	      76        1       19        0       56
 8381 libmcpp0                           	      76        0        0        0       76
 8382 libopencolorio1v5                  	      76        0        0        0       76
 8383 libopusenc0                        	      76        0        1        0       75
 8384 libosgi-annotation-java            	      76        0        0        0       76
 8385 libosgi-compendium-java            	      76        0        0        0       76
 8386 libosgi-core-java                  	      76        0        0        0       76
 8387 libpango1.0-doc                    	      76        0        0        0       76
 8388 libqt4-sql                         	      76        0        1        0       75
 8389 libqtermwidget5-0                  	      76        6       11        0       59
 8390 librplay3                          	      76        3        7        0       66
 8391 libruby3.1t64                      	      76       14       62        0        0
 8392 libset-scalar-perl                 	      76        2       74        0        0
 8393 libtk-img                          	      76        0        0        0       76
 8394 libvde0                            	      76        0        0        0       76
 8395 libxcb-xkb-dev                     	      76        2       73        1        0
 8396 linguist-qt6                       	      76        1       64       11        0
 8397 linux-kbuild-4.19                  	      76        0        0        0       76
 8398 mime-construct                     	      76       20       55        1        0
 8399 minissdpd                          	      76       15       61        0        0
 8400 nautilus-extension-brasero         	      76        0        2        0       74
 8401 opendoas                           	      76        8       65        2        1
 8402 openscad-mcad                      	      76        0        0        0       76
 8403 pkg-kde-tools                      	      76        1       75        0        0
 8404 postgresql-client-11               	      76       14       62        0        0
 8405 python-httplib2                    	      76        5       71        0        0
 8406 ruby-mini-portile2                 	      76        2       74        0        0
 8407 stockfish                          	      76        1       74        1        0
 8408 tigervnc-tools                     	      76        5       70        1        0
 8409 tofrodos                           	      76        4       72        0        0
 8410 u-boot-tools                       	      76        5       65        6        0
 8411 vde2                               	      76        3       72        1        0
 8412 virt-p2v                           	      76        2       72        2        0
 8413 asciidoc-base                      	      75        1       72        2        0
 8414 calf-plugins                       	      75        9       65        1        0
 8415 fp-ide-3.2.2                       	      75        5       70        0        0
 8416 fp-units-base-3.2.2                	      75        0        0        0       75
 8417 fp-units-db-3.2.2                  	      75        0        0        0       75
 8418 fp-units-fcl-3.2.2                 	      75        0        0        0       75
 8419 fp-units-fv-3.2.2                  	      75        0        0        0       75
 8420 fp-units-gfx-3.2.2                 	      75        0        0        0       75
 8421 fp-units-math-3.2.2                	      75        0        0        0       75
 8422 fp-units-misc-3.2.2                	      75        0        0        0       75
 8423 fp-units-multimedia-3.2.2          	      75        0        0        0       75
 8424 fp-units-net-3.2.2                 	      75        0        0        0       75
 8425 galera-3                           	      75        3       72        0        0
 8426 gnome-power-manager                	      75        2       62       11        0
 8427 javahelp2                          	      75        1       73        1        0
 8428 kcharselect                        	      75        2       71        2        0
 8429 kdegames-mahjongg-data-kf5         	      75        0        0        0       75
 8430 krb5-user                          	      75        4       71        0        0
 8431 ksudoku                            	      75        1       73        1        0
 8432 libevdocument3-4t64                	      75        0        1        0       74
 8433 libevview3-3t64                    	      75        0        1        0       74
 8434 libfreehep-graphicsio-java         	      75        0        0        0       75
 8435 libfwupdplugin1                    	      75        2       18        1       54
 8436 libgnome2-bin                      	      75        1       74        0        0
 8437 libjs-d3                           	      75        0        0        0       75
 8438 libkf5dbusaddons-doc               	      75        0        0        0       75
 8439 libkf6completion-data              	      75        8        7        1       59
 8440 libkf6globalaccel6                 	      75       17       16        1       41
 8441 libkf6xmlgui6                      	      75       17       16        1       41
 8442 liblqr-1-0-dev                     	      75        0       74        1        0
 8443 liblxc-common                      	      75        2       68        5        0
 8444 liblzo2-dev                        	      75        1       74        0        0
 8445 libmagickcore-6-headers            	      75        1       73        1        0
 8446 libmtdev-dev                       	      75        1       73        1        0
 8447 libnet-idn-encode-perl             	      75       20       32        0       23
 8448 libnlopt0                          	      75        0        2        0       73
 8449 libpcap-dev                        	      75        0        0        0       75
 8450 libphonon-l10n                     	      75        9       13        0       53
 8451 libqt4-opengl                      	      75        0        1        0       74
 8452 libreoffice-l10n-it                	      75        7       60        8        0
 8453 libsox-fmt-pulse                   	      75        1        0        0       74
 8454 libstrongswan-standard-plugins     	      75       16       59        0        0
 8455 libsuitesparseconfig4              	      75        0        0        0       75
 8456 libwayland-cursor++1               	      75        3       10        0       62
 8457 libwebpmux1                        	      75        0        0        0       75
 8458 libxres-dev                        	      75        1       73        1        0
 8459 libzfs4linux                       	      75       14       38        0       23
 8460 marble                             	      75        2       69        4        0
 8461 munin-common                       	      75       23       52        0        0
 8462 munin-node                         	      75       10       64        1        0
 8463 munin-plugins-core                 	      75        6       68        1        0
 8464 native-architecture                	      75        0        0        0       75
 8465 ocaml-base                         	      75        1       72        1        1
 8466 php8.2-fpm                         	      75       29       46        0        0
 8467 php8.2-imagick                     	      75       24       51        0        0
 8468 psensor                            	      75        1       72        2        0
 8469 python-dev                         	      75        4       71        0        0
 8470 python3-jupyterlab-pygments        	      75        1       74        0        0
 8471 python3-zope.component             	      75       32       43        0        0
 8472 slick-greeter                      	      75       14       55        6        0
 8473 stk                                	      75        3       70        2        0
 8474 units                              	      75        6       67        2        0
 8475 x2goclient                         	      75        8       67        0        0
 8476 xfig-libs                          	      75        0        0        0       75
 8477 zfs-dkms                           	      75        4       66        5        0
 8478 assistant-qt6                      	      74        1       62       11        0
 8479 default-mysql-server               	      74        0        0        0       74
 8480 fonts-firacode                     	      74        3        6        0       65
 8481 git-email                          	      74        2       67        5        0
 8482 gnome-themes-standard              	      74        0        0        0       74
 8483 kirigami-addons-data               	      74        0        0        0       74
 8484 ksh                                	      74        0       24        0       50
 8485 libatk1.0-doc                      	      74        0        0        0       74
 8486 libavahi-client-dev                	      74        2       72        0        0
 8487 libdavs2-16                        	      74       24       35        0       15
 8488 libgeronimo-validation-1.0-spec-java	      74        0        0        0       74
 8489 libimage-png-libpng-perl           	      74        1       72        1        0
 8490 libjs-leaflet                      	      74        0        0        0       74
 8491 libkf5kmahjongglib5                	      74        1        1        0       72
 8492 liblxqt-globalkeys0                	      74        8       19        0       47
 8493 libmonoboehm-2.0-1                 	      74        0        0        0       74
 8494 libmpfi0                           	      74        0        0        0       74
 8495 libmpv2                            	      74        2        2        0       70
 8496 libmysqlclient18                   	      74        1        2        0       71
 8497 liborcus-0.11-0                    	      74        0        0        0       74
 8498 libpam-cgfs                        	      74       16       36        1       21
 8499 libqhull7                          	      74        0        0        0       74
 8500 libsecret-tools                    	      74        2       72        0        0
 8501 libwayland-client++1               	      74        3       10        0       61
 8502 libwayland-egl++1                  	      74        3       10        0       61
 8503 libxavs2-13                        	      74       24       35        0       15
 8504 libxvmc-dev                        	      74        0       73        1        0
 8505 lightdm-settings                   	      74        7       59        8        0
 8506 linux-image-4.19.0-27-amd64        	      74        0       72        2        0
 8507 manpages-pl                        	      74        0        0        0       74
 8508 manpages-pl-dev                    	      74        0        0        0       74
 8509 mate-menu                          	      74        7       65        2        0
 8510 orage                              	      74        2       70        2        0
 8511 php-fpm                            	      74        0        0        0       74
 8512 python-tz                          	      74        2       70        1        1
 8513 python3-cpuinfo                    	      74        2       72        0        0
 8514 python3-nbclient                   	      74        4       70        0        0
 8515 python3-nest-asyncio               	      74        1       73        0        0
 8516 python3-pycountry                  	      74        5       69        0        0
 8517 python3-selinux                    	      74        7       63        4        0
 8518 python3-zope.hookable              	      74       31       43        0        0
 8519 qt6-documentation-tools            	      74        1       62       11        0
 8520 qt6-l10n-tools                     	      74        2       61       11        0
 8521 qt6-tools-dev-tools                	      74        1       62       11        0
 8522 task-gnome-desktop                 	      74        0        0        0       74
 8523 task-italian-desktop               	      74        0        0        0       74
 8524 task-print-service                 	      74        0        0        0       74
 8525 testng                             	      74        0        0        0       74
 8526 transfig                           	      74        0        6        0       68
 8527 uvcdynctrl                         	      74       11       62        1        0
 8528 uvcdynctrl-data                    	      74        0        0        0       74
 8529 xfe-themes                         	      74        0        0        0       74
 8530 amule-utils                        	      73        1       68        4        0
 8531 chromium-driver                    	      73        3       59       11        0
 8532 digikam-data                       	      73        0        0        0       73
 8533 dolphin-plugins                    	      73        2        1        0       70
 8534 firejail                           	      73        6       66        1        0
 8535 fonts-nakula                       	      73        0        0        0       73
 8536 geany-plugin-codenav               	      73        0        0        0       73
 8537 geany-plugin-insertnum             	      73        0        0        0       73
 8538 geany-plugin-latex                 	      73        0        0        0       73
 8539 gist                               	      73        2       69        2        0
 8540 grsync                             	      73        3       69        1        0
 8541 handbrake-cli                      	      73        5       66        2        0
 8542 libboost-locale1.74-dev            	      73        0        0        0       73
 8543 libcppunit-dev                     	      73        2       70        1        0
 8544 libcupsmime1                       	      73        1        0        0       72
 8545 libcupsppdc1                       	      73        0        0        0       73
 8546 libept1.4.12                       	      73        0        0        0       73
 8547 libgio-2.0-dev                     	      73        2       50       21        0
 8548 libgrpc++1.51                      	      73        0        0        0       73
 8549 libjs-backbone                     	      73        0        0        0       73
 8550 libkf5package-dev                  	      73        1       71        1        0
 8551 libkf5service-doc                  	      73        0        0        0       73
 8552 libkf6completion6                  	      73       13       15        1       44
 8553 libmagickcore-6-arch-config        	      73        1       71        1        0
 8554 libopengl-perl                     	      73        0        0        0       73
 8555 libruby2.1                         	      73        4       69        0        0
 8556 libspeex-dev                       	      73        1       70        2        0
 8557 libxmlsec1t64-openssl              	      73        0        4        0       69
 8558 lsp-plugins-lv2                    	      73       11       60        1        1
 8559 lutris                             	      73        7       66        0        0
 8560 ocaml-interp                       	      73        3       69        1        0
 8561 pax                                	      73        6       67        0        0
 8562 php7.4-xml                         	      73       26       47        0        0
 8563 python3-socksio                    	      73        1       60       12        0
 8564 qml6-module-qt-labs-qmlmodels      	      73        9       13        1       50
 8565 sdparm                             	      73        3       70        0        0
 8566 strongswan-libcharon               	      73       17       56        0        0
 8567 surf                               	      73        1       71        1        0
 8568 tk-dev                             	      73        0        0        0       73
 8569 a2ps                               	      72        6       66        0        0
 8570 apcalc-common                      	      72        0        0        0       72
 8571 caja-admin                         	      72        0        0        0       72
 8572 colordiff                          	      72        5       66        1        0
 8573 debian-kernel-handbook             	      72        0        0        0       72
 8574 fp-units-gtk2-3.2.2                	      72        0        0        0       72
 8575 geany-plugin-git-changebar         	      72        0        0        0       72
 8576 geany-plugin-macro                 	      72        0        0        0       72
 8577 gimp-plugin-registry               	      72        0       72        0        0
 8578 gnome-panel-data                   	      72        0        4        0       68
 8579 kruler                             	      72        0       68        4        0
 8580 lib3mf1                            	      72        3        2        0       67
 8581 liballegro4.4                      	      72        0        0        0       72
 8582 libapache2-mod-php7.4              	      72       27       45        0        0
 8583 libboost-graph-dev                 	      72        0        0        0       72
 8584 libcupscgi1                        	      72        0        0        0       72
 8585 libexporter-lite-perl              	      72        6       65        1        0
 8586 libgimp2.0t64                      	      72        0        1        0       71
 8587 libjava3d-java                     	      72        0        0        0       72
 8588 libjava3d-jni                      	      72        0       72        0        0
 8589 libjs-jquery-datatables            	      72        0        0        0       72
 8590 libkf5compactdisc5                 	      72        0        0        0       72
 8591 libkf6itemmodels6                  	      72       11       14        1       46
 8592 liblouisutdml7                     	      72        0        0        0       72
 8593 libmetadata-extractor-java         	      72        0        0        0       72
 8594 libnginx-mod-stream                	      72       23       49        0        0
 8595 libnss3-dev                        	      72        1       67        4        0
 8596 libplasmaactivities6               	      72       10       15        1       46
 8597 libprocps0                         	      72        0        0        0       72
 8598 libqt4-xmlpatterns                 	      72        0        1        0       71
 8599 librtlsdr0                         	      72       14       57        1        0
 8600 libsox-fmt-ao                      	      72        1        0        0       71
 8601 libtfm1                            	      72        4       13        0       55
 8602 libtorrent21                       	      72        1        2        0       69
 8603 libwacom-dev                       	      72        0       70        2        0
 8604 libxmpcore-java                    	      72        0        0        0       72
 8605 lilypond-data                      	      72        0        0        0       72
 8606 linux-headers-6.1.0-21-common      	      72        0       72        0        0
 8607 mono-utils                         	      72        5       61        6        0
 8608 monodoc-base                       	      72        2       68        2        0
 8609 node-asynckit                      	      72        1        5        0       66
 8610 node-combined-stream               	      72        1        5        0       66
 8611 node-delayed-stream                	      72        1        5        0       66
 8612 node-form-data                     	      72        1        5        0       66
 8613 oathtool                           	      72        4       68        0        0
 8614 okteta                             	      72        1       67        4        0
 8615 python-defusedxml                  	      72        1       70        1        0
 8616 python2-dev                        	      72        3       69        0        0
 8617 python3-certbot-apache             	      72       16       56        0        0
 8618 python3-coloredlogs                	      72       17       53        2        0
 8619 python3-examples                   	      72        0        0        0       72
 8620 qml6-module-qt-labs-folderlistmodel	      72        2        1        0       69
 8621 qml6-module-qtqml-base             	      72        0        0        0       72
 8622 ruby-unf                           	      72       11       61        0        0
 8623 ruby-unf-ext                       	      72        2       69        1        0
 8624 ruby3.1-dev                        	      72        3       69        0        0
 8625 alsaplayer-gtk                     	      71        0        3        0       68
 8626 anthy-common                       	      71        4        5        0       62
 8627 apt-rdepends                       	      71        7       64        0        0
 8628 cdbs                               	      71        2       66        3        0
 8629 dh-make                            	      71        1       66        4        0
 8630 fpc-3.2.2                          	      71        0        0        0       71
 8631 geany-plugin-autoclose             	      71        0        0        0       71
 8632 geany-plugin-commander             	      71        0        0        0       71
 8633 gnome-applets-data                 	      71        0        0        0       71
 8634 id3v2                              	      71        1       70        0        0
 8635 kde-config-cddb                    	      71        0        3        0       68
 8636 kdelibs5-data                      	      71        0        0        0       71
 8637 kompare                            	      71        1       69        1        0
 8638 libavcodec-extra59                 	      71       13       48        0       10
 8639 libboost-python1.74-dev            	      71        0        0        0       71
 8640 libboost-random-dev                	      71        0        0        0       71
 8641 libcppunit-1.15-0                  	      71        0        0        0       71
 8642 libgltf-0.0-0v5                    	      71        0        0        0       71
 8643 libgstreamer1.0-dev                	      71        1       66        4        0
 8644 libhamlib4                         	      71       11       58        2        0
 8645 libhdf5-hl-cpp-100                 	      71        0        0        0       71
 8646 libjetty9-java                     	      71        0        0        0       71
 8647 libjsoncpp26                       	      71        1        2        0       68
 8648 libkf6kcmutils6                    	      71        9        7        1       54
 8649 libkf6kiowidgets6                  	      71       13       15        1       42
 8650 libkf6runner6                      	      71        9       14        1       47
 8651 libkissfft-float131                	      71        3       10        0       58
 8652 libmagickcore-6.q16-dev            	      71        0        0        0       71
 8653 libmalcontent-ui-0-0               	      71        0        0        0       71
 8654 libnetcdf-c++4                     	      71        0        0        0       71
 8655 libnghttp2-dev                     	      71        0       70        1        0
 8656 libnunit-framework2.6.3-cil        	      71        0       70        1        0
 8657 libsox-fmt-oss                     	      71        1        0        0       70
 8658 libsox2                            	      71        0        0        0       71
 8659 libva-wayland1                     	      71        0        0        0       71
 8660 libxfce4windowing-0-0              	      71       21       20        2       28
 8661 libxfce4windowing-common           	      71        2        0        0       69
 8662 lilypond                           	      71        1       66        4        0
 8663 localepurge                        	      71       10       61        0        0
 8664 monodoc-manual                     	      71        0       69        2        0
 8665 ntp-doc                            	      71        0        0        0       71
 8666 nx-x11-common                      	      71        0        0        0       71
 8667 nxagent                            	      71        8       62        1        0
 8668 opencl-c-headers                   	      71        1       69        1        0
 8669 python3-atomicwrites               	      71        0       70        1        0
 8670 python3-outcome                    	      71        1       70        0        0
 8671 python3-pyqt5.qsci                 	      71        4       65        2        0
 8672 python3-trio                       	      71        1       67        3        0
 8673 qml6-module-qt5compat-graphicaleffects	      71        8       16        1       46
 8674 strongswan-charon                  	      71       17       54        0        0
 8675 strongswan-starter                 	      71       20       51        0        0
 8676 sysbench                           	      71        4       67        0        0
 8677 task-polish                        	      71        0        0        0       71
 8678 texinfo-lib                        	      71        3       67        1        0
 8679 wx3.0-headers                      	      71        1       70        0        0
 8680 zfsutils-linux                     	      71       16       53        2        0
 8681 aircrack-ng                        	      70        3       67        0        0
 8682 alpine-doc                         	      70        0        0        0       70
 8683 android-libcrypto-utils            	      70        1        0        0       69
 8684 arc-theme                          	      70        8       16        2       44
 8685 ardour-data                        	      70        0        0        0       70
 8686 arping                             	      70        5       64        1        0
 8687 docbook-utils                      	      70        2       67        1        0
 8688 ffmpeg-doc                         	      70        0        0        0       70
 8689 fonts-lohit-beng-bengali           	      70        6        2        0       62
 8690 fuse-overlayfs                     	      70        3       65        2        0
 8691 g++-12-multilib                    	      70        0        0        0       70
 8692 g++-13                             	      70        1       68        1        0
 8693 geany-plugin-doc                   	      70        0        0        0       70
 8694 geany-plugin-lipsum                	      70        0        0        0       70
 8695 geany-plugin-miniscript            	      70        0        0        0       70
 8696 geany-plugin-pairtaghighlighter    	      70        0        0        0       70
 8697 geany-plugin-tableconvert          	      70        0        0        0       70
 8698 geany-plugin-vimode                	      70        0        0        0       70
 8699 gimp-gmic                          	      70        1       69        0        0
 8700 hunspell-pl                        	      70        0        0        0       70
 8701 inputattach                        	      70        7       62        1        0
 8702 kmines                             	      70        1       68        1        0
 8703 lib32stdc++-12-dev                 	      70        0       68        2        0
 8704 libboost-context-dev               	      70        0        0        0       70
 8705 libboost-graph1.74-dev             	      70        0        0        0       70
 8706 libboost-graph1.74.0               	      70        0        0        0       70
 8707 libboost-random1.74-dev            	      70        0        0        0       70
 8708 libclutter-imcontext-0.1-0         	      70        0        0        0       70
 8709 libgegl-0.3-0                      	      70        0        0        0       70
 8710 libgtksourceviewmm-3.0-0v5         	      70        0        0        0       70
 8711 libkf6kcmutils-bin                 	      70        6       39       25        0
 8712 liblxqt-globalkeys-ui0             	      70        8       19        0       43
 8713 libqt4-script                      	      70        0        1        0       69
 8714 libqt5positioning5-plugins         	      70        0        0        0       70
 8715 libreoffice-help-it                	      70        0        0        0       70
 8716 libsrtp0                           	      70        0        1        0       69
 8717 libstd-rust-1.63                   	      70        0        0        0       70
 8718 libtss2-tcti-libtpms0t64           	      70        0        0        0       70
 8719 libx32stdc++-12-dev                	      70        0       68        2        0
 8720 linux-image-5.10.0-25-amd64        	      70        2       67        0        1
 8721 mariadb-client-10.5                	      70        7       59        4        0
 8722 menulibre                          	      70        8       61        1        0
 8723 nut-client                         	      70       16       53        1        0
 8724 opera-stable                       	      70        4       56       10        0
 8725 phonon-backend-vlc-common          	      70        0        0        0       70
 8726 php-apcu                           	      70        6       12        0       52
 8727 python-wstools                     	      70        2       67        1        0
 8728 python3-debugpy                    	      70        1       69        0        0
 8729 python3-diff-match-patch           	      70        0       69        1        0
 8730 python3-pathspec                   	      70        0       69        1        0
 8731 q4wine                             	      70        4       65        1        0
 8732 ranger                             	      70        4       65        1        0
 8733 ruby-domain-name                   	      70       12       58        0        0
 8734 ruby-http-cookie                   	      70       12       58        0        0
 8735 ruby-xmlparser                     	      70       15       55        0        0
 8736 sxiv                               	      70        7       61        2        0
 8737 xfe                                	      70        4       66        0        0
 8738 alpine                             	      69        6       60        3        0
 8739 aspell-de-1901                     	      69        2       67        0        0
 8740 brscan-skey                        	      69        1        1        0       67
 8741 extrepo-offline-data               	      69        0        0        0       69
 8742 fonts-gargi                        	      69        0        1        0       68
 8743 geany-plugin-extrasel              	      69        0        0        0       69
 8744 geany-plugin-numberedbookmarks     	      69        0        0        0       69
 8745 geany-plugin-prettyprinter         	      69        0        0        0       69
 8746 geany-plugin-prj                   	      69        0        0        0       69
 8747 geany-plugin-projectorganizer      	      69        0        0        0       69
 8748 geany-plugin-shiftcolumn           	      69        0        0        0       69
 8749 gnome-session-canberra             	      69        2       66        0        1
 8750 keepass2                           	      69        5       64        0        0
 8751 kitty-terminfo                     	      69        0        0        0       69
 8752 libacl1-dev                        	      69        2       67        0        0
 8753 libauthen-pam-perl                 	      69       20       26        0       23
 8754 libboost-log-dev                   	      69        0        0        0       69
 8755 libclutter-imcontext-0.1-bin       	      69        2       67        0        0
 8756 libfmt-dev                         	      69        1       67        1        0
 8757 libgles2-mesa-dev                  	      69        0       16        0       53
 8758 libkf5plasma-dev                   	      69        1       68        0        0
 8759 libplexus-container-default-java   	      69        0        0        0       69
 8760 libpodofo0.9.7                     	      69        0        0        0       69
 8761 libqm-dsp0                         	      69        0        0        0       69
 8762 libqt4-sql-mysql                   	      69        1        0        0       68
 8763 libreofficekit-data                	      69        1       59        9        0
 8764 librtmp-dev                        	      69        0       68        1        0
 8765 libruby2.3                         	      69       11       58        0        0
 8766 libsdl2-image-dev                  	      69        0       68        1        0
 8767 libsharpyuv-dev                    	      69        1       66        2        0
 8768 libtss2-tcti-spi-helper0t64        	      69        0        0        0       69
 8769 libwlroots10                       	      69        9        6        2       52
 8770 libzpool5linux                     	      69        0        0        0       69
 8771 lighttpd                           	      69       20       49        0        0
 8772 linux-headers-6.1.0-21-amd64       	      69        0       69        0        0
 8773 linux-image-4.9.0-6-amd64          	      69        1       65        0        3
 8774 lrzip                              	      69        7       61        1        0
 8775 lxc-templates                      	      69        0        0        0       69
 8776 munin-plugins-extra                	      69        0        0        0       69
 8777 node-extsprintf                    	      69        1        6        0       62
 8778 opencl-clhpp-headers               	      69        0        0        0       69
 8779 plasma-activities-bin              	      69        3       37       29        0
 8780 python3-pyflakes                   	      69        0       69        0        0
 8781 python3.12-tk                      	      69        1       63        5        0
 8782 qastools-common                    	      69        4        9        0       56
 8783 qml6-module-qtquick-tooling        	      69        0        0        0       69
 8784 ruby-unicode                       	      69       14       54        1        0
 8785 scdaemon                           	      69       11       57        1        0
 8786 spotify-client                     	      69        8       58        3        0
 8787 stterm                             	      69        5       64        0        0
 8788 sysfsutils                         	      69        8       61        0        0
 8789 texstudio                          	      69        4       64        1        0
 8790 tint2                              	      69       12       55        2        0
 8791 vim-doc                            	      69        0        0        0       69
 8792 vinagre                            	      69        2       67        0        0
 8793 xjadeo                             	      69        0       69        0        0
 8794 apt-listbugs                       	      68       15       52        1        0
 8795 ardour                             	      68        0       68        0        0
 8796 caja-sendto                        	      68       15       51        2        0
 8797 dblatex-doc                        	      68        0        0        0       68
 8798 geany-plugin-ctags                 	      68        0        0        0       68
 8799 geany-plugin-gendoc                	      68        0        0        0       68
 8800 geany-plugin-geniuspaste           	      68        0        0        0       68
 8801 geany-plugin-lua                   	      68        0        0        0       68
 8802 geany-plugin-overview              	      68        0        0        0       68
 8803 geany-plugin-pg                    	      68        0        0        0       68
 8804 geany-plugin-pohelper              	      68        0        0        0       68
 8805 geany-plugin-updatechecker         	      68        0        0        0       68
 8806 geany-plugin-vc                    	      68        0        0        0       68
 8807 geany-plugin-xmlsnippets           	      68        0        0        0       68
 8808 gir1.2-appindicator3-0.1           	      68        1        3        0       64
 8809 gpsbabel                           	      68        3       65        0        0
 8810 gpsbabel-doc                       	      68        0        0        0       68
 8811 kleopatra                          	      68        2       65        1        0
 8812 libappimage1.0abi1t64              	      68        0        0        1       67
 8813 libboost-context1.74-dev           	      68        0        0        0       68
 8814 libboost-timer1.74-dev             	      68        0        0        0       68
 8815 libboost-timer1.74.0               	      68        0        0        0       68
 8816 libcompress-raw-lzma-perl          	      68        2        3        0       63
 8817 libcpan-meta-perl                  	      68        1       67        0        0
 8818 libcryptui0a                       	      68        0        0        0       68
 8819 libecore1                          	      68        2        8        0       58
 8820 libfreehep-graphicsio-svg-java     	      68        0        0        0       68
 8821 libgeotiff2                        	      68        0        0        0       68
 8822 libglibmm-2.4-dev                  	      68        0       67        1        0
 8823 libgpars-groovy-java               	      68        0        0        0       68
 8824 libinput-dev                       	      68        1       65        2        0
 8825 libjboss-logging-java              	      68        0        0        0       68
 8826 libjcsp-java                       	      68        0        0        0       68
 8827 libmbedtls21                       	      68        2        0        0       66
 8828 libmbedx509-7                      	      68        2        0        0       66
 8829 libminiupnpc18                     	      68        2        6        0       60
 8830 libmultiverse-core-java            	      68        0        0        0       68
 8831 libnet-ldap-perl                   	      68        8       60        0        0
 8832 libogdi3.2                         	      68        0       68        0        0
 8833 libopencv-photo406                 	      68        0        0        0       68
 8834 libopencv-shape406                 	      68        0        0        0       68
 8835 libqt4-designer                    	      68        0        0        0       68
 8836 libqt6texttospeech6                	      68       13       14        1       40
 8837 libregexp-java                     	      68        0        0        0       68
 8838 libreoffice-gtk2                   	      68        1       65        2        0
 8839 libtss2-tctildr0t64                	      68        0        4        0       64
 8840 libtxc-dxtn-s2tc0                  	      68        0        0        0       68
 8841 libutf8proc3                       	      68        2        8        1       57
 8842 lilypond-fonts                     	      68        0        0        0       68
 8843 linux-source                       	      68        0        0        0       68
 8844 node-assert-plus                   	      68        1        5        0       62
 8845 node-jsbn                          	      68        1       28        0       39
 8846 node-tough-cookie                  	      68        1        6        0       61
 8847 node-verror                        	      68        1       30        0       37
 8848 python-dbus-dev                    	      68        3       63        2        0
 8849 python-soappy                      	      68        1       66        1        0
 8850 python3-prometheus-client          	      68        2       65        1        0
 8851 qtwebengine5-doc                   	      68        0        0        0       68
 8852 ruby-soap4r                        	      68       15       53        0        0
 8853 ruby3.1-doc                        	      68        0        0        0       68
 8854 strongswan                         	      68        0        0        0       68
 8855 supertuxkart-data                  	      68        1       56        2        9
 8856 transmission-cli                   	      68        4       64        0        0
 8857 uuid                               	      68        3       65        0        0
 8858 vlc-plugin-jack                    	      68        1        0        0       67
 8859 vlc-plugin-pipewire                	      68        0        0        0       68
 8860 wkhtmltopdf                        	      68        3       64        1        0
 8861 xbacklight                         	      68        3       65        0        0
 8862 zbar-tools                         	      68        3       65        0        0
 8863 ansible                            	      67        2       63        2        0
 8864 borgbackup                         	      67        5       62        0        0
 8865 codeblocks-common                  	      67        1       65        1        0
 8866 dh-exec                            	      67        3       64        0        0
 8867 digikam                            	      67        4       60        3        0
 8868 digikam-private-libs               	      67        3       60        4        0
 8869 docbook-to-man                     	      67        1       62        4        0
 8870 fonts-lohit-beng-assamese          	      67        1        0        0       66
 8871 fvwm                               	      67       13       54        0        0
 8872 gcc-doc                            	      67        0        0        0       67
 8873 geany-plugin-debugger              	      67        0        0        0       67
 8874 geany-plugin-defineformat          	      67        0        0        0       67
 8875 geany-plugin-sendmail              	      67        0        0        0       67
 8876 geany-plugin-workbench             	      67        0        0        0       67
 8877 gir1.2-glib-2.0-dev                	      67        0        0        0       67
 8878 git-buildpackage                   	      67        3       64        0        0
 8879 gnome-flashback-common             	      67        0       53       11        3
 8880 gnome-panel                        	      67        3       55        9        0
 8881 joystick                           	      67        7       60        0        0
 8882 kde-style-qtcurve-qt5              	      67        0        8        0       59
 8883 kgpg                               	      67        6       60        1        0
 8884 libadplug-2.3.3-0                  	      67        9       21        0       37
 8885 libappmenu-gtk3-parser0            	      67        8       20        0       39
 8886 libavdevice-dev                    	      67        0       62        5        0
 8887 libboost-coroutine-dev             	      67        0        0        0       67
 8888 libboost-log1.74-dev               	      67        0        0        0       67
 8889 libboost-timer-dev                 	      67        0        0        0       67
 8890 libcharon-extauth-plugins          	      67       15       52        0        0
 8891 libchromaprint-tools               	      67        2       64        1        0
 8892 libclamav9                         	      67        4       13        0       50
 8893 libctpl2                           	      67        0        0        0       67
 8894 libcups2-dev                       	      67        0       66        1        0
 8895 libcupsimage2-dev                  	      67        0       66        1        0
 8896 libdb-dev                          	      67        0        0        0       67
 8897 libdlrestrictions1                 	      67        1        1        0       65
 8898 libical2                           	      67        0        0        0       67
 8899 libjcip-annotations-java           	      67        0        0        0       67
 8900 libjgoodies-common-java            	      67        0        0        0       67
 8901 libjline-java                      	      67        0        0        0       67
 8902 libllvm9                           	      67        0        0        0       67
 8903 libnunit-console-runner2.6.3-cil   	      67        0        0        0       67
 8904 libnunit-core-interfaces2.6.3-cil  	      67        0       66        1        0
 8905 libnunit-core2.6.3-cil             	      67        0       66        1        0
 8906 libnunit-mocks2.6.3-cil            	      67        0       66        1        0
 8907 libnunit-util2.6.3-cil             	      67        0       66        1        0
 8908 libnx-x11-6                        	      67        2        2        0       63
 8909 libopencv-stitching406             	      67        0        0        0       67
 8910 libopencv-viz406                   	      67        0        0        0       67
 8911 libpoppler145                      	      67        3        2        0       62
 8912 libqt6quickcontrols2impl6          	      67        0        0        0       67
 8913 libsfml-graphics2.5                	      67        0        2        0       65
 8914 libsfml-window2.5                  	      67        0        2        0       65
 8915 libsource-highlight4t64            	      67        0        0        0       67
 8916 libtag-c2                          	      67       19        9        1       38
 8917 libtidy5                           	      67        1        0        0       66
 8918 libwxbase3.0-dev                   	      67        0        0        0       67
 8919 libxbean-reflect-java              	      67        0        0        0       67
 8920 libxcompshad3                      	      67        2        2        0       63
 8921 lightdm-gtk-greeter-settings       	      67        2       64        1        0
 8922 lynis                              	      67        7       58        2        0
 8923 node-extend                        	      67        1       29        0       37
 8924 node-json-stringify-safe           	      67        0        0        0       67
 8925 node-jsprim                        	      67        1        5        0       61
 8926 node-qs                            	      67        1        5        0       61
 8927 ntpsec-doc                         	      67        0        0        0       67
 8928 pdfgrep                            	      67        5       62        0        0
 8929 pulseaudio-module-zeroconf         	      67        2       64        1        0
 8930 python-gobject                     	      67        0        0        0       67
 8931 python-olefile                     	      67        1       65        1        0
 8932 python3-bytecode                   	      67        0       67        0        0
 8933 python3-mysqldb                    	      67        7       60        0        0
 8934 qml6-module-qtcore                 	      67        9       12        1       45
 8935 ruby-concurrent                    	      67        3       63        1        0
 8936 supertuxkart                       	      67        3       62        2        0
 8937 vlc-plugin-fluidsynth              	      67        1        1        0       65
 8938 vlc-plugin-svg                     	      67        0        0        0       67
 8939 wmaker                             	      67        6       61        0        0
 8940 wmdocker                           	      67        0       65        2        0
 8941 wx3.2-headers                      	      67        1       65        1        0
 8942 zynaddsubfx-data                   	      67        0        4        0       63
 8943 aqemu                              	      66        7       58        1        0
 8944 ardour-lv2-plugins                 	      66        1       65        0        0
 8945 asciidoc                           	      66        0        0        0       66
 8946 binutils-gold                      	      66        8       41       17        0
 8947 brscan4                            	      66        1       65        0        0
 8948 clang-tidy                         	      66        3       61        2        0
 8949 codeblocks                         	      66        6       59        1        0
 8950 erlang-base                        	      66        4       61        1        0
 8951 firejail-profiles                  	      66        0        0        0       66
 8952 fonts-takao-gothic                 	      66        3        5        0       58
 8953 geany-plugin-keyrecord             	      66        0        0        0       66
 8954 geany-plugin-scope                 	      66        0        0        0       66
 8955 guestmount                         	      66        1       61        4        0
 8956 harvid                             	      66        0       66        0        0
 8957 imagemagick-common                 	      66        0        0        0       66
 8958 jupyter-core                       	      66        4       62        0        0
 8959 libboost-atomic-dev                	      66        0        0        0       66
 8960 libboost-math1.74-dev              	      66        0        0        0       66
 8961 libboost-math1.74.0                	      66        0        0        0       66
 8962 libboost-tools-dev                 	      66        0        0        0       66
 8963 libgoocanvas2-perl                 	      66        1       63        2        0
 8964 libgphoto2-dev                     	      66        0       65        1        0
 8965 libgstreamer0.10-0                 	      66        1        3        0       62
 8966 libgtk-3-doc                       	      66        0        0        0       66
 8967 libgtksourceview2.0-common         	      66        0        0        0       66
 8968 libhttrack2                        	      66        0        0        0       66
 8969 libidn11-dev                       	      66        0       32        0       34
 8970 libjs-moment                       	      66        0        0        0       66
 8971 libjsoncpp-dev                     	      66        2       64        0        0
 8972 libkf6bookmarks-data               	      66        8        7        1       50
 8973 liblibreofficekitgtk               	      66        4       56        6        0
 8974 libntdb1                           	      66        0        0        0       66
 8975 libqrencode3                       	      66        0        1        0       65
 8976 libqt4-declarative                 	      66        0        1        0       65
 8977 libqt6quick3d6                     	      66        0        0        0       66
 8978 libqt6quick3druntimerender6        	      66        0        0        0       66
 8979 libqt6quick3dutils6                	      66        0        0        0       66
 8980 libqt6quicklayouts6                	      66        0        0        0       66
 8981 libsejda-io-java                   	      66        0        0        0       66
 8982 libsejda-java                      	      66        0        0        0       66
 8983 libstringtemplate-java             	      66        0        0        0       66
 8984 libtemplate-perl                   	      66        3       62        1        0
 8985 libtwelvemonkeys-java              	      66        0        0        0       66
 8986 libvkd3d-shader1                   	      66        0        0        0       66
 8987 libwinpr3-3                        	      66        2        1        0       63
 8988 libwtmpdb0                         	      66       19       33        9        5
 8989 locales-all                        	      66       23       42        1        0
 8990 lsp-plugins-r3d-glx                	      66        0        0        0       66
 8991 midori                             	      66        0       66        0        0
 8992 php7.4-mbstring                    	      66       20       46        0        0
 8993 protobuf-compiler                  	      66        1       61        4        0
 8994 python3-argon2                     	      66        1       65        0        0
 8995 python3-pydevd                     	      66        1       65        0        0
 8996 python3-uritools                   	      66        1       65        0        0
 8997 python3-wsproto                    	      66        1       65        0        0
 8998 python3.11-examples                	      66        1       61        4        0
 8999 qml6-module-org-kde-kcmutils       	      66        9       14        1       42
 9000 sbcl                               	      66        3       59        4        0
 9001 setserial                          	      66       12       54        0        0
 9002 termsaver                          	      66        1       65        0        0
 9003 texstudio-doc                      	      66        0        0        0       66
 9004 texstudio-l10n                     	      66        0        1        0       65
 9005 timeshift                          	      66        9       56        1        0
 9006 tzdata-legacy                      	      66        0        0        0       66
 9007 unison-2.52                        	      66        3       61        2        0
 9008 vim-gtk                            	      66        1        9        0       56
 9009 wtmpdb                             	      66       13       39       14        0
 9010 xcalib                             	      66        3       58        5        0
 9011 xmlstarlet                         	      66        2       63        1        0
 9012 anthy                              	      65        1       62        2        0
 9013 appmenu-gtk-module-common          	      65        1       59        5        0
 9014 appmenu-gtk3-module                	      65        8       20        0       37
 9015 ardour-video-timeline              	      65        1       63        1        0
 9016 binutils-gold-x86-64-linux-gnu     	      65        8       40       17        0
 9017 gamin                              	      65        6       14        0       45
 9018 gir1.2-lokdocview-0.1              	      65        0        0        0       65
 9019 gnome-flashback                    	      65        3       53        9        0
 9020 guestfish                          	      65        1       60        4        0
 9021 guestfs-tools                      	      65        2       61        2        0
 9022 kasumi                             	      65        1       61        3        0
 9023 kblocks                            	      65        1       62        2        0
 9024 kdeedu-data                        	      65        0        0        0       65
 9025 kpart5-kompare                     	      65        0        0        0       65
 9026 libaribb25-0                       	      65        0        0        0       65
 9027 libaudclient2                      	      65        2       11        1       51
 9028 libavdevice60                      	      65        2        0        0       63
 9029 libboost-math-dev                  	      65        0        0        0       65
 9030 libboost-wave-dev                  	      65        0        0        0       65
 9031 libboost1.74-tools-dev             	      65        0       65        0        0
 9032 libcapi20-3t64                     	      65        0        0        0       65
 9033 libcdk5nc6                         	      65        0        0        0       65
 9034 libclang1-19                       	      65       10       48        7        0
 9035 libdmtx0t64                        	      65       11       22        1       31
 9036 libfontawesomefx-java              	      65        0        0        0       65
 9037 libfreerdp3-3                      	      65        2        1        0       62
 9038 libfwupd3                          	      65        2        6        2       55
 9039 libgamin0                          	      65        4        8        0       53
 9040 libgeos-c1t64                      	      65        0        0        0       65
 9041 libgit2-1.8                        	      65        1        0        0       64
 9042 libgstreamer-plugins-base0.10-0    	      65        1        3        0       61
 9043 libgtk3-imageview-perl             	      65        1       62        2        0
 9044 libisoburn1t64                     	      65        0        0        0       65
 9045 libjackson2-jr-java                	      65        0        0        0       65
 9046 libjs-psl                          	      65        0        0        0       65
 9047 libjs-requirejs-text               	      65        0        0        0       65
 9048 libkompareinterface5               	      65        0        0        0       65
 9049 libmarco-private1                  	      65        0        0        0       65
 9050 libmicrodns1                       	      65        1        2        0       62
 9051 libmilter1.0.1                     	      65       19       20        0       26
 9052 libmp3-info-perl                   	      65        1       64        0        0
 9053 libnss-winbind                     	      65       14       23        1       27
 9054 libnunit-cil-dev                   	      65        3       61        1        0
 9055 libqt5x11extras5-dev               	      65        0       64        1        0
 9056 libsambox-java                     	      65        0        0        0       65
 9057 libstruct-dumb-perl                	      65        0       64        1        0
 9058 libwxgtk3.2-dev                    	      65        0        0        0       65
 9059 libx2go-log-perl                   	      65       12       53        0        0
 9060 libx2go-server-db-perl             	      65       12       53        0        0
 9061 libx2go-server-perl                	      65        8       56        1        0
 9062 libyaml-dev                        	      65        2       63        0        0
 9063 libzzip-0-13t64                    	      65        3        3        0       59
 9064 linux-headers-6.1.0-18-common      	      65        0       65        0        0
 9065 linux-image-4.19.0-14-amd64        	      65        0       63        1        1
 9066 nload                              	      65        0       65        0        0
 9067 node-asn1                          	      65        3       62        0        0
 9068 node-bcrypt-pbkdf                  	      65        1        5        0       59
 9069 node-dashdash                      	      65        1        5        0       59
 9070 node-ecc-jsbn                      	      65        1        5        0       59
 9071 node-getpass                       	      65        1       30        0       34
 9072 node-sshpk                         	      65        6       59        0        0
 9073 node-tweetnacl                     	      65        1        5        0       59
 9074 nut-server                         	      65       19       45        1        0
 9075 ocrmypdf                           	      65       12       51        2        0
 9076 palapeli-data                      	      65        0        0        0       65
 9077 php7.4-gd                          	      65       20       45        0        0
 9078 phpmyadmin                         	      65       13       52        0        0
 9079 potrace                            	      65        4       61        0        0
 9080 python-mate-menu                   	      65        1       62        2        0
 9081 python3-isodate                    	      65        1       64        0        0
 9082 python3-libcloud                   	      65        0       64        1        0
 9083 python3-pysmi                      	      65        1       61        3        0
 9084 python3-pysnmp4                    	      65        0       62        3        0
 9085 python3-redis                      	      65        0       63        2        0
 9086 python3-requests-ntlm              	      65        0       62        3        0
 9087 python3-usb                        	      65        2       63        0        0
 9088 qemu-system-modules-opengl         	      65        0        2        0       63
 9089 ruby-mime-types                    	      65        1       63        1        0
 9090 ruby2.1                            	      65        4       61        0        0
 9091 ruby2.3                            	      65        6       59        0        0
 9092 sl                                 	      65        1       64        0        0
 9093 soundconverter                     	      65        5       60        0        0
 9094 tlp-rdw                            	      65       12       50        3        0
 9095 vde-switch                         	      65        3       61        1        0
 9096 vde-wirefilter                     	      65        2       62        1        0
 9097 vdeplug                            	      65        2       62        1        0
 9098 wbrazilian                         	      65        2       60        3        0
 9099 x2goserver                         	      65       17       48        0        0
 9100 x2goserver-common                  	      65        1       63        1        0
 9101 x2goserver-xsession                	      65        0        0        0       65
 9102 xsensors                           	      65        2       62        1        0
 9103 clangd                             	      64        3       58        3        0
 9104 cpp-4.8                            	      64        1       63        0        0
 9105 erlang-crypto                      	      64        3       60        1        0
 9106 fio                                	      64        2       61        1        0
 9107 fortunes-debian-hints              	      64        0        0        0       64
 9108 gamemode                           	      64        8       53        3        0
 9109 gcc-12-doc                         	      64        0        0        0       64
 9110 gir1.2-gconf-2.0                   	      64        2       62        0        0
 9111 gir1.2-libxfce4util-1.0            	      64        0        0        0       64
 9112 jfsutils                           	      64        2       60        2        0
 9113 jnettop                            	      64        3       60        1        0
 9114 ladspa-sdk                         	      64        3       60        1        0
 9115 libanthy1                          	      64        4        5        0       55
 9116 libasan0                           	      64        0        0        0       64
 9117 libboost-coroutine1.74-dev         	      64        0        0        0       64
 9118 libboost-exception-dev             	      64        0        0        0       64
 9119 libboost-exception1.74-dev         	      64        0        0        0       64
 9120 libct4                             	      64        0        1        0       63
 9121 libedataserverui-1.2-2             	      64        2       28        1       33
 9122 libgamemodeauto0                   	      64        1        0        0       63
 9123 libgcc-4.8-dev                     	      64        0        0        0       64
 9124 libgnutlsxx28                      	      64        0        0        0       64
 9125 libhdf5-103                        	      64        0        0        0       64
 9126 libjcifs-java                      	      64        0        0        0       64
 9127 libkf5package-doc                  	      64        0        0        0       64
 9128 libkf6bookmarks6                   	      64       13       15        1       35
 9129 libkf6parts-data                   	      64        0        0        0       64
 9130 liblua5.2-dev                      	      64        1       63        0        0
 9131 libnb-org-openide-util-java        	      64        0        0        0       64
 9132 libnb-org-openide-util-lookup-java 	      64        0        0        0       64
 9133 libosinfo-bin                      	      64        0       61        3        0
 9134 libperl-dev                        	      64        0        0        0       64
 9135 libsejda-eventstudio-java          	      64        0        0        0       64
 9136 libsejda-injector-java             	      64        0        0        0       64
 9137 libsfml-audio2.5                   	      64        0        1        0       63
 9138 libsoup2.4-dev                     	      64        0       62        2        0
 9139 libsox-fmt-all                     	      64        0        0        0       64
 9140 libswt-gtk-4-jni                   	      64        4       58        2        0
 9141 libtcmalloc-minimal4               	      64        2        2        0       60
 9142 libtext-aligner-perl               	      64        0       64        0        0
 9143 libtext-table-perl                 	      64        0       64        0        0
 9144 libtinyxml2-8                      	      64        0        6        0       58
 9145 linux-headers-6.1.0-18-amd64       	      64        0       64        0        0
 9146 linux-image-5.10.0-27-amd64        	      64        1       62        0        1
 9147 linux-image-5.10.0-29-amd64        	      64        2       62        0        0
 9148 linux-image-5.10.0-31-amd64        	      64        3       61        0        0
 9149 lksctp-tools                       	      64        2       62        0        0
 9150 logcheck                           	      64       20       43        1        0
 9151 ltrace                             	      64        2       62        0        0
 9152 lxmusic                            	      64        1       63        0        0
 9153 mp3info                            	      64        1       62        1        0
 9154 node-aws-sign2                     	      64        1        6        0       57
 9155 node-aws4                          	      64        1        6        0       57
 9156 node-caseless                      	      64        1        6        0       57
 9157 node-forever-agent                 	      64        1        5        0       58
 9158 node-har-schema                    	      64        1        6        0       57
 9159 node-har-validator                 	      64        1        6        0       57
 9160 node-http-signature                	      64        1        5        0       58
 9161 node-isstream                      	      64        1       29        0       34
 9162 node-oauth-sign                    	      64        1        5        0       58
 9163 node-performance-now               	      64        1       29        0       34
 9164 node-psl                           	      64        0        0        0       64
 9165 node-tunnel-agent                  	      64        1        5        0       58
 9166 openfortivpn                       	      64        6       56        2        0
 9167 php7.4-mysql                       	      64       23       41        0        0
 9168 php8.2-bz2                         	      64       27       37        0        0
 9169 python-pysimplesoap                	      64        4       60        0        0
 9170 python3-lzo                        	      64        6       58        0        0
 9171 python3-pypdf2                     	      64        7       55        2        0
 9172 python3-requests-kerberos          	      64        0       63        1        0
 9173 python3-winrm                      	      64        0       63        1        0
 9174 qalculate-gtk                      	      64        8       54        2        0
 9175 qemu-kvm                           	      64        4       60        0        0
 9176 qhull-bin                          	      64        1       63        0        0
 9177 qt6-qmltooling-plugins             	      64        0        0        0       64
 9178 ruby-addressable                   	      64        4       57        3        0
 9179 slirp4netns                        	      64        7       55        2        0
 9180 smistrip                           	      64        5       59        0        0
 9181 speex                              	      64        2       60        2        0
 9182 webmin                             	      64       17       45        2        0
 9183 wipe                               	      64        5       59        0        0
 9184 x2goserver-x2goagent               	      64        7       56        1        0
 9185 bittornado                         	      63        2       61        0        0
 9186 caja-image-converter               	      63       16       25        1       21
 9187 chrpath                            	      63        0       62        1        0
 9188 cloud-image-utils                  	      63        2       60        1        0
 9189 containernetworking-plugins        	      63        1       55        7        0
 9190 cython3                            	      63        3       58        2        0
 9191 devhelp-common                     	      63        0        0        0       63
 9192 docker                             	      63        0        2        0       61
 9193 elisa                              	      63        1       59        3        0
 9194 erlang-syntax-tools                	      63        1       61        1        0
 9195 fonts-ipafont                      	      63        0        0        0       63
 9196 geany-plugins                      	      63        1        0        0       62
 9197 gnome-applets                      	      63        1       51       11        0
 9198 golang-github-containers-common    	      63        0        0        0       63
 9199 golang-github-containers-image     	      63        0        0        0       63
 9200 kbreakout                          	      63        0       62        1        0
 9201 kcolorchooser                      	      63        2       60        1        0
 9202 kde-runtime-data                   	      63        1       62        0        0
 9203 krusader                           	      63       11       51        1        0
 9204 ksh93u+m                           	      63        9       52        2        0
 9205 ktouch-data                        	      63        0        0        0       63
 9206 ktuberling                         	      63        0       61        2        0
 9207 libanthyinput0                     	      63        0        0        0       63
 9208 libbluetooth-dev                   	      63        0       60        3        0
 9209 libboost-graph-parallel-dev        	      63        0        0        0       63
 9210 libboost-mpi1.74.0                 	      63        0        0        0       63
 9211 libboost-wave1.74-dev              	      63        0        0        0       63
 9212 libboost-wave1.74.0                	      63        0        0        0       63
 9213 libcodeblocks0                     	      63        0        2        0       61
 9214 libebook-1.2-20                    	      63        2       27        1       33
 9215 libedata-book-1.2-26               	      63        2       27        1       33
 9216 libfelix-framework-java            	      63        0        0        0       63
 9217 libfmt7                            	      63        1        3        0       59
 9218 libgdal20                          	      63        0        0        0       63
 9219 libgnutls-openssl27t64             	      63        2        6        0       55
 9220 libio-async-perl                   	      63        0       62        1        0
 9221 libjack0                           	      63        1       11        0       51
 9222 libjs-marked                       	      63        0        0        0       63
 9223 liblivemedia57                     	      63        0        0        0       63
 9224 libmlt++3                          	      63        0        0        0       63
 9225 libmlt6                            	      63        0        0        0       63
 9226 libnode72                          	      63        0        1        0       62
 9227 libonig2                           	      63        2        5        0       56
 9228 libpam-wtmpdb                      	      63       19       33        9        2
 9229 libparallel-forkmanager-perl       	      63        1       62        0        0
 9230 libproj13                          	      63        1        0        0       62
 9231 libscope-guard-perl                	      63        2       61        0        0
 9232 libxmuu-dev                        	      63        0        0        0       63
 9233 linux-image-3.16.0-4-amd64         	      63        1       60        1        1
 9234 logcheck-database                  	      63        0        0        0       63
 9235 lua5.1                             	      63        4       57        2        0
 9236 makedev                            	      63        7       56        0        0
 9237 nmon                               	      63        3       58        2        0
 9238 node-universalify                  	      63        0        0        0       63
 9239 ocaml-compiler-libs                	      63        2       59        1        1
 9240 palapeli                           	      63        0       62        1        0
 9241 pdfsam                             	      63        3       58        2        0
 9242 php-tcpdf                          	      63        8       55        0        0
 9243 php5-common                        	      63       17       46        0        0
 9244 pptp-linux                         	      63        6       57        0        0
 9245 python3-ntlm-auth                  	      63        0       62        1        0
 9246 qasmixer                           	      63        7       55        1        0
 9247 qml-module-org-kde-games-core      	      63        0        0        0       63
 9248 s-nail                             	      63        5       57        1        0
 9249 sassc                              	      63        2       55        6        0
 9250 shotcut-data                       	      63        0        0        0       63
 9251 sndfile-programs                   	      63        2       57        4        0
 9252 vsftpd                             	      63       13       50        0        0
 9253 x264                               	      63        4       55        4        0
 9254 zim                                	      63        6       56        1        0
 9255 zynaddsubfx                        	      63        1       60        2        0
 9256 asciidoctor                        	      62        1       60        1        0
 9257 bluez-hcidump                      	      62        2       59        1        0
 9258 caja-gtkhash                       	      62       13       27        2       20
 9259 compton                            	      62        5       54        3        0
 9260 doas                               	      62        0        3        0       59
 9261 elementary-xfce-icon-theme         	      62        0        0        0       62
 9262 fonts-3270                         	      62        4        5        0       53
 9263 fonts-sahadeva                     	      62        0        0        0       62
 9264 fonts-samyak-taml                  	      62        0        1        0       61
 9265 gcc-4.8                            	      62        1       61        0        0
 9266 ibus-clutter                       	      62        0        0        0       62
 9267 kdf                                	      62        0       59        3        0
 9268 kitty                              	      62        4       55        3        0
 9269 ktuberling-data                    	      62        0        0        0       62
 9270 libarpack2t64                      	      62        0        0        0       62
 9271 libasync-mergepoint-perl           	      62        0       61        1        0
 9272 libboost-iostreams1.49.0           	      62        0        0        0       62
 9273 libboost-nowide1.74-dev            	      62        0        0        0       62
 9274 libboost-stacktrace1.74-dev        	      62        0        0        0       62
 9275 libboost-stacktrace1.74.0          	      62        0        0        0       62
 9276 libfftw3-mpi3                      	      62        0        0        0       62
 9277 libgcj-common                      	      62        2       59        1        0
 9278 libgtksourceview2.0-0              	      62        0        0        0       62
 9279 libibumad3                         	      62        1        0        0       61
 9280 libkf6bookmarkswidgets6            	      62       13       15        1       33
 9281 libkmlbase1t64                     	      62        0        0        0       62
 9282 libkmldom1t64                      	      62        0        0        0       62
 9283 libkmlengine1t64                   	      62        0        0        0       62
 9284 libmagickwand-6-headers            	      62        1       60        1        0
 9285 libminizip-dev                     	      62        0       62        0        0
 9286 libreoffice-style-galaxy           	      62        3       57        1        1
 9287 libscotch-7.0                      	      62        0        0        0       62
 9288 libsctp-dev                        	      62        1       60        1        0
 9289 libsereal-perl                     	      62        0       61        1        0
 9290 libspatialite8t64                  	      62        0        0        0       62
 9291 libstk-4.6.2                       	      62        0        0        0       62
 9292 liburiparser-dev                   	      62        0       62        0        0
 9293 libxosd2                           	      62        1        1        0       60
 9294 mkvtoolnix-gui                     	      62        3       56        3        0
 9295 msmtp-mta                          	      62        9       53        0        0
 9296 php-json                           	      62        0        0        0       62
 9297 php-ldap                           	      62        0        0        0       62
 9298 pyflakes3                          	      62        1       61        0        0
 9299 python-all                         	      62        0        0        0       62
 9300 python3-cached-property            	      62        0       62        0        0
 9301 python3-click-plugins              	      62        1       58        3        0
 9302 python3-libdiscid                  	      62        1       58        3        0
 9303 python3-tzlocal                    	      62        2       54        6        0
 9304 qtcreator-data                     	      62        1       60        1        0
 9305 rcconf                             	      62        6       56        0        0
 9306 scite                              	      62        1       58        3        0
 9307 shotcut                            	      62        4       54        4        0
 9308 snmp-mibs-downloader               	      62        4       58        0        0
 9309 spamd                              	      62       13       48        1        0
 9310 supercat                           	      62        5       55        2        0
 9311 unoconv                            	      62        3       58        1        0
 9312 wbritish                           	      62        5       55        2        0
 9313 x2goserver-fmbindings              	      62        6       55        1        0
 9314 x2goserver-printing                	      62        6       55        1        0
 9315 xfonts-terminus-oblique            	      62        0        0        0       62
 9316 xscreensaver-screensaver-bsod      	      62        0        5        0       57
 9317 zfs-zed                            	      62       18       43        1        0
 9318 android-libadb                     	      61        1        0        0       60
 9319 android-libandroidfw               	      61        0        0        0       61
 9320 catfish                            	      61        2       58        1        0
 9321 dlocate                            	      61       19       42        0        0
 9322 fonts-lohit-taml                   	      61        4        3        0       54
 9323 fonts-lohit-taml-classical         	      61        0        0        0       61
 9324 fonts-sarai                        	      61        0        0        0       61
 9325 fonts-taml                         	      61        0        0        0       61
 9326 iptraf                             	      61        0        4        0       57
 9327 kitty-shell-integration            	      61        3       54        4        0
 9328 kshisen                            	      61        2       58        1        0
 9329 ktouch                             	      61        1       59        1        0
 9330 libapt-pkg7.0                      	      61        9        0       10       42
 9331 libboost-container1.74-dev         	      61        0        0        0       61
 9332 libboost-container1.74.0           	      61        0        0        0       61
 9333 libboost-fiber-dev                 	      61        0        0        0       61
 9334 libboost-fiber1.74-dev             	      61        0        0        0       61
 9335 libboost-fiber1.74.0               	      61        0        0        0       61
 9336 libboost-graph-parallel1.74-dev    	      61        0        0        0       61
 9337 libboost-graph-parallel1.74.0      	      61        0        0        0       61
 9338 libboost-mpi-dev                   	      61        0        0        0       61
 9339 libboost-type-erasure-dev          	      61        0        0        0       61
 9340 libboost-type-erasure1.74-dev      	      61        0        0        0       61
 9341 libboost-type-erasure1.74.0        	      61        0        0        0       61
 9342 libdc1394-dev                      	      61        0       59        2        0
 9343 libeina1a                          	      61        2        7        0       52
 9344 libfile-pushd-perl                 	      61        1       60        0        0
 9345 libfltk1.3t64                      	      61        0        0        0       61
 9346 libfreerdp-utils1.1                	      61        0        0        0       61
 9347 libfyba0t64                        	      61        0        0        0       61
 9348 libgssapi-perl                     	      61        0        0        0       61
 9349 libgtk3-simplelist-perl            	      61        2       59        0        0
 9350 libkf5plasma-doc                   	      61        0        0        0       61
 9351 libkf6kiofilewidgets6              	      61       12       15        1       33
 9352 libkf6parts6                       	      61        6        8        1       46
 9353 libmaeparser1                      	      61        0        0        0       61
 9354 libmongocrypt0                     	      61        0        0        0       61
 9355 libntrack0                         	      61        1        0        0       60
 9356 libopenbabel7                      	      61        0        0        0       61
 9357 libopenshot-audio8                 	      61        0        0        0       61
 9358 liborc-0.4-dev-bin                 	      61        1       60        0        0
 9359 libpopt-dev                        	      61        0       61        0        0
 9360 libqt6webchannelquick6             	      61        0        0        0       61
 9361 libsybdb5                          	      61        2       11        0       48
 9362 libtest-refcount-perl              	      61        0       60        1        0
 9363 libtrilinos-kokkos-13.2            	      61        0        0        0       61
 9364 libtrilinos-trilinosss-13.2        	      61        0        0        0       61
 9365 libvmaf1                           	      61       17       34        0       10
 9366 libwinpr-crt0.1                    	      61        0        0        0       61
 9367 libwinpr-environment0.1            	      61        0        0        0       61
 9368 libwinpr-handle0.1                 	      61        0        0        0       61
 9369 libwinpr-heap0.1                   	      61        0        0        0       61
 9370 libwinpr-interlocked0.1            	      61        0        0        0       61
 9371 libwinpr-path0.1                   	      61        0        0        0       61
 9372 libwinpr-synch0.1                  	      61        0        0        0       61
 9373 libwinpr-sysinfo0.1                	      61        0        0        0       61
 9374 libwinpr-thread0.1                 	      61        0        0        0       61
 9375 libwinpr-utils0.1                  	      61        0        0        0       61
 9376 libxcvt-dev                        	      61        1       59        1        0
 9377 libxmp4                            	      61        1        0        0       60
 9378 linux-compiler-gcc-8-x86           	      61        0        0        0       61
 9379 linux-image-686-pae                	      61        0        0        0       61
 9380 node-request                       	      61        1        6        0       54
 9381 obsession                          	      61        4       57        0        0
 9382 ocaml-man                          	      61        0        0        0       61
 9383 perl-modules                       	      61        3       57        1        0
 9384 postgresql-11                      	      61       22       39        0        0
 9385 pybind11-dev                       	      61        1       59        1        0
 9386 python3-full                       	      61        0        0        0       61
 9387 ruby-mime-types-data               	      61        1       59        1        0
 9388 ruby-public-suffix                 	      61        4       54        3        0
 9389 ttf-dejavu-extra                   	      61        0        0        0       61
 9390 udftools                           	      61        5       56        0        0
 9391 x11proto-video-dev                 	      61        0       12        0       49
 9392 x2goserver-extensions              	      61        8       52        1        0
 9393 0ad-data-common                    	      60        0        0        0       60
 9394 alsa-firmware-loaders              	      60        7       52        1        0
 9395 android-libaapt                    	      60        0        0        0       60
 9396 appmenu-registrar                  	      60        0        1        0       59
 9397 bluefish-data                      	      60        1        1        0       58
 9398 fonts-deva-extra                   	      60        3        2        0       55
 9399 fonts-kalapi                       	      60        0        0        0       60
 9400 fonts-lohit-deva                   	      60        4        3        0       53
 9401 fonts-lohit-telu                   	      60        5        1        0       54
 9402 fonts-samyak-deva                  	      60        3        1        0       56
 9403 fonts-samyak-gujr                  	      60        1        0        0       59
 9404 fonts-telu                         	      60        0        0        0       60
 9405 fonts-telu-extra                   	      60        2        1        0       57
 9406 g++-13-x86-64-linux-gnu            	      60        1       58        1        0
 9407 heif-gdk-pixbuf                    	      60        1        0        0       59
 9408 heimdall-flash                     	      60       10       49        1        0
 9409 kapman                             	      60        1       58        1        0
 9410 kdeedu-kvtml-data                  	      60        0        0        0       60
 9411 kigo                               	      60        0       59        1        0
 9412 kiriki                             	      60        1       58        1        0
 9413 knights                            	      60        1       58        1        0
 9414 kreversi                           	      60        1       58        1        0
 9415 ksirk                              	      60        1       58        1        0
 9416 ledit                              	      60        3       56        1        0
 9417 libappmenu-gtk2-parser0            	      60        0        5        0       55
 9418 libasound2-plugin-bluez            	      60        1        0        0       59
 9419 libboost-numpy1.74-dev             	      60        0        0        0       60
 9420 libboost-numpy1.74.0               	      60        0        0        0       60
 9421 libbson-1.0-0                      	      60        0        0        0       60
 9422 libclang-dev                       	      60        0        0        0       60
 9423 libdumbnet1                        	      60        1        1        0       58
 9424 libecal-2.0-1                      	      60        2       27        1       30
 9425 libeclipse-jdt-core-java           	      60        7       53        0        0
 9426 libev4t64                          	      60        4        4        0       52
 9427 libfilezilla11                     	      60        0        1        0       59
 9428 libfm-qt8                          	      60        7       18        0       35
 9429 libgc-dev                          	      60        0       60        0        0
 9430 libglib3.0-cil                     	      60        0        0        0       60
 9431 libguvcview-2.1-2                  	      60        1        0        0       59
 9432 libjs-jquery-timepicker            	      60        0        0        0       60
 9433 liblirc0                           	      60       11       26        0       23
 9434 liblocale-codes-perl               	      60        1       57        2        0
 9435 libopenshot21                      	      60        0        0        0       60
 9436 liborc-0.4-dev                     	      60        1       59        0        0
 9437 libpoppler-qt5-1t64                	      60        0        0        0       60
 9438 libptscotch-7.0                    	      60        0        0        0       60
 9439 libqt5pas1                         	      60        1        2        0       57
 9440 libscalapack-openmpi2.2            	      60        0        0        0       60
 9441 libsigc++-2.0-0c2a                 	      60        1        0        0       59
 9442 libtrilinos-amesos-13.2            	      60        0        0        0       60
 9443 libtrilinos-aztecoo-13.2           	      60        0        0        0       60
 9444 libtrilinos-epetra-13.2            	      60        0        0        0       60
 9445 libtrilinos-epetraext-13.2         	      60        0        0        0       60
 9446 libtrilinos-galeri-13.2            	      60        0        0        0       60
 9447 libtrilinos-ifpack-13.2            	      60        0        0        0       60
 9448 libtrilinos-ml-13.2                	      60        0        0        0       60
 9449 libtrilinos-teuchos-13.2           	      60        0        0        0       60
 9450 libtrilinos-triutils-13.2          	      60        0        0        0       60
 9451 libtrilinos-zoltan-13.2            	      60        0        0        0       60
 9452 libwinpr-file0.1                   	      60        0        0        0       60
 9453 libwinpr-library0.1                	      60        0        0        0       60
 9454 libx2go-config-perl                	      60       11       49        0        0
 9455 libx2go-utils-perl                 	      60       11       49        0        0
 9456 libxcb-image0-dev                  	      60        2       58        0        0
 9457 libxcb-render-util0-dev            	      60        1       59        0        0
 9458 linux-image-4.19.0-26-amd64        	      60        1       59        0        0
 9459 most                               	      60        8       51        1        0
 9460 php-bcmath                         	      60        0        0        0       60
 9461 php7.3-common                      	      60       17       43        0        0
 9462 php7.4                             	      60        0        0        0       60
 9463 php8.2-phpdbg                      	      60        2       52        6        0
 9464 python2.6-minimal                  	      60        4       56        0        0
 9465 python3-aeidon                     	      60        1       58        1        0
 9466 python3-precis-i18n                	      60        1       58        1        0
 9467 ruby-asciidoctor                   	      60        1       58        1        0
 9468 scummvm                            	      60        1       57        2        0
 9469 scummvm-data                       	      60        0        0        0       60
 9470 shtool                             	      60        2       57        1        0
 9471 teamviewer                         	      60       10       50        0        0
 9472 uml-utilities                      	      60       19       41        0        0
 9473 v4l2loopback-dkms                  	      60        7       51        2        0
 9474 xl2tpd                             	      60       13       47        0        0
 9475 aapt                               	      59        2       56        1        0
 9476 advancecomp                        	      59        3       56        0        0
 9477 bluefish-plugins                   	      59        1        1        0       57
 9478 bomber                             	      59        0       58        1        0
 9479 caja-eiciel                        	      59       13       44        2        0
 9480 caja-rename                        	      59        6       47        2        4
 9481 ccrypt                             	      59        6       53        0        0
 9482 elpa-markdown-mode                 	      59        9       49        1        0
 9483 ext4magic                          	      59        5       54        0        0
 9484 fonts-deva                         	      59        0        0        0       59
 9485 fonts-gujr                         	      59        0        0        0       59
 9486 fonts-gujr-extra                   	      59        5        4        0       50
 9487 fonts-lohit-gujr                   	      59        4        1        0       54
 9488 fonts-lohit-knda                   	      59        4        1        0       54
 9489 gedit-plugin-commander             	      59        0        0        0       59
 9490 gedit-plugin-find-in-files         	      59        0        0        0       59
 9491 gedit-plugin-translate             	      59        0        0        0       59
 9492 gir1.2-bamf-3                      	      59        0        0        0       59
 9493 glibc-doc                          	      59        0        0        0       59
 9494 ifenslave                          	      59        2       56        0        1
 9495 imagemagick-6-doc                  	      59        0        0        0       59
 9496 katomic                            	      59        0       58        1        0
 9497 kdiamond                           	      59        0       58        1        0
 9498 kitty-doc                          	      59        0        0        0       59
 9499 knetwalk                           	      59        0       58        1        0
 9500 kolf                               	      59        0       57        2        0
 9501 konquest                           	      59        0       58        1        0
 9502 libavdevice57                      	      59        0        0        0       59
 9503 libboost-mpi-python-dev            	      59        0        0        0       59
 9504 libboost-mpi1.74-dev               	      59        0        0        0       59
 9505 libcombblas1.16.0                  	      59        0        0        0       59
 9506 libctpl-data                       	      59        0        0        0       59
 9507 libdevhelp-3-6                     	      59        0        0        0       59
 9508 libflac14                          	      59       43        0        8        8
 9509 libfreerdp-common1.1.0             	      59        0        0        0       59
 9510 libhdb9-heimdal                    	      59        0        1        0       58
 9511 libjs-excanvas                     	      59        0        0        0       59
 9512 libjs-prototype                    	      59        0        0        0       59
 9513 libkxml2-java                      	      59        0        0        0       59
 9514 libmagickwand-6.q16-dev            	      59        0        0        0       59
 9515 libmongoc-1.0-0                    	      59        0        0        0       59
 9516 libmono-2.0-1                      	      59        0        0        0       59
 9517 libnatpmp1t64                      	      59        1        4        0       54
 9518 libnbd0                            	      59        0        0        0       59
 9519 libnotify-dev                      	      59        0       57        2        0
 9520 libopencsd1                        	      59        0        0        0       59
 9521 libpam-pwquality                   	      59       15       39        0        5
 9522 libpython3.11-testsuite            	      59        1       55        3        0
 9523 libqt53dcore5                      	      59        1        0        0       58
 9524 libqt53drender5                    	      59        1        0        0       58
 9525 libradcli4                         	      59        2        8        0       49
 9526 libsqlcipher0                      	      59        1        3        0       55
 9527 libsuperlu-dist8                   	      59        0        0        0       59
 9528 linux-headers-5.10.0-33-common     	      59        0       59        0        0
 9529 linux-image-6.1.0-16-amd64         	      59        2       56        0        1
 9530 lsdvd                              	      59        3       53        3        0
 9531 mariadb-client-core-10.3           	      59        2       57        0        0
 9532 mate-user-share-common             	      59        0        0        0       59
 9533 netavark                           	      59        3       54        2        0
 9534 ntrack-module-libnl-0              	      59        1       58        0        0
 9535 ocaml                              	      59        1       58        0        0
 9536 palemoon                           	      59       16       41        2        0
 9537 partclone                          	      59        1       56        2        0
 9538 php5-json                          	      59       17       41        0        1
 9539 php7.3-cli                         	      59       17       42        0        0
 9540 php7.3-json                        	      59       17       42        0        0
 9541 php7.3-opcache                     	      59       17       42        0        0
 9542 php7.3-readline                    	      59       17       42        0        0
 9543 plasma-workspace-wallpapers        	      59        0        0        0       59
 9544 putty                              	      59        3       55        1        0
 9545 python-decorator                   	      59        0       57        0        2
 9546 python3-async-generator            	      59        1       58        0        0
 9547 python3-iniparse                   	      59        0       59        0        0
 9548 python3.11-full                    	      59        0        0        0       59
 9549 qml-module-org-kde-charts          	      59        0        0        0       59
 9550 qml6-module-org-kde-kquickcontrolsaddons	      59        9       14        1       35
 9551 qml6-module-qtquick-particles      	      59        0        1        0       58
 9552 qtcreator-doc                      	      59        0        0        0       59
 9553 qtwebengine5-dev-tools             	      59        0       56        3        0
 9554 radeontool                         	      59        1       58        0        0
 9555 ruby-httpclient                    	      59       12       47        0        0
 9556 ruby-i18n                          	      59        3       55        1        0
 9557 ruby-zip                           	      59        1       58        0        0
 9558 skypeforlinux                      	      59        8       51        0        0
 9559 task-print-server                  	      59        0        0        0       59
 9560 xbindkeys                          	      59       13       45        1        0
 9561 xplanet-images                     	      59        0        0        0       59
 9562 0ad                                	      58        4       52        2        0
 9563 0ad-data                           	      58        0        0        0       58
 9564 appmenu-gtk2-module                	      58        0        5        0       53
 9565 binutils-arm-none-eabi             	      58        2       55        1        0
 9566 conmon                             	      58        9       49        0        0
 9567 cuetools                           	      58        2       55        1        0
 9568 devhelp                            	      58        0       57        1        0
 9569 dictzip                            	      58        6       50        2        0
 9570 fairymax                           	      58        5       53        0        0
 9571 fonts-beng-extra                   	      58        1        3        0       54
 9572 fonts-gubbi                        	      58        0        0        0       58
 9573 fonts-navilu                       	      58        0        0        0       58
 9574 fop                                	      58        3       55        0        0
 9575 gir1.2-farstream-0.2               	      58        3        6        0       49
 9576 gnu-standards                      	      58        0        0        0       58
 9577 grass-doc                          	      58        0        0        0       58
 9578 kalzium-data                       	      58        0        0        0       58
 9579 kbounce                            	      58        0       57        1        0
 9580 kfourinline                        	      58        0       57        1        0
 9581 kget                               	      58        2       53        3        0
 9582 klickety                           	      58        1       56        1        0
 9583 kontact                            	      58        1       55        2        0
 9584 lhasa                              	      58        6       52        0        0
 9585 libbctoolbox1                      	      58        0        3        0       55
 9586 libboost-all-dev                   	      58        0        0        0       58
 9587 libboost-stacktrace-dev            	      58        0        0        0       58
 9588 libclang-cpp19                     	      58        8       42        8        0
 9589 libdmx1                            	      58        0        0        0       58
 9590 libell0                            	      58        9       10        0       39
 9591 libgeronimo-validation-1.1-spec-java	      58        0        0        0       58
 9592 libgio3.0-cil                      	      58        0        0        0       58
 9593 libisc1105                         	      58        0        0        0       58
 9594 libjs-bootstrap-tour               	      58        0        0        0       58
 9595 libjs-es6-promise                  	      58        0        0        0       58
 9596 libjs-jed                          	      58        0        0        0       58
 9597 libjs-jquery-typeahead             	      58        0        0        0       58
 9598 libjs-text-encoding                	      58        0        0        0       58
 9599 libkchart2                         	      58        0        0        0       58
 9600 libkf6package-data                 	      58        0        0        0       58
 9601 libkf6sonnet-data                  	      58        8        7        1       42
 9602 libmemcachedutil2                  	      58       11       14        1       32
 9603 libnet-imap-simple-perl            	      58        0       57        1        0
 9604 libobjenesis-java                  	      58        0        0        0       58
 9605 libpoppler-qt6-3t64                	      58        3        1        0       54
 9606 libqt53dinput5                     	      58        1        0        0       57
 9607 libqt53dlogic5                     	      58        1        0        0       57
 9608 libqt6quicktest6                   	      58        0        0        0       58
 9609 libqtwebkit4                       	      58        0        1        0       57
 9610 libsdl2-ttf-dev                    	      58        0       56        2        0
 9611 libswt-gtk-4-java                  	      58        4       52        2        0
 9612 libtracker-control-2.0-0           	      58        0        0        0       58
 9613 libxcb-util-dev                    	      58        1       57        0        0
 9614 linux-image-5.10.0-19-amd64        	      58        0       57        0        1
 9615 ocrad                              	      58        4       52        2        0
 9616 perlmagick                         	      58        0        0        0       58
 9617 php-psr-cache                      	      58        8       49        1        0
 9618 php5-cli                           	      58       16       42        0        0
 9619 podman                             	      58        8       46        4        0
 9620 python-feedparser                  	      58        0       56        1        1
 9621 python-imaging                     	      58       10       48        0        0
 9622 python-sip                         	      58        1       57        0        0
 9623 python3-nbxmpp                     	      58        0       55        3        0
 9624 python3-opencv                     	      58        0       57        1        0
 9625 python3-pyproj                     	      58        2       52        4        0
 9626 python3-terminado                  	      58        2       56        0        0
 9627 ruby-listen                        	      58        2       56        0        0
 9628 ruby-rb-inotify                    	      58        2       56        0        0
 9629 scons                              	      58        3       55        0        0
 9630 sjeng                              	      58        1       57        0        0
 9631 smart-notifier                     	      58        9       49        0        0
 9632 sndfile-tools                      	      58        2       54        2        0
 9633 supervisor                         	      58       15       43        0        0
 9634 tftpd-hpa                          	      58       15       42        1        0
 9635 vala-panel-appmenu-common          	      58        0        0        0       58
 9636 xplanet                            	      58        4       54        0        0
 9637 xserver-xorg-input-kbd             	      58        4       53        1        0
 9638 bluez-alsa-utils                   	      57        0       54        3        0
 9639 buildah                            	      57        2       46        9        0
 9640 caja-wallpaper                     	      57       14       24        1       18
 9641 cowdancer                          	      57        3       54        0        0
 9642 cron-apt                           	      57       22       35        0        0
 9643 cvsps                              	      57        2       54        1        0
 9644 fonts-beng                         	      57        0        0        0       57
 9645 fonts-guru                         	      57        0        0        0       57
 9646 fonts-guru-extra                   	      57        1        0        0       56
 9647 fonts-knda                         	      57        0        0        0       57
 9648 fonts-lohit-guru                   	      57        1        0        0       56
 9649 fonts-orya-extra                   	      57        3        1        0       53
 9650 fonts-yrsa-rasa                    	      57        3        1        0       53
 9651 gcc-arm-none-eabi                  	      57        2       54        1        0
 9652 gdb-doc                            	      57        0        0        0       57
 9653 gir1.2-gnomebluetooth-1.0          	      57        0        4        0       53
 9654 granatier                          	      57        0       56        1        0
 9655 hunspell-es                        	      57        0        0        0       57
 9656 inkscape-tutorials                 	      57        0        0        0       57
 9657 kalarm                             	      57        3       53        1        0
 9658 kcachegrind                        	      57        1       56        0        0
 9659 kf6-breeze-icon-theme              	      57        0        0        0       57
 9660 killbots                           	      57        0       56        1        0
 9661 klines                             	      57        0       56        1        0
 9662 kollision                          	      57        0       56        1        0
 9663 ksnakeduel                         	      57        0       56        1        0
 9664 ksquares                           	      57        0       56        1        0
 9665 ktimer                             	      57        0       55        2        0
 9666 leafpad                            	      57        3       54        0        0
 9667 libalien-wxwidgets-perl            	      57        0        0        0       57
 9668 libatk3.0-cil                      	      57        0        0        0       57
 9669 libboost-container-dev             	      57        0        0        0       57
 9670 libcairo1.10-cil                   	      57        0        0        0       57
 9671 libcwidget3                        	      57        0        0        0       57
 9672 libdb5.1                           	      57        2        0        0       55
 9673 libdns1110                         	      57        0        0        0       57
 9674 libdraco7                          	      57        1        0        0       56
 9675 libfreerdp-crypto1.1               	      57        0        0        0       57
 9676 libgcj17                           	      57        0        0        0       57
 9677 libgdk3.0-cil                      	      57        0        0        0       57
 9678 libgtk3.0-cil                      	      57        0        0        0       57
 9679 libinchi1                          	      57        0        0        0       57
 9680 libjavascriptcoregtk-3.0-0         	      57        0        0        0       57
 9681 libkf6configqml6                   	      57        9       15        1       32
 9682 libkf6package6                     	      57       12       15        1       29
 9683 libkf6sonnetcore6                  	      57       13       14        1       29
 9684 libkvazaar7                        	      57       19       23        0       15
 9685 liblua5.1-0-dev                    	      57        1       55        1        0
 9686 libnm-util2                        	      57        0        0        0       57
 9687 libpam-winbind                     	      57       14       30        1       12
 9688 libpango3.0-cil                    	      57        0        0        0       57
 9689 libpetsc-real3.18                  	      57        0        0        0       57
 9690 libpmemblk1                        	      57        0        0        0       57
 9691 libqalculate23                     	      57        3        8        2       44
 9692 libqt53dextras5                    	      57        1        0        0       56
 9693 librhash1                          	      57        0        0        0       57
 9694 libsqlite0                         	      57        0        0        0       57
 9695 libsundials-nvecparallel-petsc6    	      57        0        0        0       57
 9696 libsundials-sunlinsol3             	      57        0        0        0       57
 9697 libsundials-sunmatrix4             	      57        0        0        0       57
 9698 libtest-output-perl                	      57        2       55        0        0
 9699 libtorrent-rasterbar10             	      57        1        3        0       53
 9700 libva-dev                          	      57        0       56        1        0
 9701 libwinpr-crypto0.1                 	      57        0        0        0       57
 9702 libwinpr-registry0.1               	      57        0        0        0       57
 9703 libwinpr-sspi0.1                   	      57        0        0        0       57
 9704 libxaw3dxft6                       	      57        0        0        0       57
 9705 libxenmisc4.11                     	      57        0        1        0       56
 9706 libxml-perl                        	      57        5       52        0        0
 9707 linux-headers-5.10.0-33-amd64      	      57        0       57        0        0
 9708 mate-dock-applet                   	      57        0        0        0       57
 9709 minetest-data                      	      57        0        7        0       50
 9710 monitoring-plugins-standard        	      57        9       48        0        0
 9711 mpc                                	      57        3       53        1        0
 9712 mumble                             	      57        2       55        0        0
 9713 nut                                	      57        0        0        0       57
 9714 ocl-icd-opencl-dev                 	      57        0        0        0       57
 9715 openshot                           	      57        0        3        0       54
 9716 php8.2-apcu                        	      57       22       35        0        0
 9717 python3-eyed3                      	      57        3       54        0        0
 9718 python3-hypothesis                 	      57        1       51        5        0
 9719 python3-llfuse                     	      57        2       54        0        1
 9720 python3-notebook                   	      57        2       54        1        0
 9721 qml-module-qtquick-xmllistmodel    	      57        0        2        0       55
 9722 qtcreator                          	      57        3       51        3        0
 9723 quota                              	      57       15       42        0        0
 9724 ruby-thor                          	      57        3       54        0        0
 9725 sasl2-bin                          	      57       16       41        0        0
 9726 sendmail-cf                        	      57        0        0        0       57
 9727 skanlite                           	      57        1       54        2        0
 9728 teams                              	      57        3       54        0        0
 9729 tesseract-ocr-deu                  	      57        0        0        0       57
 9730 xine-ui                            	      57        3       53        1        0
 9731 zram-tools                         	      57        2       51        4        0
 9732 android-libunwind                  	      56        0        0        0       56
 9733 apcupsd                            	      56       19       37        0        0
 9734 bluefish                           	      56        2       54        0        0
 9735 bovo                               	      56        0       55        1        0
 9736 codium                             	      56        3       48        5        0
 9737 cppcheck                           	      56        2       49        5        0
 9738 davfs2                             	      56        4       52        0        0
 9739 dvdbackup                          	      56        3       53        0        0
 9740 fonts-lohit-orya                   	      56        2        0        0       54
 9741 fonts-orya                         	      56        0        0        0       56
 9742 fonts-teluguvijayam                	      56        4        3        0       49
 9743 freeciv-data                       	      56        1        0        0       55
 9744 freeciv-server                     	      56        2       53        1        0
 9745 gir1.2-gimp-3.0                    	      56        0        0        0       56
 9746 gmtp                               	      56        1       51        4        0
 9747 grass-core                         	      56        5       49        2        0
 9748 gstreamer0.10-plugins-base         	      56        1        3        0       52
 9749 kajongg                            	      56        0       55        1        0
 9750 kblackbox                          	      56        0       55        1        0
 9751 kgeography-data                    	      56        0        0        0       56
 9752 knavalbattle                       	      56        0       55        1        0
 9753 kpackagetool6                      	      56        5       30       21        0
 9754 kspaceduel                         	      56        0       55        1        0
 9755 libarmadillo14                     	      56        0        0        0       56
 9756 libarmadillo9                      	      56        0        0        0       56
 9757 libboost-chrono1.83.0t64           	      56        0        2        0       54
 9758 libboost-mpi-python1.74-dev        	      56        0        0        0       56
 9759 libboost-mpi-python1.74.0          	      56        0       56        0        0
 9760 libboost-nowide-dev                	      56        0        0        0       56
 9761 libboost-numpy-dev                 	      56        0        0        0       56
 9762 libcapstone3                       	      56        0        1        0       55
 9763 libcompress-bzip2-perl             	      56        0        0        0       56
 9764 libdap25                           	      56        0        0        0       56
 9765 libdbix-simple-perl                	      56        1       55        0        0
 9766 libeclipse-jdt-annotation-java     	      56        1       55        0        0
 9767 libfreerdp-codec1.1                	      56        0        0        0       56
 9768 libfreerdp-core1.1                 	      56        0        0        0       56
 9769 libfreerdp-locale1.1               	      56        0        0        0       56
 9770 libfreerdp-primitives1.1           	      56        0        0        0       56
 9771 libfs-dev                          	      56        0       55        1        0
 9772 libgimp-3.0-0                      	      56        0        0        0       56
 9773 libgroupsock30                     	      56        0        0        0       56
 9774 libidn-dev                         	      56        0       55        1        0
 9775 libjs-jquery-hotkeys               	      56        0        0        0       56
 9776 libjs-xterm                        	      56        0        0        0       56
 9777 libkf6purpose-data                 	      56        0        0        0       56
 9778 libkf6statusnotifieritem-data      	      56        7        7        2       40
 9779 libllvm18                          	      56        3       18        0       35
 9780 libmp3lame-dev                     	      56        1       54        1        0
 9781 libnetcdf19t64                     	      56        0        0        0       56
 9782 libobject-accessor-perl            	      56        0       56        0        0
 9783 libopenaptx0                       	      56        0        0        0       56
 9784 libopencv-core4.5                  	      56        0        0        0       56
 9785 libopencv-imgproc4.5               	      56        0        0        0       56
 9786 libproj-dev                        	      56        3       51        2        0
 9787 libprotobuf-lite10                 	      56        0        0        0       56
 9788 libqt4-qt3support                  	      56        0        0        0       56
 9789 libraw1394-tools                   	      56        1       55        0        0
 9790 librc1t64                          	      56        0        2        1       53
 9791 libreoffice-l10n-pl                	      56        5       44        7        0
 9792 libsignal-protocol-c2.3.2          	      56        6        4        0       46
 9793 libspeexdsp-dev                    	      56        0       56        0        0
 9794 libstdc++5                         	      56        0        0        0       56
 9795 libstoken1t64                      	      56        0        4        0       52
 9796 libsundials-ida6                   	      56        0        0        0       56
 9797 libtexlua52                        	      56        0        0        0       56
 9798 libunibreak5                       	      56        5        3        0       48
 9799 libwinpr-dsparse0.1                	      56        0        0        0       56
 9800 libwinpr-input0.1                  	      56        0        0        0       56
 9801 libwinpr-pool0.1                   	      56        0        0        0       56
 9802 libwinpr-rpc0.1                    	      56        0        0        0       56
 9803 libxcb-keysyms1-dev                	      56        2       54        0        0
 9804 linux-image-5.10.0-20-amd64        	      56        0       55        0        1
 9805 linux-perf                         	      56        4       37        2       13
 9806 lskat                              	      56        0       55        1        0
 9807 mate-desktop-environment-extras    	      56        0        0        0       56
 9808 mate-user-share                    	      56       14       39        3        0
 9809 mesa-opencl-icd                    	      56        0        0        0       56
 9810 mjpegtools                         	      56        4       51        1        0
 9811 mono-xsp4-base                     	      56       12       43        1        0
 9812 mozc-data                          	      56        0        0        0       56
 9813 mozc-server                        	      56        4       48        4        0
 9814 mozc-utils-gui                     	      56        0       52        4        0
 9815 netsurf-common                     	      56        0        0        0       56
 9816 network-manager-vpnc               	      56        9       47        0        0
 9817 nilfs-tools                        	      56        2       52        2        0
 9818 pcmciautils                        	      56        4       51        1        0
 9819 php-gmp                            	      56        0        0        0       56
 9820 php-twig                           	      56        9       46        1        0
 9821 php7.4-curl                        	      56       19       37        0        0
 9822 php8.2-gmp                         	      56       22       34        0        0
 9823 picmi                              	      56        0       55        1        0
 9824 python3-nose                       	      56        2       54        0        0
 9825 python3-pyperclip                  	      56        1       55        0        0
 9826 python3-setuptools-scm             	      56        0       54        2        0
 9827 qml6-module-org-kde-config         	      56        0        1        0       55
 9828 ripperx                            	      56        0       56        0        0
 9829 schroot-common                     	      56        0        0        0       56
 9830 tftp-hpa                           	      56        2       54        0        0
 9831 xboard                             	      56        5       51        0        0
 9832 aardvark-dns                       	      55        3       51        1        0
 9833 anydesk                            	      55       15       40        0        0
 9834 binutils-doc                       	      55        0        0        0       55
 9835 bwidget                            	      55        0        0        0       55
 9836 calligra-data                      	      55        0        0        0       55
 9837 cmake-curses-gui                   	      55        0       51        4        0
 9838 compiz-core                        	      55       10       43        2        0
 9839 compiz-plugins                     	      55       10       43        2        0
 9840 compiz-plugins-main                	      55       10       43        2        0
 9841 docx2txt                           	      55        6       47        2        0
 9842 elpa-htmlize                       	      55        7       47        1        0
 9843 fonts-kanjistrokeorders            	      55        1        0        0       54
 9844 gajim                              	      55        2       51        2        0
 9845 gnome-session-flashback            	      55        0        2        0       53
 9846 google-earth-pro-stable            	      55        3       51        1        0
 9847 gputils                            	      55        2       53        0        0
 9848 gputils-common                     	      55        0        0        0       55
 9849 gtk-doc-tools                      	      55        1       52        2        0
 9850 hugin-data                         	      55        0        0        0       55
 9851 kalzium                            	      55        0       51        4        0
 9852 kde-config-cron                    	      55        0       42        1       12
 9853 keepassx                           	      55        1       12        0       42
 9854 kgoldrunner                        	      55        0       54        1        0
 9855 kjumpingcube                       	      55        0       54        1        0
 9856 kteatime                           	      55        1       52        2        0
 9857 kubrick                            	      55        0       54        1        0
 9858 libcfitsio-dev                     	      55        0       55        0        0
 9859 libcupsfilters-dev                 	      55        0       52        3        0
 9860 libedata-cal-2.0-1                 	      55        2       27        1       25
 9861 libexempi3                         	      55        0        0        0       55
 9862 libfreerdp-cache1.1                	      55        0        0        0       55
 9863 libfreerdp-client3-3               	      55        2        1        0       52
 9864 libgail-3-0t64                     	      55       14       15        4       22
 9865 libhypre-2.26.0                    	      55        0        0        0       55
 9866 libjs-jquery-easing                	      55        0        0        0       55
 9867 libjs-jquery-fancybox              	      55        0        0        0       55
 9868 libjs-xmlextras                    	      55        0        0        0       55
 9869 libkf6bluezqt-data                 	      55        0        0        0       55
 9870 libkf6statusnotifieritem6          	      55       13       18        2       22
 9871 liblingua-en-inflect-perl          	      55        1       54        0        0
 9872 libmumps-5.5                       	      55        0        0        0       55
 9873 libnginx-mod-http-auth-pam         	      55       16       39        0        0
 9874 libnm-glib4                        	      55        0        0        0       55
 9875 libnumber-range-perl               	      55        1       53        1        0
 9876 libopencv-imgcodecs4.5             	      55        0        0        0       55
 9877 libopts25-dev                      	      55        3       52        0        0
 9878 libphonon4                         	      55        0        1        0       54
 9879 libpython-all-dev                  	      55        0        0        0       55
 9880 libqt6multimediawidgets6           	      55        0        0        0       55
 9881 libreiserfscore0t64                	      55        0        0        0       55
 9882 libsdl2-mixer-dev                  	      55        0       54        1        0
 9883 libstdc++-4.9-dev                  	      55        1       53        0        1
 9884 libtaskmanager6                    	      55        8       14        1       32
 9885 libtest-file-sharedir-perl         	      55        1       54        0        0
 9886 libtracker-miner-2.0-0             	      55        4       25        1       25
 9887 libudunits2-0                      	      55        0        0        0       55
 9888 libudunits2-data                   	      55        0        0        0       55
 9889 libxcb-xinerama0-dev               	      55        0       55        0        0
 9890 lightning                          	      55        0        3        0       52
 9891 llvm-15-linker-tools               	      55        1       54        0        0
 9892 mirage                             	      55        4       50        1        0
 9893 monodoc-http                       	      55        1       53        1        0
 9894 mplayer-skin-blue                  	      55        0        0        0       55
 9895 mtpaint                            	      55        2       52        1        0
 9896 node-asap                          	      55        0        0        0       55
 9897 node-jed                           	      55        0        0        0       55
 9898 php-symfony-cache                  	      55        9       42        4        0
 9899 php-symfony-expression-language    	      55        9       42        4        0
 9900 python-utidylib                    	      55        0       54        1        0
 9901 python3-binwalk                    	      55        2       50        3        0
 9902 python3-firewall                   	      55        1       51        3        0
 9903 python3-ipywidgets                 	      55        1       53        1        0
 9904 qemu-system-modules-spice          	      55        0        2        0       53
 9905 qemu-user-binfmt                   	      55        2       30        6       17
 9906 qt5-style-kvantum                  	      55        5       49        1        0
 9907 qutebrowser                        	      55        5       48        2        0
 9908 rawtherapee                        	      55        1       53        1        0
 9909 rawtherapee-data                   	      55        0        0        0       55
 9910 viewnior                           	      55        6       48        1        0
 9911 x11proto-dri2-dev                  	      55        1       22        0       32
 9912 x11proto-gl-dev                    	      55        1       22        0       32
 9913 xpaint                             	      55        3       51        1        0
 9914 autogen                            	      54        5       49        0        0
 9915 caja-seahorse                      	      54       14       38        2        0
 9916 claws-mail-pgpmime                 	      54        4        5        0       45
 9917 clipit                             	      54        4       50        0        0
 9918 cmus                               	      54        3       51        0        0
 9919 cpu-x                              	      54        1       48        5        0
 9920 debfoster                          	      54        2       52        0        0
 9921 debsecan                           	      54       21       32        1        0
 9922 debtags                            	      54       16       38        0        0
 9923 dovecot-sieve                      	      54       16       38        0        0
 9924 edid-decode                        	      54        4       49        1        0
 9925 foot                               	      54        8       44        2        0
 9926 gnome-user-guide                   	      54        0        0        0       54
 9927 ifuse                              	      54        1       53        0        0
 9928 jupyter-notebook                   	      54        3       51        0        0
 9929 kazam                              	      54        5       49        0        0
 9930 kdegames                           	      54        0        0        0       54
 9931 kgeography                         	      54        1       50        3        0
 9932 kglobalacceld                      	      54        6       33       15        0
 9933 libairspy0                         	      54        9       44        1        0
 9934 libdmx-dev                         	      54        1       52        1        0
 9935 libexecs1                          	      54        0        0        0       54
 9936 libfelix-gogo-runtime-java         	      54        0        0        0       54
 9937 libfreerdp-gdi1.1                  	      54        0        0        0       54
 9938 libgda-5.0-common                  	      54        0       14        0       40
 9939 libglewmx1.13                      	      54        0        0        0       54
 9940 libgnome-desktop-3-12              	      54        0        0        0       54
 9941 libhackrf0                         	      54       10       43        1        0
 9942 libhsa-runtime64-1                 	      54        0        0        0       54
 9943 libhsakmt1                         	      54        0        0        0       54
 9944 libircclient1                      	      54        2        9        1       42
 9945 libjavaewah-java                   	      54        0        0        0       54
 9946 libjpeg8                           	      54        0        0        0       54
 9947 libkf5torrent6abi3                 	      54        0        3        0       51
 9948 libkf6bluezqt6                     	      54        8       13        0       33
 9949 libkf6prison6                      	      54       11       13        1       29
 9950 libkf6prisonscanner6               	      54        1        0        0       53
 9951 libkf6purpose-bin                  	      54        0        0        0       54
 9952 libkf6purpose6                     	      54        8        6        0       40
 9953 libkf6purposewidgets6              	      54        8        6        0       40
 9954 libkglobalacceld0                  	      54        9       14        1       30
 9955 libmagic-dev                       	      54        3       50        1        0
 9956 libnetcdf-dev                      	      54        2       52        0        0
 9957 libnetcdf13                        	      54        0        0        0       54
 9958 libnginx-mod-http-dav-ext          	      54       16       38        0        0
 9959 libnginx-mod-http-subs-filter      	      54       17       37        0        0
 9960 libpano13-3                        	      54        0        0        0       54
 9961 libpano13-bin                      	      54        2       51        1        0
 9962 libpocl2-common                    	      54        1       53        0        0
 9963 libpskc0t64                        	      54        0        4        0       50
 9964 libqt4-sql-sqlite                  	      54        0        0        0       54
 9965 libqt53danimation5                 	      54        0        0        0       54
 9966 libsejda-commons-java              	      54        0        0        0       54
 9967 libsocket-perl                     	      54        1        8        0       45
 9968 libwx-perl                         	      54        3       50        1        0
 9969 lighttpd-mod-openssl               	      54        3       49        2        0
 9970 lmms-common                        	      54        0        0        0       54
 9971 maxima                             	      54        2       52        0        0
 9972 mono-4.0-service                   	      54        1       51        2        0
 9973 mono-xsp4                          	      54       13       40        1        0
 9974 node-puka                          	      54        0        0        0       54
 9975 openclipart-png                    	      54        0        0        0       54
 9976 openresolv                         	      54        8       45        1        0
 9977 paprefs                            	      54        1       53        0        0
 9978 php-phpmyadmin-motranslator        	      54        8       45        1        0
 9979 php-symfony-cache-contracts        	      54        9       44        1        0
 9980 php-symfony-var-exporter           	      54        9       41        4        0
 9981 poster                             	      54        1       49        4        0
 9982 postgresql-client-9.6              	      54        6       48        0        0
 9983 python3-flake8                     	      54        0       54        0        0
 9984 python3-widgetsnbextension         	      54        0       53        1        0
 9985 qml6-module-org-kde-bluezqt        	      54        8       13        0       33
 9986 qml6-module-org-kde-prison         	      54        0        0        0       54
 9987 qmmp                               	      54        4       47        3        0
 9988 qt5-style-kvantum-l10n             	      54        0        0        0       54
 9989 qtpositioning5-dev                 	      54        0       54        0        0
 9990 schroot                            	      54       13       41        0        0
 9991 sendmail-base                      	      54        4       49        1        0
 9992 sonnet6-plugins                    	      54        0        2        0       52
 9993 sqlite3-doc                        	      54        0        0        0       54
 9994 swaybg                             	      54       10       42        2        0
 9995 tig                                	      54        2       52        0        0
 9996 ttf-sjfonts                        	      54        0        0        0       54
 9997 uptimed                            	      54       16       38        0        0
 9998 virtualbox-6.1                     	      54       14       40        0        0
 9999 x11proto-composite-dev             	      54        0        9        0       45
10000 xfsdump                            	      54        3       50        1        0
10001 ace-of-penguins                    	      53        6       47        0        0
10002 analitza-common                    	      53        0        0        0       53
10003 auditd                             	      53       16       37        0        0
10004 barcode                            	      53        5       45        3        0
10005 brightness-udev                    	      53        4       47        2        0
10006 brightnessctl                      	      53        2       50        1        0
10007 check-dfsg-status                  	      53        9       42        2        0
10008 cmus-plugin-ffmpeg                 	      53        2       51        0        0
10009 debian-policy                      	      53        0        0        0       53
10010 encfs                              	      53        9       44        0        0
10011 fortunes-bofh-excuses              	      53        0        0        0       53
10012 gir1.2-freedesktop-dev             	      53        0        0        0       53
10013 go-mtpfs                           	      53        1       47        5        0
10014 gocr                               	      53        2       51        0        0
10015 gscan2pdf                          	      53        1       52        0        0
10016 hpijs-ppds                         	      53        1       49        0        3
10017 hugin-tools                        	      53        3       49        1        0
10018 jupyter-nbextension-jupyter-js-widgets	      53        0        0        0       53
10019 krfb                               	      53        3       48        2        0
10020 ksystemlog                         	      53        0       53        0        0
10021 libcompizconfig0                   	      53       10       41        2        0
10022 libdiodon0                         	      53        8       18        0       27
10023 libfltk-forms1.3                   	      53        0        0        0       53
10024 libgloox18                         	      53        0        1        0       52
10025 libgpod4t64                        	      53        1        2        0       50
10026 libhttp-server-simple-perl         	      53        3       50        0        0
10027 libimage-sane-perl                 	      53        0        1        0       52
10028 libimobiledevice-utils             	      53        0       53        0        0
10029 libjboss-jdeparser2-java           	      53        0        0        0       53
10030 libjboss-logging-tools-java        	      53        0        0        0       53
10031 libjgit-java                       	      53        0        0        0       53
10032 libliftoff0                        	      53        0        3        0       50
10033 libmail-dmarc-perl                 	      53       14       39        0        0
10034 libmaven-archiver-java             	      53        0        0        0       53
10035 libmono-profiler                   	      53        0       49        2        2
10036 libnet-smtps-perl                  	      53        0       53        0        0
10037 libnginx-mod-http-geoip            	      53       16       37        0        0
10038 libnginx-mod-http-image-filter     	      53       16       37        0        0
10039 libnginx-mod-http-upstream-fair    	      53       16       37        0        0
10040 libnotmuch5                        	      53        3        3        0       47
10041 libphonon4qt5-4t64                 	      53        1       14        0       38
10042 libqt6jsonrpc6                     	      53        0        0        0       53
10043 libqt6languageserver6              	      53        0        0        0       53
10044 libqt6qmlcompiler6                 	      53        0        0        0       53
10045 libspdlog-dev                      	      53        1       51        1        0
10046 libsys-meminfo-perl                	      53        1        4        0       48
10047 libtinyxml2-10                     	      53        2        6        0       45
10048 libubootenv-tool                   	      53        4       48        1        0
10049 libubootenv0.1                     	      53        0        0        0       53
10050 libvidstab1.2                      	      53        2        2        0       49
10051 libvirglrenderer0                  	      53        0        1        0       52
10052 libx264-dev                        	      53        0       51        2        0
10053 lighttpd-mod-deflate               	      53        5       46        2        0
10054 limesuite-udev                     	      53       10       42        1        0
10055 linux-headers-6.1.0-22-common      	      53        1       51        0        1
10056 linux-image-4.19.0-9-amd64         	      53        1       52        0        0
10057 llvm-15                            	      53        0       53        0        0
10058 llvm-15-runtime                    	      53        0       53        0        0
10059 lmms                               	      53        1       51        1        0
10060 lskat-data                         	      53        0        0        0       53
10061 make-doc                           	      53        0        0        0       53
10062 memcached                          	      53       13       40        0        0
10063 mplayer-gui                        	      53        2       50        1        0
10064 ncurses-doc                        	      53        0        0        0       53
10065 open-iscsi                         	      53       15       32        6        0
10066 php-phpseclib                      	      53        8       45        0        0
10067 php-symfony-config                 	      53        9       40        4        0
10068 php-symfony-dependency-injection   	      53        9       40        4        0
10069 php-symfony-finder                 	      53        4       48        1        0
10070 php5-readline                      	      53       15       37        0        1
10071 pocl-opencl-icd                    	      53        0        0        0       53
10072 python3-filetype                   	      53        2       51        0        0
10073 python3-launchpadlib               	      53        1       50        2        0
10074 qml6-module-org-kde-purpose        	      53        0        0        0       53
10075 qt6-declarative-dev-tools          	      53        0       48        5        0
10076 qutebrowser-qtwebengine            	      53        0        0        0       53
10077 rdate                              	      53       11       42        0        0
10078 ruby-erubi                         	      53        2       51        0        0
10079 sddm-theme-maldives                	      53        0        0        0       53
10080 stress                             	      53        0       53        0        0
10081 weechat-core                       	      53        1        6        0       46
10082 weechat-curses                     	      53        4       48        1        0
10083 weechat-plugins                    	      53        1        6        0       46
10084 wpagui                             	      53        1       50        2        0
10085 xfe-i18n                           	      53        0        1        0       52
10086 xorg-dev                           	      53        0        0        0       53
10087 youtubedl-gui                      	      53        6       47        0        0
10088 autogen-doc                        	      52        0        0        0       52
10089 catch2                             	      52        1       45        1        5
10090 cowbuilder                         	      52        3       49        0        0
10091 cscope                             	      52        1       51        0        0
10092 diodon                             	      52       11       41        0        0
10093 dwarves                            	      52        0        7        0       45
10094 enblend                            	      52        2       49        1        0
10095 enfuse                             	      52        2       49        1        0
10096 firefox-esr-l10n-pl                	      52        6       39        7        0
10097 fontforge-extras                   	      52        3       48        1        0
10098 fonts-league-spartan               	      52        0        1        0       51
10099 frozen-bubble                      	      52        2       49        1        0
10100 frozen-bubble-data                 	      52        0        0        0       52
10101 gcj-6-jre-lib                      	      52        0        0        0       52
10102 httrack                            	      52        0       51        1        0
10103 hugin                              	      52        2       49        1        0
10104 intel-gpu-tools                    	      52        2       49        1        0
10105 kwin-decoration-oxygen             	      52        2        4        0       46
10106 libbladerf2                        	      52        9       42        1        0
10107 libcairomm-1.0-dev                 	      52        0       52        0        0
10108 libcap-ng-dev                      	      52        2       46        4        0
10109 libclang-common-16-dev             	      52        2       50        0        0
10110 libcommons-text-java               	      52        0        0        0       52
10111 libcpuid16                         	      52        0        0        0       52
10112 libdb5.3++                         	      52        1        1        0       50
10113 libdcmtk15                         	      52        1        0        0       51
10114 libemail-address-perl              	      52        5       47        0        0
10115 libharfbuzz-cairo0                 	      52        0        0        0       52
10116 libhibernate-validator4-java       	      52        0        0        0       52
10117 libiso9660-8                       	      52        0        0        0       52
10118 libixml11                          	      52        0        2        0       50
10119 libjavascriptcoregtk-1.0-0         	      52        0        0        0       52
10120 libjgoodies-forms-java             	      52        0        0        0       52
10121 libjs-jquery-isonscreen            	      52        0        0        0       52
10122 libkf6svg6                         	      52        9       15        1       27
10123 libmaven-jar-plugin-java           	      52        0        0        0       52
10124 libmbedtls-dev                     	      52        2       49        1        0
10125 libnginx-mod-http-xslt-filter      	      52       16       36        0        0
10126 libnginx-mod-mail                  	      52       16       36        0        0
10127 libopenh264-8                      	      52        8        0        0       44
10128 libplank-common                    	      52        3       18        0       31
10129 libplank1                          	      52        6       21        0       25
10130 libplasma6                         	      52        9       15        1       27
10131 libtcl8.5                          	      52        1        1        0       50
10132 libupnp17                          	      52        0        2        0       50
10133 libusbredirparser1t64              	      52        1        1        0       50
10134 libxcb-composite0-dev              	      52        0       52        0        0
10135 libxcb-icccm4-dev                  	      52        1       51        0        0
10136 lua5.2                             	      52        6       46        0        0
10137 lv                                 	      52        1       48        3        0
10138 lynx-cur                           	      52        0       13        0       39
10139 minetest                           	      52        1       50        1        0
10140 minuet-data                        	      52        0        0        0       52
10141 mp3gain                            	      52        2       47        3        0
10142 nvi                                	      52        4       47        1        0
10143 php-google-recaptcha               	      52        8       41        3        0
10144 php-mariadb-mysql-kbs              	      52        9       40        3        0
10145 php-phpmyadmin-shapefile           	      52        8       42        2        0
10146 php-symfony-process                	      52        5       46        1        0
10147 php8.2-bcmath                      	      52       18       32        2        0
10148 plasma-discover-backend-flatpak    	      52        7       25        1       19
10149 privoxy                            	      52       15       37        0        0
10150 projectm-data                      	      52        0        0        0       52
10151 python-gpg                         	      52        2       49        1        0
10152 python3-nautilus                   	      52        0        1        0       51
10153 python3-pep8                       	      52        0       52        0        0
10154 python3-resolvelib                 	      52        0       51        1        0
10155 qml-module-qtquick-localstorage    	      52        0        2        0       50
10156 qtmultimedia5-dev                  	      52        0       51        1        0
10157 ruby-multi-json                    	      52        1       51        0        0
10158 sendmail-bin                       	      52       21       31        0        0
10159 swaks                              	      52        5       46        1        0
10160 sylpheed                           	      52        5       46        1        0
10161 syslinux-utils                     	      52        1       50        1        0
10162 tcl-tls                            	      52        0        1        2       49
10163 ttf-dejavu                         	      52        0        0        0       52
10164 twolame                            	      52        5       47        0        0
10165 weechat-python                     	      52        1        4        0       47
10166 wine-binfmt                        	      52        1       39        0       12
10167 xnest                              	      52        1       42        9        0
10168 xxdiff                             	      52        3       49        0        0
10169 alsa-base                          	      51        0        0        0       51
10170 aspell-pt-br                       	      51        0       49        2        0
10171 binutils-aarch64-linux-gnu         	      51        1       49        1        0
10172 bnd                                	      51        3       48        0        0
10173 clangd-14                          	      51        3       48        0        0
10174 compizconfig-settings-manager      	      51        3       42        6        0
10175 cpu-checker                        	      51        0       49        2        0
10176 djvulibre-desktop                  	      51        0        0        0       51
10177 dstat                              	      51        6       45        0        0
10178 fluid                              	      51        0       50        1        0
10179 freeciv-client-gtk3                	      51        2       48        1        0
10180 gftp-common                        	      51        0       50        1        0
10181 gitweb                             	      51        4       45        2        0
10182 hashcat-data                       	      51        0       51        0        0
10183 htdig                              	      51        0       47        4        0
10184 img2pdf                            	      51        4       44        3        0
10185 jdupes                             	      51        3       47        1        0
10186 kid3-core                          	      51        1       47        3        0
10187 kmix                               	      51        9       42        0        0
10188 libbzrtp0                          	      51        0        3        0       48
10189 libclthreads2                      	      51        0        0        0       51
10190 libconfig++11                      	      51        0        0        0       51
10191 libecap3                           	      51       14       26        0       11
10192 libedit-dev                        	      51        3       47        1        0
10193 libevent-pthreads-2.1-7t64         	      51        3        3        0       45
10194 libfelix-resolver-java             	      51        0        0        0       51
10195 libfltk1.3-dev                     	      51        0       50        1        0
10196 libfuse-dev                        	      51        0       51        0        0
10197 libgcj-bc                          	      51        0        0        0       51
10198 libgsound0t64                      	      51        1        2        0       48
10199 libhttp-parser2.8                  	      51        1        0        0       50
10200 libjack-dev                        	      51        1       50        0        0
10201 libkf6i18nqml6                     	      51       10        5        1       35
10202 libkf6qqc2desktopstyle-data        	      51        5        6        1       39
10203 liblircclient0                     	      51        0        0        0       51
10204 libnewlib-dev                      	      51        0       50        1        0
10205 libopencv-core-dev                 	      51        0       47        4        0
10206 libosmosdr0                        	      51        9       41        1        0
10207 libpoppler-private-dev             	      51        1       49        1        0
10208 libqhull-dev                       	      51        0       51        0        0
10209 libqt6spatialaudio6                	      51        0        0        0       51
10210 libsmpeg0                          	      51        0        0        0       51
10211 libsoapysdr0.8                     	      51        1        3        0       47
10212 libsub-uplevel-perl                	      51        4       46        1        0
10213 libwxgtk3.0-gtk3-dev               	      51        0        0        0       51
10214 libxkbcommon-x11-dev               	      51        0       50        1        0
10215 linux-image-4.9.0-19-amd64         	      51        2       49        0        0
10216 llvm-15-dev                        	      51        8       43        0        0
10217 llvm-15-tools                      	      51        0       51        0        0
10218 minuet                             	      51        0       48        3        0
10219 monitoring-plugins                 	      51        0        0        0       51
10220 mono-complete                      	      51        0        0        0       51
10221 nitrogen                           	      51        7       42        2        0
10222 openclipart-libreoffice            	      51        2       47        2        0
10223 parley-data                        	      51        0        0        0       51
10224 php-phpmyadmin-sql-parser          	      51        9       40        2        0
10225 php-symfony-console                	      51        4       46        1        0
10226 php-twig-i18n-extension            	      51        9       42        0        0
10227 php7.0-common                      	      51       16       35        0        0
10228 php7.4-zip                         	      51       16       35        0        0
10229 pngcrush                           	      51        1       50        0        0
10230 pycodestyle                        	      51        1       50        0        0
10231 python-all-dev                     	      51        0        0        0       51
10232 python3-libsass                    	      51        3       47        1        0
10233 qml-module-qtcharts                	      51        2        1        0       48
10234 qml6-module-org-kde-ksvg           	      51        9       15        1       26
10235 spice-client-gtk                   	      51        7       44        0        0
10236 squid-langpack                     	      51        0        0        0       51
10237 sway                               	      51       10       39        2        0
10238 sway-backgrounds                   	      51        0        0        0       51
10239 vrms                               	      51        8       41        2        0
10240 zabbix-agent                       	      51       17       32        2        0
10241 akonadiconsole                     	      50        0       48        2        0
10242 ansible-core                       	      50        0       48        2        0
10243 aumix-common                       	      50        1       49        0        0
10244 autossh                            	      50        7       43        0        0
10245 black                              	      50        2       44        4        0
10246 calligra-libs                      	      50        3       44        3        0
10247 clang-15                           	      50        0       50        0        0
10248 clang-format                       	      50        3       45        2        0
10249 clang-tools-14                     	      50        3       47        0        0
10250 csh                                	      50        1       48        1        0
10251 ddd                                	      50        1       47        2        0
10252 etherape                           	      50        0       50        0        0
10253 fonts-elusive-icons                	      50        0        0        0       50
10254 fonts-powerline                    	      50        5        8        0       37
10255 fonts-ubuntu                       	      50        7       17        2       24
10256 gcc-12-cross-base                  	      50        0        0        0       50
10257 hashcat                            	      50        1       49        0        0
10258 heif-thumbnailer                   	      50        2       36       12        0
10259 ibrazilian                         	      50        0       48        2        0
10260 kalgebra                           	      50        1       47        2        0
10261 kalgebra-common                    	      50        0        0        0       50
10262 kdeutils                           	      50        0        0        0       50
10263 kdevelop                           	      50        2       47        1        0
10264 kdevelop-data                      	      50        1       49        0        0
10265 kig                                	      50        0       48        2        0
10266 kmplot                             	      50        1       48        1        0
10267 libboost-chrono1.62.0              	      50        2        2        0       46
10268 libclxclient3                      	      50        0        0        0       50
10269 libduktape203                      	      50        0        0        0       50
10270 libgda-5.0-4                       	      50        0        6        0       44
10271 libglc0                            	      50        0        0        0       50
10272 libgpgme++2v5                      	      50        0        0        0       50
10273 libgranite-common                  	      50        0        0        0       50
10274 libgraphene-1.0-dev                	      50        0       50        0        0
10275 libgumbo2                          	      50        0        1        0       49
10276 libhunspell-1.3-0                  	      50        0        0        0       50
10277 libhwy-dev                         	      50        0       50        0        0
10278 libjxl-dev                         	      50        0       49        1        0
10279 libkchart2-l10n                    	      50        0        0        0       50
10280 libkeduvocdocument-data            	      50        0        0        0       50
10281 libkf6textwidgets-data             	      50        0        0        0       50
10282 liblitehtml0                       	      50        0        0        0       50
10283 libnekohtml-java                   	      50        0        0        0       50
10284 libnewlib-arm-none-eabi            	      50        0        0        0       50
10285 libpangomm-1.4-dev                 	      50        0       50        0        0
10286 libplasmaquick6                    	      50        9       15        1       25
10287 libplymouth5                       	      50        1        0        0       49
10288 libsqlite3-mod-spatialite          	      50        0        0        0       50
10289 libstringtemplate4-java            	      50        0        0        0       50
10290 libswingx-java                     	      50        0        0        0       50
10291 libswt-cairo-gtk-4-jni             	      50        4       45        1        0
10292 libxml-regexp-perl                 	      50        5       45        0        0
10293 libyaml-syck-perl                  	      50        0        0        0       50
10294 linux-headers-6.1.0-22-amd64       	      50        1       48        0        1
10295 linux-image-4.19.0-18-amd64        	      50        0       48        0        2
10296 linux-image-4.9.0-11-amd64         	      50        4       44        0        2
10297 maxima-share                       	      50        0        0        0       50
10298 musescore-common                   	      50        0        0        0       50
10299 mythes-pl                          	      50        0        0        0       50
10300 numix-icon-theme                   	      50        0        0        0       50
10301 openttd                            	      50        1       49        0        0
10302 parley                             	      50        1       48        1        0
10303 php-composer-semver                	      50        4       46        0        0
10304 php-composer-spdx-licenses         	      50        4       46        0        0
10305 plank                              	      50        6       42        2        0
10306 plasma-desktoptheme                	      50        9       15        1       25
10307 python-pyparsing                   	      50        0       49        0        1
10308 python3-cairocffi                  	      50        1       49        0        0
10309 python3-compizconfig               	      50        7       39        4        0
10310 python3-jsonschema-specifications  	      50        1       46        3        0
10311 python3-ldap3                      	      50        1       48        1        0
10312 python3-referencing                	      50        1       46        3        0
10313 python3-rpds-py                    	      50        1       35       14        0
10314 python3-tabulate                   	      50        2       48        0        0
10315 python3-tenacity                   	      50        0       47        3        0
10316 python3-xcffib                     	      50        1       49        0        0
10317 qml6-module-org-kde-coreaddons     	      50        9       14        1       26
10318 qml6-module-org-kde-desktop        	      50        9       14        1       26
10319 qml6-module-org-kde-kirigamiaddons-formcard	      50        0        0        0       50
10320 qml6-module-org-kde-sonnet         	      50        9       14        1       26
10321 qt5-style-kvantum-themes           	      50        0        0        0       50
10322 squid-common                       	      50        0        0        0       50
10323 supertux-data                      	      50        0        0        0       50
10324 syncthing-gtk                      	      50       11       38        1        0
10325 webcamoid-data                     	      50        0        0        0       50
10326 weechat                            	      50        0        0        0       50
10327 zam-plugins                        	      50       10       39        1        0
10328 bladerf                            	      49        1       47        1        0
10329 blinken                            	      49        2       46        1        0
10330 btscanner                          	      49        2       47        0        0
10331 clamav-docs                        	      49        0        0        0       49
10332 claws-mail-bogofilter              	      49        4        5        0       40
10333 crun                               	      49        3       42        4        0
10334 firewalld                          	      49       11       36        2        0
10335 fltk1.3-doc                        	      49        0       47        1        1
10336 fonts-oxygen                       	      49        1        2        0       46
10337 fonts-sil-doulos                   	      49        1        1        0       47
10338 ghex                               	      49        1       48        0        0
10339 gir1.2-libxfce4ui-2.0              	      49        0        0        0       49
10340 gnupg1                             	      49        4       45        0        0
10341 gnuplot-doc                        	      49        0       48        1        0
10342 gputils-doc                        	      49        0        0        0       49
10343 jsonlint                           	      49        4       45        0        0
10344 kicad-packages3d                   	      49        0        0        0       49
10345 klettres-data                      	      49        0        0        0       49
10346 libaio-dev                         	      49        0       49        0        0
10347 libarpack2-dev                     	      49        0       48        0        1
10348 libcfitsio-doc                     	      49        0        0        0       49
10349 libclang-cpp9                      	      49        1       48        0        0
10350 libdecoration0                     	      49        7        7        0       35
10351 libeis1                            	      49        9       18        2       20
10352 libfelix-osgi-obr-java             	      49        0        0        0       49
10353 libfltk-cairo1.3                   	      49        0        0        0       49
10354 libgcroots0                        	      49        7       19        2       21
10355 libgeos-dev                        	      49        2       46        1        0
10356 libgeos3.13.0                      	      49        0        0        0       49
10357 libgnat-12                         	      49        0        0        0       49
10358 libgphoto2-port10                  	      49        0        0        0       49
10359 libhdf4-alt-dev                    	      49        0       49        0        0
10360 libhwloc5                          	      49        0        0        0       49
10361 libjs-bootswatch                   	      49        0        0        0       49
10362 libkf6i18nlocaledata6              	      49       11       14        1       23
10363 libkf6modemmanagerqt6              	      49        9       13        1       26
10364 libkf6newstuff-data                	      49        0        0        0       49
10365 libkf6sonnetui6                    	      49       13       14        1       21
10366 libkf6textwidgets6                 	      49       13       14        1       21
10367 liblchown-perl                     	      49        2        1        0       46
10368 libmicrodns0                       	      49        0        0        0       49
10369 libmp3-tag-perl                    	      49        0       49        0        0
10370 libopencv-core406t64               	      49        0        1        0       48
10371 libopencv-imgproc-dev              	      49        0       47        2        0
10372 libopencv-imgproc406t64            	      49        0        1        0       48
10373 libopencv-videoio4.5               	      49        0        0        0       49
10374 libpam-tmpdir                      	      49       18       28        3        0
10375 libpcsc-perl                       	      49        0        0        0       49
10376 libprotobuf10                      	      49        0        0        0       49
10377 libpython3.12-dev                  	      49        2       47        0        0
10378 libqhullcpp8.0                     	      49        0        0        0       49
10379 libqt53dquickscene2d5              	      49        0        0        0       49
10380 libqt6bluetooth6-bin               	      49        1       30       18        0
10381 libqt6opengl6-dev                  	      49        1       46        2        0
10382 libqt6quick3dassetimport6          	      49        0        0        0       49
10383 libqt6quick3dassetutils6           	      49        0        0        0       49
10384 libv4l-dev                         	      49        0       48        1        0
10385 libvdeplug2t64                     	      49        1        1        0       47
10386 libxerces-c-dev                    	      49        0        0        0       49
10387 liferea-data                       	      49        1        1        0       47
10388 llvm-16-linker-tools               	      49        3       46        0        0
10389 mariadb-client-10.3                	      49        4       45        0        0
10390 micro                              	      49        4       37        8        0
10391 musescore                          	      49        4       44        1        0
10392 nginx-full                         	      49        3       16        0       30
10393 numix-gtk-theme                    	      49        1        6        0       42
10394 onboard-common                     	      49        2        8        0       39
10395 openttd-data                       	      49        0        0        0       49
10396 pcsc-tools                         	      49        0       49        0        0
10397 pdfcrack                           	      49        5       43        1        0
10398 php8.2-ldap                        	      49       17       29        3        0
10399 python-keyring                     	      49        2       47        0        0
10400 python-wxversion                   	      49        4       44        1        0
10401 python3-arrow                      	      49        1       45        3        0
10402 python3-bottle                     	      49        1       48        0        0
10403 python3-freetype                   	      49        1       46        2        0
10404 python3-nftables                   	      49        1       45        3        0
10405 qml6-module-org-kde-kirigamiaddons-components	      49        2       11        1       35
10406 qml6-module-org-kde-kirigamiaddons-delegates	      49        0        0        0       49
10407 rsnapshot                          	      49       14       35        0        0
10408 shntool                            	      49        2       46        1        0
10409 umbrello                           	      49        0       47        2        0
10410 webcamoid                          	      49        3       43        3        0
10411 webcamoid-plugins                  	      49        0        0        0       49
10412 wine-stable-i386                   	      49        4       44        1        0
10413 apksigner                          	      48        3       43        2        0
10414 apt-doc                            	      48        0        0        0       48
10415 artikulate                         	      48        0       45        3        0
10416 autoconf-doc                       	      48        0        0        0       48
10417 awesome                            	      48        5       41        2        0
10418 awesome-extra                      	      48        2       44        2        0
10419 claws-mail-address-keeper          	      48        2        5        0       41
10420 claws-mail-pgpinline               	      48        2        4        0       42
10421 convmv                             	      48        3       45        0        0
10422 cramfsswap                         	      48        3       44        1        0
10423 dovecot-pop3d                      	      48       10       38        0        0
10424 dpkg-repack                        	      48        5       42        1        0
10425 erlang-xmerl                       	      48        2       45        1        0
10426 firmware-ipw2x00                   	      48        1       44        3        0
10427 firmware-ralink                    	      48        0        0        0       48
10428 fonts-agave                        	      48        0        1        0       47
10429 fonts-atarismall                   	      48        0        0        0       48
10430 fonts-fork-awesome                 	      48        1        1        0       46
10431 fonts-sil-annapurna                	      48        1        3        0       44
10432 foot-terminfo                      	      48        0        0        0       48
10433 freeciv                            	      48        0       47        1        0
10434 gir1.2-gweather-3.0                	      48        0        4        0       44
10435 gir1.2-osmgpsmap-1.0               	      48        1        0        0       47
10436 git-cvs                            	      48        2       43        3        0
10437 gnome-bluetooth                    	      48        0       25        0       23
10438 icinga2-bin                        	      48       25       23        0        0
10439 icinga2-common                     	      48        3       45        0        0
10440 iotop-c                            	      48        1       47        0        0
10441 kanagram                           	      48        0       45        3        0
10442 libapksig-java                     	      48        0        0        0       48
10443 libapr1-dev                        	      48        1       46        1        0
10444 libass-dev                         	      48        0       47        1        0
10445 libatkmm-1.6-dev                   	      48        0       48        0        0
10446 libcmark-gfm-extensions0           	      48        0        0        0       48
10447 libcmark-gfm0                      	      48        0        0        0       48
10448 libdevel-symdump-perl              	      48        1       47        0        0
10449 libdnnl3.6                         	      48        0        0        0       48
10450 libecj-java                        	      48        0        0        0       48
10451 libgdcm-dev                        	      48        0       46        2        0
10452 libglfw3-dev                       	      48        0       47        1        0
10453 libgraphicsmagick-q16-3t64         	      48        1       38        9        0
10454 libgssrpc4t64                      	      48        0        0        0       48
10455 libgstreamer-plugins-base1.0-dev   	      48        1       47        0        0
10456 libhd21t64                         	      48        0        0        0       48
10457 libid3tag0-dev                     	      48        0       47        1        0
10458 libirs-export161                   	      48        6       13        0       29
10459 libisccfg-export163                	      48        6       13        0       29
10460 libjarjar-java                     	      48        0        0        0       48
10461 libjxl-gdk-pixbuf                  	      48        0        1        0       47
10462 libkdb5-10t64                      	      48        0        0        0       48
10463 libkf5emoticons-data               	      48        0        0        0       48
10464 libkf6pulseaudioqt5                	      48       10       14        1       23
10465 libleptonica6                      	      48        0        1        0       47
10466 liblocal-lib-perl                  	      48        0       48        0        0
10467 libmpc-dev                         	      48        2       46        0        0
10468 libopencv-flann-dev                	      48        0       46        2        0
10469 libopencv-highgui2.4-deb0          	      48        0        0        0       48
10470 libopencv-ml-dev                   	      48        0        0        0       48
10471 libopencv-photo-dev                	      48        0       46        2        0
10472 libopencv-video-dev                	      48        0       45        2        1
10473 libopeniscsiusr                    	      48       11       10        0       27
10474 libpath-class-perl                 	      48        2       44        2        0
10475 libpdf-api2-perl                   	      48        0       48        0        0
10476 libpostgresql-jdbc-java            	      48        0        0        0       48
10477 libqt6bluetooth6                   	      48       20       15        4        9
10478 libqt6virtualkeyboard6             	      48        2        1        0       45
10479 libsdl-image1.2-dev                	      48        1       47        0        0
10480 libsymspg1                         	      48        0        0        0       48
10481 libuim-scm0                        	      48        7       19        2       20
10482 libuim8                            	      48        7       19        2       20
10483 libupsclient6                      	      48        5       16        0       27
10484 libuvc0                            	      48        0        0        0       48
10485 libwscodecs2                       	      48        0        0        0       48
10486 libwsutil9                         	      48        0        0        0       48
10487 libxcb-xf86dri0                    	      48        0        0        0       48
10488 libxml-dom-perl                    	      48        5       43        0        0
10489 libxnnpack0.20241108               	      48        0        0        0       48
10490 linux-headers-6.1.0-29-common      	      48        0       46        2        0
10491 linux-source-6.1                   	      48        0        0        0       48
10492 logwatch                           	      48       20       28        0        0
10493 lolcat                             	      48        3       44        1        0
10494 lua5.3                             	      48        1       47        0        0
10495 lua5.4                             	      48        5       43        0        0
10496 mingw-w64-common                   	      48        1       47        0        0
10497 mosquitto-clients                  	      48        3       44        1        0
10498 nnn                                	      48        3       44        1        0
10499 node-highlight.js                  	      48        0       47        1        0
10500 onboard                            	      48        3       44        1        0
10501 php-composer-xdebug-handler        	      48        4       44        0        0
10502 php-json-schema                    	      48        4       44        0        0
10503 php-pgsql                          	      48        0        0        0       48
10504 php8.2-cgi                         	      48        7       38        3        0
10505 python-mutagen                     	      48        0       47        1        0
10506 python-secretstorage               	      48        3       45        0        0
10507 python3-cssselect2                 	      48        0       46        2        0
10508 python3-stem                       	      48        8       39        1        0
10509 python3.12-dev                     	      48        2       46        0        0
10510 qgis-common                        	      48        1        0        0       47
10511 qgis-providers-common              	      48        0        0        0       48
10512 qml6-module-org-kde-kirigamiaddons-datetime	      48        0        0        0       48
10513 qml6-module-org-kde-kirigamiaddons-treeview	      48        0        0        0       48
10514 qml6-module-org-kde-kitemmodels    	      48        9       14        1       24
10515 rdfind                             	      48        5       43        0        0
10516 rhino                              	      48        2       46        0        0
10517 rubberband-ladspa                  	      48        5       39        1        3
10518 ruby-bundler                       	      48        4       43        1        0
10519 ruby-paint                         	      48        3       44        1        0
10520 sakura                             	      48        9       39        0        0
10521 supertux                           	      48        2       46        0        0
10522 task-brazilian-portuguese          	      48        0        0        0       48
10523 task-polish-desktop                	      48        0        0        0       48
10524 virt-what                          	      48        4       43        1        0
10525 vlc-plugin-bittorrent              	      48        0        2        0       46
10526 wine-stable                        	      48        3       44        1        0
10527 winff-data                         	      48        0        2        0       46
10528 xchm                               	      48        2       45        1        0
10529 xfce4-indicator-plugin             	      48        1        3        0       44
10530 xli                                	      48        5       43        0        0
10531 android-file-transfer              	      47        3       44        0        0
10532 apticron                           	      47       14       32        1        0
10533 aspell-no                          	      47        1       41        5        0
10534 binutils-dev                       	      47        1       44        2        0
10535 bluez-cups                         	      47        0       46        1        0
10536 composer                           	      47        4       42        1        0
10537 ecryptfs-utils                     	      47       11       34        2        0
10538 fonts-uralic                       	      47        1        6        0       40
10539 gtk2-engines-qtcurve               	      47        0        0        0       47
10540 hping3                             	      47        5       41        1        0
10541 icinga2                            	      47        0        0        0       47
10542 inkscape-open-symbols              	      47        0        0        0       47
10543 klettres                           	      47        1       45        1        0
10544 kwordquiz                          	      47        0       46        1        0
10545 libavogadro2-1                     	      47        0        0        0       47
10546 libboost-regex1.62.0               	      47        2        2        0       43
10547 libcdaudio1                        	      47        0        0        0       47
10548 libdd-plist-java                   	      47        0        0        0       47
10549 libecryptfs1                       	      47       12       30        2        3
10550 libencode-detect-perl              	      47        4        4        0       39
10551 libesmtp6                          	      47        1       18        0       28
10552 libfindbugs-java                   	      47        0        0        0       47
10553 libfreerdp-client1.1               	      47        0        0        0       47
10554 libgladeui-common                  	      47        0        0        0       47
10555 libgradle-core-java                	      47        0        0        0       47
10556 libgradle-plugins-java             	      47        1       45        1        0
10557 libgtk-4-dev                       	      47        0       45        2        0
10558 libhfstospell10                    	      47        0        0        0       47
10559 libilmbase6                        	      47        1        0        0       46
10560 libjatl-java                       	      47        0        0        0       47
10561 libjformatstring-java              	      47        0        0        0       47
10562 libjim0.82t64                      	      47        0        0        0       47
10563 libjs-json                         	      47        0        0        0       47
10564 libkf5emoticons-bin                	      47        0        1        0       46
10565 libkf5emoticons5                   	      47        0        2        0       45
10566 libkf6attica6                      	      47       12       13        1       21
10567 libkf6contacts-data                	      47        0        0        0       47
10568 libkf6filemetadata-data            	      47        0        0        0       47
10569 libkf6newstuffcore6                	      47       12       13        1       21
10570 libkf6syndication6                 	      47       12       13        1       21
10571 libkryo-java                       	      47        0        0        0       47
10572 libllvm16                          	      47        1        4        0       42
10573 libminlog-java                     	      47        0        0        0       47
10574 libmng2                            	      47        0        0        0       47
10575 libnative-platform-java            	      47        0        0        0       47
10576 libnative-platform-jni             	      47        2       45        0        0
10577 libpdf-builder-perl                	      47        1       46        0        0
10578 libpeas-1.0-python2loader          	      47        0        0        0       47
10579 libpocl2                           	      47        0        0        0       47
10580 libpolyglot-maven-java             	      47        0        0        0       47
10581 libpostproc52                      	      47        0        0        0       47
10582 libqapt3                           	      47        0        0        0       47
10583 libreflectasm-java                 	      47        0        0        0       47
10584 libreoffice-help-pl                	      47        0        0        0       47
10585 libreoffice-pdfimport              	      47        3       38        1        5
10586 libroken18-heimdal                 	      47        0        0        0       47
10587 libroken19-heimdal                 	      47        6        4        0       37
10588 libsac-java-gcj                    	      47        1       45        1        0
10589 libsasl2-modules-gssapi-mit        	      47        9       22        0       16
10590 libsimple-http-java                	      47        0        0        0       47
10591 libsoundio2                        	      47        0        0        0       47
10592 libstdc++-11-dev                   	      47        0       47        0        0
10593 libstdc++-arm-none-eabi-newlib     	      47        0       14        0       33
10594 libstfl0                           	      47        2        2        0       43
10595 libsvtav1enc1d1                    	      47        4       12        0       31
10596 libswing-layout-java               	      47        0        0        0       47
10597 libswscale3                        	      47        1        0        0       46
10598 liburi-encode-perl                 	      47        3       44        0        0
10599 libvigraimpex11                    	      47        0        0        0       47
10600 libwebkitgtk-1.0-0                 	      47        0        0        0       47
10601 libwiretap8                        	      47        0        0        0       47
10602 linux-headers-6.1.0-17-common      	      47        1       46        0        0
10603 linux-headers-6.1.0-29-amd64       	      47        0       45        2        0
10604 llvm-9-runtime                     	      47        1       46        0        0
10605 mariadb-server-core-10.3           	      47        6       41        0        0
10606 minidlna                           	      47        7       39        1        0
10607 network-manager-openconnect        	      47       10       37        0        0
10608 nvi-doc                            	      47        0        0        0       47
10609 openttd-opengfx                    	      47        0        0        0       47
10610 openttd-openmsx                    	      47        0        0        0       47
10611 php-sqlite3                        	      47        0        0        0       47
10612 picocom                            	      47        5       42        0        0
10613 python-keyrings.alt                	      47        3       44        0        0
10614 python3-aiodns                     	      47        0       43        4        0
10615 python3-breezy                     	      47        2       40        5        0
10616 python3-cap-ng                     	      47       15       31        1        0
10617 python3-crypto                     	      47        0       47        0        0
10618 python3-patiencediff               	      47        1       41        5        0
10619 python3-pycares                    	      47       18       25        4        0
10620 python3-xxhash                     	      47        3       31       13        0
10621 python3-zbar                       	      47        1       43        3        0
10622 qml6-module-qtquick-virtualkeyboard	      47        2        1        0       44
10623 qml6-module-qtwebengine            	      47        0        0        0       47
10624 r-cran-r6                          	      47        6       41        0        0
10625 rpi-imager                         	      47        1       45        1        0
10626 rtl-sdr                            	      47        1       46        0        0
10627 ruby-optimist                      	      47        0        0        0       47
10628 svgpart                            	      47        0        1        0       46
10629 tftp                               	      47        1       15        0       31
10630 unp                                	      47        3       43        1        0
10631 vkd3d-compiler                     	      47        3       44        0        0
10632 weechat-perl                       	      47        1        3        0       43
10633 xutils                             	      47        0        0        0       47
10634 asciidoc-dblatex                   	      46        0        0        0       46
10635 binutils-mingw-w64-x86-64          	      46        1       45        0        0
10636 clang-tidy-14                      	      46        2       44        0        0
10637 disktype                           	      46        4       41        1        0
10638 djview4                            	      46        3       40        3        0
10639 doublecmd-common                   	      46        3       42        1        0
10640 doublecmd-plugins                  	      46        2       43        1        0
10641 elpa-company                       	      46        6       39        1        0
10642 etherape-data                      	      46        0        0        0       46
10643 fbset                              	      46        2       42        2        0
10644 fonts-katex                        	      46        1        1        0       44
10645 fonts-pc                           	      46        1        0        0       45
10646 gftp-gtk                           	      46        1       44        1        0
10647 gir1.2-udisks-2.0                  	      46        0        0        0       46
10648 gradle                             	      46        3       43        0        0
10649 hunspell-pt-br                     	      46        0        0        0       46
10650 hyphen-ru                          	      46        0        0        0       46
10651 inorwegian                         	      46        0       41        5        0
10652 kbruch                             	      46        1       42        3        0
10653 kdeadmin                           	      46        0        0        0       46
10654 kdemultimedia                      	      46        0        0        0       46
10655 kdenetwork-filesharing             	      46        2       42        1        1
10656 khangman                           	      46        0       44        2        0
10657 kiten                              	      46        2       42        2        0
10658 libaccounts-qt6-1                  	      46        4        2        0       40
10659 libavkys9                          	      46        0        0        0       46
10660 libboost-python1.83.0              	      46        0        1        0       45
10661 libcdio-dev                        	      46        0       45        1        0
10662 libclang-common-19-dev             	      46        2       38        6        0
10663 libclang-rt-16-dev                 	      46        1       45        0        0
10664 libeac3                            	      46        8        8        0       30
10665 liberfa1                           	      46        0        0        0       46
10666 libfreerdp-rail1.1                 	      46        0        0        0       46
10667 libhcrypto4-heimdal                	      46        0        0        0       46
10668 libhidapi-dev                      	      46        0       44        2        0
10669 libkf5akonadixml5                  	      46        0        0        0       46
10670 libkf6newstuffwidgets6             	      46       12       12        1       21
10671 libkf6people-data                  	      46        0        0        0       46
10672 libkf6peoplebackend6               	      46        0        0        0       46
10673 libllvm13                          	      46        0        0        0       46
10674 libmodbus5                         	      46        9        6        0       31
10675 libopencv-calib3d-dev              	      46        0       43        2        1
10676 libopencv-features2d-dev           	      46        0       43        2        1
10677 libopencv-flann2.4v5               	      46        0        0        0       46
10678 libopencv-highgui-dev              	      46        0       44        2        0
10679 libopencv-video2.4v5               	      46        0        0        0       46
10680 libopencv-videostab-dev            	      46        0        0        0       46
10681 libparse-debcontrol-perl           	      46        1       44        1        0
10682 libpipewire-0.2-1                  	      46        1        1        0       44
10683 libpipewire-0.3-dev                	      46        1       39        5        1
10684 libqgis-customwidgets              	      46        0        0        0       46
10685 libqmobipocket6-2                  	      46        0        0        0       46
10686 libqt5webchannel5-dev              	      46        0       46        0        0
10687 libspa-0.2-dev                     	      46        1       40        5        0
10688 libspiffy-perl                     	      46        0       46        0        0
10689 libyaml-cpp0.8                     	      46        0        0        0       46
10690 liferea                            	      46        1       45        0        0
10691 llvm-16                            	      46        1       45        0        0
10692 llvm-16-runtime                    	      46        1       45        0        0
10693 llvm-9                             	      46        1       45        0        0
10694 mame                               	      46        1       45        0        0
10695 mame-data                          	      46        0        0        0       46
10696 mcrypt                             	      46        5       41        0        0
10697 mpd                                	      46        8       36        1        1
10698 netsurf-gtk                        	      46        2       44        0        0
10699 newsboat                           	      46        6       38        2        0
10700 onboard-data                       	      46        0        0        0       46
10701 pdfchain                           	      46        3       42        1        0
10702 phonon                             	      46        0        0        0       46
10703 php7.0-cli                         	      46       16       30        0        0
10704 php7.0-json                        	      46       16       30        0        0
10705 php7.0-opcache                     	      46       16       30        0        0
10706 php7.0-readline                    	      46       16       30        0        0
10707 picard                             	      46        3       42        1        0
10708 polybar                            	      46        9       36        1        0
10709 portaudio19-dev                    	      46        1       45        0        0
10710 python-pexpect                     	      46        3       43        0        0
10711 python-wxgtk3.0                    	      46        4       41        1        0
10712 python3-plotly                     	      46        0       44        2        0
10713 python3-rlpycairo                  	      46        1       43        2        0
10714 python3-translate                  	      46        0       43        3        0
10715 python3.9-venv                     	      46        1       43        2        0
10716 qgis-providers                     	      46        4       40        2        0
10717 qt3d-assimpsceneimport-plugin      	      46        0        0        0       46
10718 qt3d-defaultgeometryloader-plugin  	      46        0        0        0       46
10719 qt3d-gltfsceneio-plugin            	      46        0        0        0       46
10720 qt3d-scene2d-plugin                	      46        0        0        0       46
10721 r-cran-littler                     	      46        6       39        1        0
10722 scrounge-ntfs                      	      46        1       45        0        0
10723 spacefm-common                     	      46        0        2        0       44
10724 sylpheed-i18n                      	      46        3        4        0       39
10725 translate-toolkit                  	      46        0       43        3        0
10726 translate-toolkit-doc              	      46        0        0        0       46
10727 ttf-unifont                        	      46        0        0        0       46
10728 virtualbox-7.1                     	      46       12       34        0        0
10729 vnstat                             	      46       10       36        0        0
10730 webext-ublock-origin-firefox       	      46        8       38        0        0
10731 weechat-ruby                       	      46        1        3        0       42
10732 wine-stable-amd64                  	      46        2       43        1        0
10733 xcursor-themes                     	      46        0        0        0       46
10734 xfonts-terminus-dos                	      46        0        0        0       46
10735 alacritty                          	      45        5       38        2        0
10736 apcupsd-doc                        	      45        6       39        0        0
10737 augeas-tools                       	      45        2       40        3        0
10738 bash-doc                           	      45        0        0        0       45
10739 brz                                	      45        2       38        5        0
10740 btrfs-tools                        	      45        0        1        0       44
10741 cantor-backend-qalculate           	      45        0        0        0       45
10742 clang-16                           	      45        1       44        0        0
10743 claws-mail-pdf-viewer              	      45        4        5        0       36
10744 clisp                              	      45        0       45        0        0
10745 compiz-gnome                       	      45        8       34        3        0
10746 cvsservice                         	      45        1       44        0        0
10747 elpa-async                         	      45        7       37        1        0
10748 exifprobe                          	      45        3       42        0        0
10749 extremetuxracer-data               	      45        0        1        0       44
10750 f3                                 	      45        1       44        0        0
10751 fonts-courier-prime                	      45        1        0        0       44
10752 fonts-pc-extra                     	      45        1        1        0       43
10753 gir1.2-gupnpigd-1.0                	      45        1        2        0       42
10754 gnome-online-miners                	      45        0        0        0       45
10755 gnuplot-nox                        	      45        6       39        0        0
10756 innoextract                        	      45        1       43        1        0
10757 kdeconnect-libs                    	      45       20       15        4        6
10758 ktorrent-data                      	      45        0        2        0       43
10759 kturtle                            	      45        0       43        2        0
10760 lbzip2                             	      45        2       43        0        0
10761 libairspyhf1                       	      45        7       36        1        1
10762 libamd-comgr2                      	      45        0        0        0       45
10763 libanalitza8                       	      45        0        0        0       45
10764 libanalitzagui8                    	      45        0        0        0       45
10765 libattica0.4                       	      45        1        1        0       43
10766 libblkio1                          	      45        0        0        0       45
10767 libbotan-2-17                      	      45        0        3        0       42
10768 libcupsimage2t64                   	      45        0        0        0       45
10769 libdbusmenu-qt2                    	      45        0        1        0       44
10770 libdvdread-dev                     	      45        0       45        0        0
10771 libexif-gtk5                       	      45        0        0        0       45
10772 libfreehep-util-java               	      45        0        0        0       45
10773 libgdcm3.0t64                      	      45        0        0        0       45
10774 libgflags2.2                       	      45        0        2        0       43
10775 libgif4                            	      45        1        0        0       44
10776 libgranite6                        	      45        0        0        0       45
10777 libhcrypto5-heimdal                	      45        6        4        0       35
10778 libibmad5                          	      45        0        0        0       45
10779 libiodbc2                          	      45        2        0        0       43
10780 libjfreechart-java                 	      45        0        0        0       45
10781 libjs-es5-shim                     	      45        0        0        0       45
10782 libjs-scriptaculous                	      45        0        0        0       45
10783 libkf5kdegamesprivate7             	      45        0        0        0       45
10784 libkf6contacts6                    	      45        8        2        0       35
10785 libkf6filemetadata3                	      45        5       11        1       28
10786 libkf6people6                      	      45        0        0        0       45
10787 libksanecore1                      	      45        0        0        0       45
10788 libktorrent-l10n                   	      45        0        2        0       43
10789 libmiglayout-java                  	      45        0        0        0       45
10790 libmjpegutils-2.2-0                	      45        0        0        0       45
10791 libmozjs-24-0                      	      45        0        0        0       45
10792 libmxml-dev                        	      45        0       45        0        0
10793 libopencv-calib3d2.4v5             	      45        0        0        0       45
10794 libopencv-contrib-dev              	      45        0        0        0       45
10795 libopencv-dev                      	      45        1       43        1        0
10796 libopencv-dnn-dev                  	      45        0        0        0       45
10797 libopencv-features2d2.4v5          	      45        0        0        0       45
10798 libopencv-imgcodecs-dev            	      45        0       43        2        0
10799 libopencv-objdetect-dev            	      45        0        0        0       45
10800 libopencv-objdetect2.4v5           	      45        0        0        0       45
10801 libopencv-shape-dev                	      45        0        0        0       45
10802 libopencv-stitching-dev            	      45        0        0        0       45
10803 libopencv-superres-dev             	      45        0        0        0       45
10804 libopencv-videoio-dev              	      45        0       43        2        0
10805 libopenexr6                        	      45        1        0        0       44
10806 libqca2                            	      45        0        1        0       44
10807 libreoffice-ogltrans               	      45        1       38        1        5
10808 libsmf0                            	      45        0        0        0       45
10809 libstartup-notification0-dev       	      45        0       45        0        0
10810 libsuperlu-dev                     	      45        0       45        0        0
10811 libtqt3-mt                         	      45        6       24        3       12
10812 libvte-2.90-common                 	      45        0        0        0       45
10813 libwireshark11                     	      45        0        0        0       45
10814 libwxgtk-media3.2-dev              	      45        0        0        0       45
10815 libxsettings-client0               	      45        0        0        0       45
10816 linux-headers-6.1.0-17-amd64       	      45        1       44        0        0
10817 linux-image-4.19.0-25-amd64        	      45        2       43        0        0
10818 lirc                               	      45       13       32        0        0
10819 littler                            	      45        0        0        0       45
10820 llvm-16-dev                        	      45        2       43        0        0
10821 llvm-16-tools                      	      45        1       44        0        0
10822 llvm-19-linker-tools               	      45        7       35        3        0
10823 llvm-9-tools                       	      45        1       44        0        0
10824 lxdm                               	      45        6       39        0        0
10825 manpages-pt-br                     	      45        0        0        0       45
10826 mariadb-client-core-10.1           	      45        7       38        0        0
10827 maxima-doc                         	      45        0        0        0       45
10828 mingw-w64-x86-64-dev               	      45        1       44        0        0
10829 mousetweaks                        	      45        1       44        0        0
10830 network-manager-pptp               	      45        8       37        0        0
10831 nomarch                            	      45        9       35        1        0
10832 php-soap                           	      45        0        0        0       45
10833 php7.4-intl                        	      45       17       28        0        0
10834 python-scour                       	      45        1       44        0        0
10835 python3-bsddb3                     	      45        4       40        1        0
10836 python3-shapely                    	      45        2       41        2        0
10837 qiv                                	      45        5       40        0        0
10838 qml-module-org-kde-analitza        	      45        0        0        0       45
10839 qml6-module-org-kde-kdeconnect     	      45        9       11        1       24
10840 qml6-module-org-kde-newstuff       	      45        0        2        0       43
10841 qml6-module-org-kde-people         	      45        0        0        0       45
10842 qtqr                               	      45        1       42        2        0
10843 qtwebengine5-dev                   	      45        0       45        0        0
10844 r-cran-cli                         	      45        5       39        1        0
10845 r-cran-glue                        	      45        5       40        0        0
10846 r-cran-magrittr                    	      45        5       40        0        0
10847 r-cran-pkgkitten                   	      45        3       42        0        0
10848 r-cran-rcpp                        	      45        4       41        0        0
10849 r-cran-rlang                       	      45        5       40        0        0
10850 r-cran-withr                       	      45        5       40        0        0
10851 r-cran-yaml                        	      45        4       41        0        0
10852 slack-desktop                      	      45        8       34        3        0
10853 soapyosmo-common0.8                	      45        0        3        0       42
10854 soapysdr0.8-module-airspy          	      45        0        3        0       42
10855 soapysdr0.8-module-audio           	      45        0        3        0       42
10856 soapysdr0.8-module-bladerf         	      45        0        3        0       42
10857 soapysdr0.8-module-hackrf          	      45        0        3        0       42
10858 soapysdr0.8-module-lms7            	      45        0        3        0       42
10859 soapysdr0.8-module-mirisdr         	      45        0        3        0       42
10860 soapysdr0.8-module-osmosdr         	      45        0        3        0       42
10861 soapysdr0.8-module-redpitaya       	      45        0        3        0       42
10862 soapysdr0.8-module-remote          	      45        0        3        0       42
10863 soapysdr0.8-module-rfspace         	      45        0        3        0       42
10864 soapysdr0.8-module-rtlsdr          	      45        0        3        0       42
10865 soapysdr0.8-module-uhd             	      45        0        3        0       42
10866 squid                              	      45       17       28        0        0
10867 tcptraceroute                      	      45        4       41        0        0
10868 tuxpaint                           	      45        1       43        1        0
10869 tuxpaint-data                      	      45        0       44        1        0
10870 tuxpaint-plugins-default           	      45        0       44        1        0
10871 tuxpaint-stamps-default            	      45        0        0        0       45
10872 wayland-utils                      	      45        5       38        2        0
10873 wnorwegian                         	      45        0       40        5        0
10874 xawtv-plugins                      	      45        0        0        0       45
10875 yakuake                            	      45        9       33        3        0
10876 acct                               	      44       11       33        0        0
10877 aspell-cs                          	      44        0       42        2        0
10878 binwalk                            	      44        1       41        2        0
10879 bzr                                	      44        1        9        0       34
10880 cantor                             	      44        0       42        2        0
10881 ddrescueview                       	      44        1       42        1        0
10882 dsniff                             	      44        2       42        0        0
10883 element-desktop                    	      44        1        7        3       33
10884 exiftags                           	      44        5       38        1        0
10885 extremetuxracer                    	      44        0       44        0        0
10886 eyed3                              	      44        2       42        0        0
10887 fcrackzip                          	      44        3       41        0        0
10888 g++-4.9                            	      44        1       42        0        1
10889 gcc-mingw-w64-base                 	      44        0        0        0       44
10890 gimp-texturize                     	      44        0       44        0        0
10891 gnome-icon-theme-symbolic          	      44        0        0        0       44
10892 gnuradio                           	      44        2       41        1        0
10893 jmapviewer                         	      44        2       40        2        0
10894 kdesdk-scripts                     	      44        1       43        0        0
10895 kdesdk-thumbnailers                	      44        0        0        0       44
10896 ktorrent                           	      44        0       44        0        0
10897 lib2geom1.2.0t64                   	      44        0        0        0       44
10898 libamdhip64-5                      	      44        0        0        0       44
10899 libanalitzaplot8                   	      44        0        0        0       44
10900 libanalitzawidgets8                	      44        0        0        0       44
10901 libaudit-dev                       	      44        4       38        2        0
10902 libavif-gdk-pixbuf                 	      44        0        0        0       44
10903 libbindex-java                     	      44        0        0        0       44
10904 libboost-system1.55.0              	      44        0        0        0       44
10905 libclang-rt-19-dev                 	      44        2       37        5        0
10906 libconvert-color-perl              	      44        0       44        0        0
10907 libdbus-1-tqt                      	      44        6       17        3       18
10908 libffcall1b                        	      44        0        1        0       43
10909 libfluidsynth-dev                  	      44        0       44        0        0
10910 libfreexl-dev                      	      44        0       44        0        0
10911 libgeotiff-dev                     	      44        0       44        0        0
10912 libgfbgraph-0.2-0                  	      44        0        0        0       44
10913 libgig10                           	      44        0        0        0       44
10914 libgnome-desktop-3-17              	      44        0        1        0       43
10915 libhdf5-310                        	      44        0        0        0       44
10916 libidl-2-0                         	      44        0        0        0       44
10917 libipmiconsole2                    	      44        0        0        0       44
10918 libjavascriptcoregtk-4.0-dev       	      44        0       43        1        0
10919 libjs-jquery-throttle-debounce     	      44        0       26        1       17
10920 libkaccounts6-2                    	      44        4        2        0       38
10921 libkf5xmlrpcclient-data            	      44        0        0        0       44
10922 libkf6pty-data                     	      44        0        0        0       44
10923 libknopflerfish-osgi-framework-java	      44        0        0        0       44
10924 libmodule-cpanfile-perl            	      44        3       41        0        0
10925 libmpeg2encpp-2.2-0                	      44        0        0        0       44
10926 libmplex2-2.2-0                    	      44        0        0        0       44
10927 libnumber-bytes-human-perl         	      44        1       41        2        0
10928 libnutscan2                        	      44        0        0        0       44
10929 libopencv-viz-dev                  	      44        0        0        0       44
10930 libpgm-dev                         	      44        0       44        0        0
10931 libsecret-1-dev                    	      44        0       44        0        0
10932 libsexp1                           	      44        3        3        0       38
10933 libsignon-qt6-1                    	      44        4        2        0       38
10934 libslp1                            	      44        3       11        1       29
10935 libsvg-perl                        	      44        0       44        0        0
10936 libtqtinterface                    	      44        6       25        3       10
10937 libwebkitgtk-3.0-0                 	      44        0        0        0       44
10938 libwmf0.2-7-gtk                    	      44        0        0        0       44
10939 libwxgtk-media3.2-1                	      44        0        0        0       44
10940 libyaml-pp-perl                    	      44        3       39        2        0
10941 libzapojit-0.0-0                   	      44        0        0        0       44
10942 linux-config-6.1                   	      44        0        0        0       44
10943 linux-image-6.1.0-11-amd64         	      44        2       42        0        0
10944 linux-image-6.11.2-amd64           	      44        2       34        8        0
10945 llvm-19                            	      44        0       39        5        0
10946 llvm-19-dev                        	      44       11       30        3        0
10947 llvm-19-runtime                    	      44        0       39        5        0
10948 llvm-19-tools                      	      44        0       39        5        0
10949 materia-gtk-theme                  	      44        0        0        0       44
10950 network-manager-fortisslvpn        	      44        5       38        1        0
10951 network-manager-vpnc-gnome         	      44        0       39        0        5
10952 openjdk-8-jdk-headless             	      44        1        0        0       43
10953 openttd-opensfx                    	      44        0        0        0       44
10954 orage-data                         	      44        2        3        0       39
10955 partimage                          	      44        1       43        0        0
10956 php-react-promise                  	      44        4       40        0        0
10957 poxml                              	      44        0       44        0        0
10958 python-wheel                       	      44        0       42        1        1
10959 python3-autopep8                   	      44        2       42        0        0
10960 python3-axolotl                    	      44        0       43        1        0
10961 python3-axolotl-curve25519         	      44        2       41        1        0
10962 python3-cloudpickle                	      44        0       44        0        0
10963 python3-owslib                     	      44        0       42        2        0
10964 python3-pyqt5.qwt                  	      44        0       42        2        0
10965 python3-sphinx-rtd-theme           	      44        6       38        0        0
10966 python3-sqlparse                   	      44        2       40        2        0
10967 qgis                               	      44        5       37        2        0
10968 qml6-module-qtwebchannel           	      44        0        0        0       44
10969 r-cran-crayon                      	      44        4       40        0        0
10970 r-cran-digest                      	      44        3       41        0        0
10971 r-cran-fansi                       	      44        5       38        1        0
10972 r-cran-pillar                      	      44        5       39        0        0
10973 r-cran-pkgconfig                   	      44        5       39        0        0
10974 r-cran-utf8                        	      44        5       39        0        0
10975 soapysdr0.8-module-all             	      44        0        0        0       44
10976 steghide                           	      44        1       41        2        0
10977 step                               	      44        1       42        1        0
10978 systemctl                          	      44        5       38        1        0
10979 task-norwegian                     	      44        0        0        0       44
10980 trinity-keyring                    	      44        0        0        0       44
10981 twm                                	      44        1       42        1        0
10982 v4l-conf                           	      44        1       43        0        0
10983 winehq-stable                      	      44        4       40        0        0
10984 antlr3                             	      43        1       42        0        0
10985 ayatana-indicator-common           	      43        1       40        2        0
10986 byacc                              	      43        1       41        1        0
10987 cdrskin                            	      43        2       40        1        0
10988 cervisia                           	      43        1       42        0        0
10989 cgroup-tools                       	      43        0       43        0        0
10990 chntpw                             	      43        4       32        7        0
10991 claws-mail-vcalendar-plugin        	      43        3        3        0       37
10992 dcfldd                             	      43        4       38        1        0
10993 dfu-util                           	      43        7       34        2        0
10994 dump                               	      43        7       35        1        0
10995 elpa-graphviz-dot-mode             	      43        7       35        1        0
10996 elpa-popup                         	      43        7       35        1        0
10997 fastfetch                          	      43        6       28        9        0
10998 fonts-mathjax-extras               	      43        0        0        0       43
10999 freeipmi-tools                     	      43        4       38        1        0
11000 gftp-text                          	      43        0       43        0        0
11001 glances                            	      43        5       38        0        0
11002 gmic                               	      43        1       42        0        0
11003 gnome-software-plugin-flatpak      	      43        4        7        2       30
11004 gnupg1-l10n                        	      43        0        0        0       43
11005 helpdev                            	      43        1       41        1        0
11006 hostapd                            	      43        3       40        0        0
11007 html2ps                            	      43        3       40        0        0
11008 icedax                             	      43        3       40        0        0
11009 kdenetwork                         	      43        0        0        0       43
11010 libad9361-0                        	      43        0        0        0       43
11011 libandroid-json-java               	      43        0        0        0       43
11012 libapache2-mod-php5                	      43        8       35        0        0
11013 libauparse0                        	      43       12       14        0       17
11014 libavahi-tqt-1                     	      43        3       12        1       27
11015 libbotan-2-9                       	      43        0        0        0       43
11016 libclang-14-dev                    	      43        7       34        2        0
11017 libcpan-distnameinfo-perl          	      43        0       43        0        0
11018 libcrypt-rijndael-perl             	      43        0        0        0       43
11019 libdirac-encoder0                  	      43        0        0        0       43
11020 libdnnl3                           	      43        0        0        0       43
11021 libfcft4                           	      43        5        3        1       34
11022 libfreehep-graphicsio-emf-java     	      43        0        0        0       43
11023 libghc-random-dev                  	      43        1       42        0        0
11024 libgit2-27                         	      43        1        0        0       42
11025 libgraphics-tiff-perl              	      43        0        0        0       43
11026 libgtkspellmm-3.0-0v5              	      43        0        0        0       43
11027 libheimntlm0-heimdal               	      43        2        2        0       39
11028 libiio0                            	      43        6       35        1        1
11029 libio-all-perl                     	      43        0       43        0        0
11030 libipmidetect0                     	      43        0        0        0       43
11031 libjs-microplugin.js               	      43        0        0        0       43
11032 libjs-sifter.js                    	      43        0        0        0       43
11033 libjs-twitter-bootstrap-datepicker 	      43        0        0        0       43
11034 libkdecore5                        	      43        1       42        0        0
11035 libkdeui5                          	      43        1        1        0       41
11036 libkf6filemetadata-bin             	      43        0        1        0       42
11037 libkf6notifyconfig-data            	      43        0        0        0       43
11038 liblwp-useragent-determined-perl   	      43        7       35        1        0
11039 libmagick++-6-headers              	      43        1       41        1        0
11040 libmail-imapclient-perl            	      43        5       37        1        0
11041 libmariadb-java                    	      43        0        0        0       43
11042 libmarisa0                         	      43        2        5        0       36
11043 libmp4v2-2                         	      43        0        0        0       43
11044 libmujs1                           	      43        0        0        0       43
11045 libphonon4qt5experimental4         	      43        0        0        0       43
11046 libphonon4qt6-4t64                 	      43        8        4        1       30
11047 libqt5pdf5                         	      43        4       15        0       24
11048 libqt6quicktimeline6               	      43        0        0        0       43
11049 librdkafka1                        	      43        1        0        0       42
11050 libspatialite-dev                  	      43        2       40        1        0
11051 libtag1-dev                        	      43        0       39        0        4
11052 libtecla1                          	      43        0        0        0       43
11053 libunshield0                       	      43        0        0        0       43
11054 libvte-2.90-9                      	      43        0        0        0       43
11055 libwxgtk-gl3.2-1t64                	      43        1        0        0       42
11056 libxcb-cursor-dev                  	      43        0       43        0        0
11057 musescore-general-soundfont-small  	      43        0        0        0       43
11058 myspell-nb                         	      43        0        0        0       43
11059 myspell-nn                         	      43        0        0        0       43
11060 netdiag                            	      43        7       36        0        0
11061 network-manager-config-connectivity-debian	      43        9       32        2        0
11062 obfs4proxy                         	      43        1       40        2        0
11063 php-cgi                            	      43        6       33        2        2
11064 php8.2-soap                        	      43       14       27        2        0
11065 php8.2-sqlite3                     	      43       12       30        1        0
11066 procinfo                           	      43        6       36        1        0
11067 python-certifi                     	      43        1       42        0        0
11068 python-markupsafe                  	      43        1       42        0        0
11069 python3-github                     	      43        0       40        3        0
11070 python3-mypy                       	      43        3       37        3        0
11071 python3-phply                      	      43        6       37        0        0
11072 python3-pystache                   	      43        0       42        1        0
11073 python3-qdarkstyle                 	      43        1       41        1        0
11074 python3-slugify                    	      43        0       43        0        0
11075 python3-thrift                     	      43        3       37        3        0
11076 python3.12-venv                    	      43        0       42        1        0
11077 qgis-plugin-grass-common           	      43        0        0        0       43
11078 r-cran-askpass                     	      43        4       39        0        0
11079 r-cran-evaluate                    	      43        3       40        0        0
11080 r-cran-jsonlite                    	      43        4       38        1        0
11081 r-cran-lifecycle                   	      43        5       38        0        0
11082 r-cran-openssl                     	      43        3       38        2        0
11083 r-cran-stringi                     	      43        4       38        1        0
11084 r-cran-stringr                     	      43        4       39        0        0
11085 r-cran-sys                         	      43        4       39        0        0
11086 r-cran-tibble                      	      43        5       38        0        0
11087 r-cran-vctrs                       	      43        5       38        0        0
11088 r-cran-xfun                        	      43        4       38        1        0
11089 rocs                               	      43        0       42        1        0
11090 rosegarden                         	      43        2       37        4        0
11091 rubberband-cli                     	      43        2       40        1        0
11092 ruby-oj                            	      43        1       42        0        0
11093 safecopy                           	      43        3       40        0        0
11094 scalpel                            	      43        2       41        0        0
11095 task-gnome-flashback-desktop       	      43        0        0        0       43
11096 texmaker-data                      	      43        1        0        0       42
11097 tp-smapi-dkms                      	      43        7       35        1        0
11098 wesnoth                            	      43        0        0        0       43
11099 x11proto-xf86dga-dev               	      43        0        3        0       40
11100 aumix                              	      42        2       40        0        0
11101 clang-19                           	      42        3       34        5        0
11102 compiz-plugins-extra               	      42        8       30        4        0
11103 elpa-csv-mode                      	      42        5       34        3        0
11104 elpa-dash                          	      42        6       34        2        0
11105 erlang-mnesia                      	      42        2       39        1        0
11106 erlang-runtime-tools               	      42        1       40        1        0
11107 fonts-femkeklaver                  	      42        0        0        0       42
11108 ftp-ssl                            	      42        2       39        1        0
11109 gajim-omemo                        	      42        0        0        0       42
11110 gigolo                             	      42        3       38        1        0
11111 gnuradio-dev                       	      42        1       39        2        0
11112 hfsutils                           	      42        1       40        1        0
11113 katepart                           	      42        0       42        0        0
11114 kdelibs-bin                        	      42        1       41        0        0
11115 kdetoys                            	      42        0        0        0       42
11116 kio-fuse                           	      42        0       36        6        0
11117 konsolekalendar                    	      42        0       40        2        0
11118 libarts1c2a-trinity                	      42        7       32        3        0
11119 libartsc0-trinity                  	      42        0        0        0       42
11120 libboolean-perl                    	      42        2       39        1        0
11121 libcantorlibs-data                 	      42        0        0        0       42
11122 libeet1                            	      42        2        8        0       32
11123 libfreesrp0                        	      42        0        3        0       39
11124 libglademm-2.4-1v5                 	      42        0        0        0       42
11125 libgnome2-0                        	      42        0        0        0       42
11126 libgssapi3-heimdal                 	      42        1        1        0       40
11127 libhash-fieldhash-perl             	      42        0        0        0       42
11128 libhavege1                         	      42        0        0        0       42
11129 libhdf5-hl-310                     	      42        0        0        0       42
11130 libinput-tools                     	      42        2       36        4        0
11131 libjgoodies-looks-java             	      42        0        0        0       42
11132 libjs-jquery-selectize.js          	      42        0        0        0       42
11133 libjs-jquery-ui-theme-base         	      42        0        0        0       42
11134 libkatepartinterfaces4             	      42        0        0        0       42
11135 libkcmutils4                       	      42        1        1        0       40
11136 libkeduvocdocument5abi1            	      42        0        0        0       42
11137 libkexiv2qt6-0                     	      42        8       12        1       21
11138 libkf6pty6                         	      42        9       14        1       18
11139 libkio5                            	      42        1        1        0       40
11140 libkjsapi4                         	      42        1        0        0       41
11141 libkjsembed4                       	      42        0        0        0       42
11142 libkml-dev                         	      42        0       42        0        0
11143 libknewstuff3-4                    	      42        1        1        0       40
11144 libkparts4                         	      42        1        1        0       40
11145 libkrosscore4                      	      42        0        0        0       42
11146 libktexteditor4                    	      42        1        0        0       41
11147 libmirisdr0                        	      42        7       34        1        0
11148 libmozjs-128-0                     	      42        0        1        1       40
11149 libnet-cidr-lite-perl              	      42        8       34        0        0
11150 libnids1.21                        	      42        0        0        0       42
11151 libnorm-dev                        	      42        0       42        0        0
11152 libobject-id-perl                  	      42        0       41        1        0
11153 libopencc-data                     	      42        0        0        0       42
11154 libpoppler46                       	      42        0        0        0       42
11155 libpython3.7-dev                   	      42        0       42        0        0
11156 libqapt3-runtime                   	      42        0       42        0        0
11157 libreoffice-l10n-pt-br             	      42        1       36        5        0
11158 libshaderc1                        	      42        0        1        0       41
11159 libsolid4                          	      42        1        1        0       40
11160 libsvthevcenc1                     	      42        0        0        0       42
11161 libthrift-dev                      	      42        1       39        2        0
11162 libthunarx-2-0                     	      42        0        0        0       42
11163 libwebkit2gtk-4.0-dev              	      42        0       41        1        0
11164 libwinpr-error0.1                  	      42        0        0        0       42
11165 libwmf-0.2-7-gtk                   	      42        0        0        0       42
11166 libx11-protocol-other-perl         	      42        0       40        2        0
11167 libzmq3-dev                        	      42        0       41        1        0
11168 linux-headers-6.1.0-13-common      	      42        0       42        0        0
11169 linux-headers-6.1.0-32-common      	      42        0        0       41        1
11170 llvm-9-dev                         	      42        0       42        0        0
11171 perltidy                           	      42        1       41        0        0
11172 php-psr-http-message               	      42        8       33        1        0
11173 php-symfony-string                 	      42        4       37        1        0
11174 php8.2-pgsql                       	      42       13       28        1        0
11175 plymouth                           	      42        9       30        3        0
11176 python-configparser                	      42        6       36        0        0
11177 python-pip                         	      42        1       40        1        0
11178 python-zope.interface              	      42        7       35        0        0
11179 python3-libarchive-c               	      42        2       39        1        0
11180 python3-qgis-common                	      42        1        4        0       37
11181 python3-qrtools                    	      42        4       36        2        0
11182 qml-module-org-kde-kirigami-addons-labs-mobileform	      42        0        0        0       42
11183 qml-qt6                            	      42        0       38        4        0
11184 qtbase5-private-dev                	      42        0       40        2        0
11185 r-cran-base64enc                   	      42        3       39        0        0
11186 r-cran-commonmark                  	      42        4       37        1        0
11187 r-cran-ellipsis                    	      42        4       38        0        0
11188 r-cran-highr                       	      42        3       39        0        0
11189 r-cran-htmltools                   	      42        3       39        0        0
11190 r-cran-httpuv                      	      42        3       39        0        0
11191 r-cran-knitr                       	      42        3       38        1        0
11192 r-cran-later                       	      42        3       39        0        0
11193 r-cran-markdown                    	      42        4       38        0        0
11194 r-cran-mime                        	      42        3       39        0        0
11195 r-cran-promises                    	      42        3       39        0        0
11196 r-cran-rappdirs                    	      42        4       38        0        0
11197 r-cran-rmarkdown                   	      42        9       33        0        0
11198 r-cran-shiny                       	      42        9       32        0        1
11199 r-cran-sourcetools                 	      42        3       39        0        0
11200 r-cran-tinytex                     	      42        3       38        1        0
11201 r-cran-xtable                      	      42        4       38        0        0
11202 spacefm                            	      42        3       38        1        0
11203 tdelibs-data-trinity               	      42        6       33        3        0
11204 texmaker                           	      42        1       39        2        0
11205 tuxpaint-config                    	      42        0       42        0        0
11206 unbound                            	      42       13       29        0        0
11207 uswsusp                            	      42        3       39        0        0
11208 apparmor-profiles                  	      41        0        0        0       41
11209 apt-transport-tor                  	      41        9       31        1        0
11210 bat                                	      41        5       34        2        0
11211 binutils-mingw-w64-i686            	      41        1       40        0        0
11212 binutils-multiarch                 	      41       11       27        3        0
11213 calligrawords-data                 	      41        0        0        0       41
11214 castxml                            	      41        2       38        1        0
11215 claws-mail-attach-remover          	      41        0        3        0       38
11216 claws-mail-clamd-plugin            	      41        0        0        0       41
11217 claws-mail-tools                   	      41        0        0        0       41
11218 compiz-mate                        	      41        9       29        3        0
11219 conky-all                          	      41        4       35        2        0
11220 conntrack                          	      41        6       34        1        0
11221 ddrutility                         	      41        4       36        1        0
11222 debian-handbook                    	      41        0        0        0       41
11223 default-jdk-doc                    	      41        0        0        0       41
11224 dizzy                              	      41        0       41        0        0
11225 dovecot-lmtpd                      	      41       11       30        0        0
11226 elpa-apache-mode                   	      41        6       34        1        0
11227 elpa-diminish                      	      41        5       35        1        0
11228 elpa-muttrc-mode                   	      41        6       34        1        0
11229 freedoom                           	      41        1       39        1        0
11230 games-tasks                        	      41        0        0        0       41
11231 gcc-10-doc                         	      41        0        0        0       41
11232 gimagereader                       	      41        4       36        1        0
11233 gutenprint-doc                     	      41        0        0        0       41
11234 hydrogen-drumkits                  	      41        0        0        0       41
11235 kdeedu                             	      41        0        0        0       41
11236 kdegraphics                        	      41        0        0        0       41
11237 kdelibs5-plugins                   	      41        3       38        0        0
11238 kdepim                             	      41        0        0        0       41
11239 kdoctools                          	      41        0       41        0        0
11240 kicad-doc-en                       	      41        0        0        0       41
11241 kimagemapeditor                    	      41        0       40        1        0
11242 kuiviewer                          	      41        0       40        1        0
11243 libalure1                          	      41        0        0        0       41
11244 libapache2-mod-fcgid               	      41       13       28        0        0
11245 libaprutil1-dev                    	      41        0       41        0        0
11246 libarmadillo-dev                   	      41        0        0        0       41
11247 libassuan-dev                      	      41        1       37        3        0
11248 libbasicusageenvironment2          	      41        0        0        0       41
11249 libclass-accessor-chained-perl     	      41        1       39        1        0
11250 libcpan-meta-check-perl            	      41        0       41        0        0
11251 libcrypt-passwdmd5-perl            	      41        4       37        0        0
11252 libecore-file1                     	      41        2        8        0       31
11253 libeeze1                           	      41        2        8        0       31
11254 libfindlib-ocaml                   	      41        0       37        0        4
11255 libgeos-3.7.1                      	      41        0        0        0       41
11256 libgsl-dev                         	      41        2       39        0        0
11257 libhandy-0.0-0                     	      41        0        0        0       41
11258 libhunspell-dev                    	      41        1       40        0        0
11259 libkde3support4                    	      41        0        0        0       41
11260 libkdeclarative5                   	      41        1        0        0       40
11261 libkdewebkit5                      	      41        1        1        0       39
11262 libkemoticons4                     	      41        1        0        0       40
11263 libkf6notifyconfig6                	      41        8       12        1       20
11264 libkfile4                          	      41        1       40        0        0
11265 libkhtml5                          	      41        1        0        0       40
11266 libkntlm4                          	      41        0        0        0       41
11267 libkpty4                           	      41        1        0        0       40
11268 libmagick++-6.q16-dev              	      41        0        0        0       41
11269 libmediawiki-api-perl              	      41        1       39        1        0
11270 libmsgraph-1-1                     	      41        0        0        0       41
11271 libnetfilter-queue1                	      41        7        6        0       28
11272 libntrack-qt4-1                    	      41        1        0        0       40
11273 libopencc1.1                       	      41        2        3        0       36
11274 libopusfile-dev                    	      41        1       40        0        0
11275 libparse-pmfile-perl               	      41        0       41        0        0
11276 libphobos2-ldc-shared100           	      41        1        2        0       38
11277 libpolkit-qt-1-1                   	      41        1        0        0       40
11278 librpm10                           	      41        0        0        0       41
11279 librpmio10                         	      41        0        0        0       41
11280 libthreadweaver4                   	      41        1        1        0       39
11281 libthumbnailator-java              	      41        0        0        0       41
11282 libunac1                           	      41        0        0        0       41
11283 libzopfli1                         	      41        0        0        0       41
11284 linux-headers-5.10.0-32-common     	      41        0       41        0        0
11285 linux-image-4.19.0-17-amd64        	      41        2       38        0        1
11286 linux-image-5.10.0-34-amd64        	      41        2        0       39        0
11287 linux-image-6.1.0-12-amd64         	      41        2       39        0        0
11288 minecraft-launcher                 	      41        3       38        0        0
11289 ngspice                            	      41        0       41        0        0
11290 node-jsonstream                    	      41        3       38        0        0
11291 node-through2                      	      41        1        5        0       35
11292 openjdk-8-jdk                      	      41        0        0        0       41
11293 phonon4qt6                         	      41        0        0        0       41
11294 phonon4qt6-backend-vlc             	      41        0        0        0       41
11295 php-getallheaders                  	      41        8       30        3        0
11296 php-psr-http-factory               	      41        8       33        0        0
11297 php-symfony-polyfill-php80         	      41        7       34        0        0
11298 pipewire-jack                      	      41        2       36        3        0
11299 python-sugar3                      	      41        0       41        0        0
11300 python-telepathy                   	      41        0       41        0        0
11301 python-yaml                        	      41        2       39        0        0
11302 python3-merge3                     	      41        0       41        0        0
11303 python3-parted                     	      41        2       36        3        0
11304 python3-pyscard                    	      41        2       37        2        0
11305 python3-qgis                       	      41        4       35        2        0
11306 python3-schema                     	      41        1       39        1        0
11307 python3-svn                        	      41        1       38        2        0
11308 python3-uvloop                     	      41       17       22        2        0
11309 qml-module-qtqml-statemachine      	      41        0        0        0       41
11310 r-cran-assertthat                  	      41        6       35        0        0
11311 r-cran-colorspace                  	      41        5       36        0        0
11312 r-cran-data.table                  	      41        4       36        1        0
11313 r-cran-desc                        	      41        3       38        0        0
11314 r-cran-farver                      	      41        5       36        0        0
11315 r-cran-fs                          	      41        4       37        0        0
11316 r-cran-htmlwidgets                 	      41        3       38        0        0
11317 r-cran-labeling                    	      41        4       37        0        0
11318 r-cran-memoise                     	      41        3       38        0        0
11319 r-cran-munsell                     	      41        5       36        0        0
11320 r-cran-png                         	      41        3       38        0        0
11321 r-cran-prettyunits                 	      41        3       38        0        0
11322 r-cran-purrr                       	      41        4       37        0        0
11323 r-cran-rcolorbrewer                	      41        4       37        0        0
11324 r-cran-rprojroot                   	      41        3       38        0        0
11325 r-cran-rstudioapi                  	      41        3       38        0        0
11326 r-cran-scales                      	      41        5       36        0        0
11327 r-cran-sp                          	      41        3       37        1        0
11328 r-cran-tidyselect                  	      41        5       36        0        0
11329 r-cran-viridislite                 	      41        4       37        0        0
11330 rdiff-backup                       	      41        4       33        4        0
11331 redis                              	      41        0        0        0       41
11332 ruby-builder                       	      41        1       40        0        0
11333 rzip                               	      41        4       36        1        0
11334 secure-delete                      	      41        2       38        1        0
11335 talk                               	      41        3       35        3        0
11336 tcl8.5                             	      41        2       39        0        0
11337 tdelibs14-trinity                  	      41        7       31        3        0
11338 umbrello-data                      	      41        0       38        3        0
11339 xournalpp                          	      41        4       34        3        0
11340 xzgv                               	      41        5       34        2        0
11341 android-libext4-utils              	      40        0        0        0       40
11342 catatonit                          	      40        6       34        0        0
11343 claws-mail-multi-notifier          	      40        3        5        0       32
11344 claws-mail-smime-plugin            	      40        3        3        0       34
11345 cloc                               	      40        1       39        0        0
11346 cpulimit                           	      40        2       38        0        0
11347 cryptmount                         	      40        5       33        2        0
11348 detox                              	      40        4       36        0        0
11349 element-io-archive-keyring         	      40        0        0        0       40
11350 elpa-helm                          	      40        5       34        1        0
11351 elpa-helm-core                     	      40        5       34        1        0
11352 erlang-tools                       	      40        1       38        1        0
11353 firefox-esr-l10n-nb-no             	      40        2       34        4        0
11354 firefox-esr-l10n-nn-no             	      40        1       34        5        0
11355 fonts-ancient-scripts              	      40        2        9        0       29
11356 fonts-hack-ttf                     	      40        0        0        0       40
11357 fonts-sixtyfour                    	      40        0        0        0       40
11358 foremost                           	      40        4       34        2        0
11359 gajim-openpgp                      	      40        0        0        0       40
11360 gir1.2-gtop-2.0                    	      40        4       11        0       25
11361 git-core                           	      40        0        0        0       40
11362 gnome-cards-data                   	      40        0        0        0       40
11363 graphicsmagick-imagemagick-compat  	      40        0       39        1        0
11364 gstreamer1.0-adapter-pulseeffects  	      40        2        3        0       35
11365 hfsprogs                           	      40        1       39        0        0
11366 icc-profiles                       	      40        0        0        0       40
11367 icedove                            	      40        1       37        2        0
11368 imagemagick-doc                    	      40        0        0        0       40
11369 ioquake3                           	      40        3       37        0        0
11370 ioquake3-server                    	      40        1       39        0        0
11371 jack-tools                         	      40        0       40        0        0
11372 jpegoptim                          	      40        2       38        0        0
11373 jwm                                	      40        3       37        0        0
11374 kde-runtime                        	      40        3       37        0        0
11375 libbluray-dev                      	      40        0       40        0        0
11376 libclc-15-dev                      	      40        2       36        2        0
11377 libdvdnav-dev                      	      40        0       40        0        0
11378 libecore-input1                    	      40        2        8        0       30
11379 libecore-x1                        	      40        2        8        0       30
11380 libevas1                           	      40        2        8        0       30
11381 libfaad-dev                        	      40        0       40        0        0
11382 libfprint-2-2                      	      40        4       35        1        0
11383 libfreehep-swing-java              	      40        0        0        0       40
11384 libfyba-dev                        	      40        0       40        0        0
11385 libgdal-dev                        	      40        2       37        1        0
11386 libgps30t64                        	      40        0        0        0       40
11387 libgrantlee-textdocument5          	      40        0        1        0       39
11388 libhamlib-utils                    	      40        2       37        1        0
11389 libinstpatch-dev                   	      40        0       40        0        0
11390 libjsonparser1.1                   	      40        1        3        0       36
11391 libkactivities6                    	      40        1        0        0       39
11392 libkdesu5                          	      40        1       39        0        0
11393 libkdnssd4                         	      40        1        1        0       38
11394 libkf5xmlrpcclient5                	      40        0        0        0       40
11395 libkf6idletime6                    	      40       10       18        3        9
11396 libkf6syntaxhighlighting-data      	      40        4        0        0       36
11397 libkmediaplayer4                   	      40        0        0        0       40
11398 libknotifyconfig4                  	      40        1        0        0       39
11399 libkscreen-data                    	      40        5        9        1       25
11400 libllvm16t64                       	      40        0        0        0       40
11401 libnode115                         	      40        0        0        0       40
11402 libopengl-xscreensaver-perl        	      40        0        0        0       40
11403 libplasma3                         	      40        1        1        0       38
11404 libqt6keychain1                    	      40        7        4        0       29
11405 libqt6quick3dparticles6            	      40        0        0        0       40
11406 libreoffice-l10n-nb                	      40        0       35        5        0
11407 libreoffice-l10n-nn                	      40        0       35        5        0
11408 libriemann-client0                 	      40        0        0        0       40
11409 librttopo-dev                      	      40        0       39        1        0
11410 libsensors-dev                     	      40        0       40        0        0
11411 libslang2-modules                  	      40        0        0        0       40
11412 libspatialindex-dev                	      40        0       39        1        0
11413 libtelepathy-logger3               	      40        2        3        0       35
11414 libxine2-doc                       	      40        0        0        0       40
11415 linux-headers-5.10.0-26-common     	      40        0       39        0        1
11416 linux-headers-5.10.0-32-amd64      	      40        0       40        0        0
11417 linux-headers-6.1.0-32-amd64       	      40        0        0       40        0
11418 lokalize                           	      40        0       40        0        0
11419 mariadb-server-core-10.1           	      40        4       36        0        0
11420 mingw-w64-i686-dev                 	      40        1       39        0        0
11421 nedit                              	      40        4       34        2        0
11422 netdiscover                        	      40        5       33        2        0
11423 nkf                                	      40        1       37        2        0
11424 nm-tray                            	      40        5       31        4        0
11425 node-builtin-modules               	      40        1        5        0       34
11426 node-duplexify                     	      40        1        6        0       33
11427 node-stream-shift                  	      40        1        6        0       33
11428 nvidia-opencl-icd                  	      40        0        0        0       40
11429 nvtop                              	      40        3       37        0        0
11430 ocaml-findlib                      	      40        0       40        0        0
11431 ocaml-nox                          	      40        2       15        1       22
11432 php-composer-class-map-generator   	      40        4       36        0        0
11433 php-composer-metadata-minifier     	      40        4       36        0        0
11434 php-composer-pcre                  	      40        4       36        0        0
11435 php-seld-signal-handler            	      40        4       36        0        0
11436 php7.0-xml                         	      40       14       26        0        0
11437 php7.3-xml                         	      40       13       27        0        0
11438 plasma-scriptengine-javascript     	      40        0       40        0        0
11439 plasma-theme-oxygen                	      40        0        0        0       40
11440 python3-contextlib2                	      40        1       38        1        0
11441 python3-fastbencode                	      40        0       35        5        0
11442 python3-pymysql                    	      40        1       38        1        0
11443 qgis-plugin-grass                  	      40        4       34        2        0
11444 qgis-provider-grass                	      40        4       34        2        0
11445 qt5-image-formats-plugin-pdf       	      40        4       15        0       21
11446 qttools5-doc                       	      40        0        0        0       40
11447 qtwayland5-doc                     	      40        0        0        0       40
11448 r-cran-backports                   	      40        4       36        0        0
11449 r-cran-bit                         	      40        2       36        2        0
11450 r-cran-bit64                       	      40        3       36        1        0
11451 r-cran-blob                        	      40        3       37        0        0
11452 r-cran-curl                        	      40        4       36        0        0
11453 r-cran-dbi                         	      40        5       35        0        0
11454 r-cran-dplyr                       	      40        5       35        0        0
11455 r-cran-fastmap                     	      40        3       37        0        0
11456 r-cran-ggplot2                     	      40        5       35        0        0
11457 r-cran-gtable                      	      40        5       35        0        0
11458 r-cran-hms                         	      40        4       36        0        0
11459 r-cran-isoband                     	      40        3       37        0        0
11460 r-cran-lazyeval                    	      40        3       37        0        0
11461 r-cran-lubridate                   	      40        4       36        0        0
11462 r-cran-pkgload                     	      40        3       37        0        0
11463 r-cran-praise                      	      40        3       37        0        0
11464 r-cran-rsqlite                     	      40        3       37        0        0
11465 r-cran-testthat                    	      40        3       37        0        0
11466 rarcrack                           	      40        4       35        1        0
11467 sensible-mda                       	      40        9       31        0        0
11468 sgt-puzzles                        	      40        1       39        0        0
11469 slsh                               	      40        1       36        3        0
11470 task-czech                         	      40        0        0        0       40
11471 task-german-kde-desktop            	      40        0        0        0       40
11472 texlive-generic-recommended        	      40        0        0        0       40
11473 transmission-daemon                	      40       10       30        0        0
11474 urlview                            	      40        1       38        1        0
11475 x265                               	      40        2       38        0        0
11476 xloadimage                         	      40        5       35        0        0
11477 zathura-ps                         	      40        0        2        0       38
11478 zsh-doc                            	      40        0        0        0       40
11479 bpytop                             	      39        3       34        2        0
11480 calligrawords                      	      39        3       33        3        0
11481 claws-mail-archiver-plugin         	      39        0        0        0       39
11482 claws-mail-attach-warner           	      39        1        5        0       33
11483 claws-mail-dillo-viewer            	      39        3        1        0       35
11484 cpanminus                          	      39        3       36        0        0
11485 dmg2img                            	      39        0       36        3        0
11486 elpa-bar-cursor                    	      39        5       33        1        0
11487 elpa-browse-kill-ring              	      39        5       33        1        0
11488 elpa-folding                       	      39        5       33        1        0
11489 erlang-asn1                        	      39        2       36        1        0
11490 fonts-amiga                        	      39        0        0        0       39
11491 fprintd                            	      39        0       38        1        0
11492 freetube                           	      39        0        4        1       34
11493 gcc-mingw-w64-x86-64               	      39        0        4        0       35
11494 gftp                               	      39        0        0        0       39
11495 git-mediawiki                      	      39        2       34        3        0
11496 gpick                              	      39        2       36        1        0
11497 gr-osmosdr                         	      39        2       36        1        0
11498 gramps                             	      39        4       35        0        0
11499 hydrogen                           	      39        1       37        1        0
11500 hydrogen-data                      	      39        0        0        0       39
11501 jed-common                         	      39        1       35        3        0
11502 kdewebdev                          	      39        0        0        0       39
11503 keychain                           	      39        3       36        0        0
11504 kio-perldoc                        	      39        0        0        0       39
11505 kpartloader                        	      39        0       39        0        0
11506 libavformat56                      	      39        1        0        0       38
11507 libboost-python1.67.0              	      39        0        0        0       39
11508 libccd2                            	      39        0        0        0       39
11509 libclc-15                          	      39        1       36        2        0
11510 libcln6                            	      39        1        0        0       38
11511 libcpdb2t64                        	      39        0        0        0       39
11512 libecore-evas1                     	      39        2        8        0       29
11513 libecore-fb1                       	      39        0        0        0       39
11514 libecore-ipc1                      	      39        2        8        0       29
11515 libefreet-bin                      	      39        4       34        1        0
11516 libefreet1a                        	      39        2        8        0       29
11517 libegl1-mesa-drivers               	      39        0        0        0       39
11518 libeio1                            	      39        2        8        0       29
11519 libglade2.0-cil                    	      39        0        0        0       39
11520 libgnome-desktop-4-2t64            	      39        0        4        1       34
11521 libio-interface-perl               	      39        2        3        0       34
11522 libkf6syntaxhighlighting6          	      39        7        2        0       30
11523 liblayershellqtinterface6          	      39       10       19        3        7
11524 liblinear-tools                    	      39        3       36        0        0
11525 libluajit-5.1-dev                  	      39        1       38        0        0
11526 liblz4-tool                        	      39        0        1        0       38
11527 libmxml-bin                        	      39        0       39        0        0
11528 libnvidia-nvvm4                    	      39        0        0        0       39
11529 libopendbx1                        	      39       12       17        0       10
11530 libopendkim11                      	      39       15       17        0        7
11531 libpkcs11-helper1t64               	      39        2        4        1       32
11532 libqca2-plugins                    	      39        0        0        0       39
11533 libqt4-help                        	      39        0        0        0       39
11534 libqt4-scripttools                 	      39        0        0        0       39
11535 libqt6positioning6-plugins         	      39        2        0        0       37
11536 libqt6positioningquick6            	      39        0        0        0       39
11537 librbl1                            	      39       15       17        0        7
11538 libspdlog1.12                      	      39        1        5        0       33
11539 libsylfilter0                      	      39        0        0        0       39
11540 libtext-markdown-perl              	      39        1       37        1        0
11541 libtomcat9-java                    	      39        0        0        0       39
11542 libuim-custom2                     	      39        5       17        2       15
11543 libvbr2                            	      39       15       17        0        7
11544 libvolk2-bin                       	      39        1       37        1        0
11545 libyajl-dev                        	      39        0       38        1        0
11546 linux-headers-5.10.0-26-amd64      	      39        0       38        0        1
11547 linux-headers-6.1.0-13-amd64       	      39        0       39        0        0
11548 linux-image-5.10.0-18-amd64        	      39        0       38        0        1
11549 manpages-ja                        	      39        0        0        0       39
11550 mcomix                             	      39        2       36        1        0
11551 netselect                          	      39        0       37        2        0
11552 ngrep                              	      39        5       33        1        0
11553 node-ansi                          	      39        1        5        0       33
11554 node-pumpify                       	      39        1        5        0       33
11555 nvidia-opencl-common               	      39        0        0        0       39
11556 packages-microsoft-prod            	      39        0        0        0       39
11557 phonon-backend-gstreamer           	      39        1        0        0       38
11558 php-webmozart-assert               	      39        7       31        1        0
11559 python2.6                          	      39        3       36        0        0
11560 python3-fido2                      	      39        2       37        0        0
11561 python3-influxdb                   	      39        0       38        1        0
11562 python3-pygccxml                   	      39        1       37        1        0
11563 python3-pytz                       	      39        1       23       15        0
11564 python3-qtsass                     	      39        0       38        1        0
11565 python3-twisted-bin                	      39        2       36        1        0
11566 python3-ykman                      	      39        2       37        0        0
11567 qdirstat                           	      39        1       35        3        0
11568 qt3d5-doc                          	      39        0        0        0       39
11569 qt4-qmake                          	      39        2       37        0        0
11570 qtbase5-doc                        	      39        0        0        0       39
11571 qtquickcontrols2-5-doc             	      39        0        0        0       39
11572 qtquickcontrols5-doc               	      39        0        0        0       39
11573 qtsensors5-doc                     	      39        0        0        0       39
11574 qtwebchannel5-doc                  	      39        0        0        0       39
11575 qtwebsockets5-doc                  	      39        0        0        0       39
11576 qtx11extras5-doc                   	      39        0        0        0       39
11577 qtxmlpatterns5-doc                 	      39        0        0        0       39
11578 r-cran-brew                        	      39        3       36        0        0
11579 r-cran-brio                        	      39        3       36        0        0
11580 r-cran-cachem                      	      39        3       36        0        0
11581 r-cran-callr                       	      39        3       36        0        0
11582 r-cran-clipr                       	      39        3       36        0        0
11583 r-cran-cpp11                       	      39        2       35        2        0
11584 r-cran-generics                    	      39        5       34        0        0
11585 r-cran-httr                        	      39        4       35        0        0
11586 r-cran-pkgbuild                    	      39        3       36        0        0
11587 r-cran-processx                    	      39        3       35        1        0
11588 r-cran-progress                    	      39        3       36        0        0
11589 r-cran-ps                          	      39        3       36        0        0
11590 r-cran-r.methodss3                 	      39        3       36        0        0
11591 r-cran-r.oo                        	      39        3       36        0        0
11592 r-cran-sodium                      	      39        4       35        0        0
11593 r-cran-xml2                        	      39        4       35        0        0
11594 reaver                             	      39        3       35        1        0
11595 refind                             	      39        1       38        0        0
11596 rox-filer                          	      39        5       33        1        0
11597 ruby-rack                          	      39        0       38        1        0
11598 scribus-template                   	      39        0        0        0       39
11599 sendmail                           	      39        0        0        0       39
11600 soapysdr-tools                     	      39        1       37        1        0
11601 stress-ng                          	      39        0       37        2        0
11602 subversion-tools                   	      39        2       34        3        0
11603 sylfilter                          	      39        1       38        0        0
11604 symlinks                           	      39        7       31        1        0
11605 task-norwegian-desktop             	      39        0        0        0       39
11606 uim-data                           	      39        5       15        2       17
11607 v4l2loopback-utils                 	      39        1       36        2        0
11608 valac                              	      39        0       37        2        0
11609 webext-ublock-origin-chromium      	      39        5       33        1        0
11610 wv                                 	      39        5       34        0        0
11611 xfce4-sntray-plugin-common         	      39        0        0        0       39
11612 zathura-djvu                       	      39        0        3        0       36
11613 arc                                	      38        7       30        1        0
11614 binutils-arm-linux-gnueabihf       	      38        0       36        2        0
11615 bluez-test-tools                   	      38        0       37        1        0
11616 cclib                              	      38        1       36        1        0
11617 ccze                               	      38        4       34        0        0
11618 claws-mail-mailmbox-plugin         	      38        3        3        0       32
11619 claws-mail-themes                  	      38        0        0        0       38
11620 dbeaver-ce                         	      38        1       34        1        2
11621 dictd                              	      38       13       25        0        0
11622 dotnet-host                        	      38        3       32        3        0
11623 elpa-tabbar                        	      38        5       32        1        0
11624 emacs-goodies-el                   	      38        0        1        0       37
11625 erlang-public-key                  	      38        2       35        1        0
11626 ext3grep                           	      38        3       35        0        0
11627 fonts-fantasque-sans               	      38        0        3        1       34
11628 fp-compiler                        	      38        0        0        0       38
11629 g++-11                             	      38        2       36        0        0
11630 gcc-mingw-w64-i686                 	      38        0        4        0       34
11631 gcc-mingw-w64-x86-64-posix         	      38        0       36        2        0
11632 gcc-mingw-w64-x86-64-posix-runtime 	      38        0        0        0       38
11633 gcc-mingw-w64-x86-64-win32         	      38        1       36        1        0
11634 gcc-mingw-w64-x86-64-win32-runtime 	      38        0        0        0       38
11635 gh                                 	      38        1       36        1        0
11636 gpa                                	      38        1       35        2        0
11637 gphotofs                           	      38        3       35        0        0
11638 grub2                              	      38        0        0        0       38
11639 hplip-doc                          	      38        0        0        0       38
11640 hydrogen-doc                       	      38        0        0        0       38
11641 kid3                               	      38        1       34        3        0
11642 krename                            	      38        2       36        0        0
11643 lib32gcc1                          	      38        0        0        0       38
11644 libapache2-mod-php7.3              	      38        6       32        0        0
11645 libavogadro-data                   	      38        0        0        0       38
11646 libcdio-cdda-dev                   	      38        0       37        1        0
11647 libconfig-auto-perl                	      38        2       36        0        0
11648 libcpdb-frontend2t64               	      38        0        0        0       38
11649 libecore-bin                       	      38        2       35        1        0
11650 libemile1                          	      38        2        8        0       28
11651 libevas1-engines-x                 	      38        2        8        0       28
11652 libexif-doc                        	      38        0        0        0       38
11653 libextractor3                      	      38        0        1        0       37
11654 libffi5                            	      38        0        0        0       38
11655 libfile-remove-perl                	      38        2       36        0        0
11656 libghc-utf8-string-dev             	      38        1       37        0        0
11657 libglm-dev                         	      38        1       37        0        0
11658 libgoogle-perftools4               	      38        3        2        0       33
11659 libgsl23                           	      38        0        0        0       38
11660 libhdf5-103-1t64                   	      38        0        0        0       38
11661 libheif-plugin-aomdec              	      38        0        1        0       37
11662 libiberty-dev                      	      38        1       36        1        0
11663 libkf6screen8                      	      38        8       16        1       13
11664 libkf6screendpms8                  	      38        6       14        1       17
11665 libkf6userfeedback-data            	      38        5        7        1       25
11666 libkscreen-bin                     	      38       11       20        7        0
11667 liblimesuite22.09-1                	      38        0        2        0       36
11668 libmoox-struct-perl                	      38        0       37        1        0
11669 libmrm4                            	      38        0        0        0       38
11670 libobjc-14-dev                     	      38        0        0        0       38
11671 libogdi-dev                        	      38        0       38        0        0
11672 libopencv-core3.2                  	      38        0        0        0       38
11673 libopencv-imgproc3.2               	      38        0        0        0       38
11674 libopenobex2                       	      38        7       31        0        0
11675 libphonenumber7                    	      38        0        1        0       37
11676 libplasmaactivitiesstats1          	      38        9       14        1       14
11677 libpodofo0.9.8t64                  	      38        0        0        0       38
11678 libqt4-test                        	      38        0        0        0       38
11679 libqt6charts6                      	      38        0        1        0       37
11680 libsylph1                          	      38        0        0        0       38
11681 libtest-exception-perl             	      38        3       34        1        0
11682 libtraceevent1                     	      38        1        0        0       37
11683 libuhd4.3.0                        	      38        0        2        0       36
11684 libuim-data                        	      38        0        0        0       38
11685 libvlccore8                        	      38        1        0        0       37
11686 libwine-dev                        	      38        1       37        0        0
11687 libxerces-c3.1                     	      38        0        0        0       38
11688 lilo                               	      38        5       33        0        0
11689 lua-sec                            	      38        7        5        0       26
11690 lxqt-archiver                      	      38        7       31        0        0
11691 lxqt-archiver-l10n                 	      38        0        0        0       38
11692 manpages-ja-dev                    	      38        0        0        0       38
11693 mariadb-client-10.1                	      38       15       23        0        0
11694 mixxx                              	      38        1       37        0        0
11695 mixxx-data                         	      38        0        0        0       38
11696 molly-guard                        	      38       11       27        0        0
11697 myrescue                           	      38        2       36        0        0
11698 ndisc6                             	      38        4       34        0        0
11699 network-manager-openconnect-gnome  	      38        0       33        0        5
11700 network-manager-ssh                	      38       12       26        0        0
11701 nm-tray-l10n                       	      38        2        6        1       29
11702 node-bootstrap-sass                	      38        0        0        0       38
11703 node-detect-indent                 	      38        1        5        0       32
11704 node-is-builtin-module             	      38        0        0        0       38
11705 node-lockfile                      	      38        1        5        0       32
11706 node-prepend-http                  	      38        1        5        0       32
11707 opendkim-tools                     	      38        6       32        0        0
11708 openocd                            	      38        4       33        1        0
11709 pkg-php-tools                      	      38        1       36        1        0
11710 python-reportlab                   	      38        1       35        1        1
11711 python-reportlab-accel             	      38        1       35        1        1
11712 python3-aiosqlite                  	      38        2       33        3        0
11713 python3-avahi                      	      38        1       37        0        0
11714 python3-cclib                      	      38        1       36        1        0
11715 python3-pytest-cov                 	      38        7       30        1        0
11716 qml6-module-sso-onlineaccounts     	      38        0        0        0       38
11717 qt5-doc                            	      38        0        0        0       38
11718 qtconnectivity5-doc                	      38        0        0        0       38
11719 qtdeclarative5-doc                 	      38        0        0        0       38
11720 qtgraphicaleffects5-doc            	      38        0        0        0       38
11721 qtlocation5-doc                    	      38        0        0        0       38
11722 qtmultimedia5-doc                  	      38        0        0        0       38
11723 qtscript5-doc                      	      38        0        0        0       38
11724 qtserialport5-doc                  	      38        0        0        0       38
11725 qtsvg5-doc                         	      38        0        0        0       38
11726 r-cran-abind                       	      38        3       35        0        0
11727 r-cran-acepack                     	      38        3       35        0        0
11728 r-cran-bitops                      	      38        3       35        0        0
11729 r-cran-bslib                       	      38        3       34        1        0
11730 r-cran-checkmate                   	      38        3       35        0        0
11731 r-cran-diffobj                     	      38        3       35        0        0
11732 r-cran-fontawesome                 	      38        3       35        0        0
11733 r-cran-future                      	      38        3       35        0        0
11734 r-cran-gh                          	      38        3       35        0        0
11735 r-cran-globals                     	      38        3       35        0        0
11736 r-cran-gridextra                   	      38        4       34        0        0
11737 r-cran-htmltable                   	      38        3       35        0        0
11738 r-cran-ini                         	      38        3       35        0        0
11739 r-cran-jquerylib                   	      38        4       34        0        0
11740 r-cran-listenv                     	      38        3       35        0        0
11741 r-cran-matrixmodels                	      38        3       35        0        0
11742 r-cran-parallelly                  	      38        3       35        0        0
11743 r-cran-plogr                       	      38        3       35        0        0
11744 r-cran-plyr                        	      38        4       34        0        0
11745 r-cran-rcppeigen                   	      38        3       35        0        0
11746 r-cran-rematch2                    	      38        3       35        0        0
11747 r-cran-rex                         	      38        3       35        0        0
11748 r-cran-runit                       	      38        3       35        0        0
11749 r-cran-sass                        	      38        3       35        0        0
11750 r-cran-testit                      	      38        3       35        0        0
11751 r-cran-tidyr                       	      38        4       34        0        0
11752 r-cran-timedate                    	      38        4       34        0        0
11753 r-cran-timeseries                  	      38        4       34        0        0
11754 r-cran-usethis                     	      38        3       35        0        0
11755 r-cran-waldo                       	      38        3       35        0        0
11756 r-cran-whisker                     	      38        3       35        0        0
11757 r-cran-xml                         	      38        3       35        0        0
11758 r-cran-zip                         	      38        4       34        0        0
11759 r-cran-zoo                         	      38        4       34        0        0
11760 ruby-glib2                         	      38        5       33        0        0
11761 svn2cl                             	      38        0       38        0        0
11762 tcc                                	      38        0       38        0        0
11763 tdebase-trinity-bin                	      38        6       29        3        0
11764 winff                              	      38        0        0        0       38
11765 wireshark-doc                      	      38        0        0        0       38
11766 xscreensaver-screensaver-webcollage	      38        1       36        1        0
11767 apparmor-profiles-extra            	      37        0        0        0       37
11768 basic256                           	      37        6       31        0        0
11769 bchunk                             	      37        1       36        0        0
11770 bind9-doc                          	      37        0        0        0       37
11771 courier-authlib                    	      37        9       27        1        0
11772 dhcpcd                             	      37        0       34        3        0
11773 elpa-bm                            	      37        5       31        1        0
11774 elpa-boxquote                      	      37        5       31        1        0
11775 elpa-eproject                      	      37        5       31        1        0
11776 elpa-initsplit                     	      37        5       31        1        0
11777 elpa-mutt-alias                    	      37        5       31        1        0
11778 elpa-pod-mode                      	      37        5       31        1        0
11779 elpa-session                       	      37        5       31        1        0
11780 erlang-inets                       	      37        2       34        1        0
11781 erlang-ssl                         	      37        2       34        1        0
11782 exa                                	      37        3       33        1        0
11783 fdutils                            	      37        3       34        0        0
11784 fonts-anonymous-pro                	      37        0        1        0       36
11785 gamescope                          	      37        1       33        3        0
11786 gir1.2-nautilus-4.0                	      37        0        1        0       36
11787 glade                              	      37        0       37        0        0
11788 googletest                         	      37        0       35        1        1
11789 gr-iqbal                           	      37        2       34        1        0
11790 gstreamer1.0-autogain-pulseeffects 	      37        2        3        0       32
11791 gstreamer1.0-convolver-pulseeffects	      37        2        3        0       32
11792 gstreamer1.0-crystalizer-pulseeffects	      37        2        3        0       32
11793 gtk-theme-switch                   	      37        2       34        1        0
11794 kdesdk                             	      37        0        0        0       37
11795 kdesktop-trinity                   	      37        6       28        3        0
11796 libantlr4-runtime-java             	      37        0        0        0       37
11797 libatomic1-arm64-cross             	      37        0        0        0       37
11798 libc6-arm64-cross                  	      37        0        0        0       37
11799 libcdio-paranoia-dev               	      37        0       36        1        0
11800 libecore-con1                      	      37        2        7        0       28
11801 libecore-drm2-1                    	      37        2        8        0       27
11802 libecore-imf1                      	      37        2        8        0       27
11803 libector1                          	      37        2        8        0       27
11804 libedje1                           	      37        2        8        0       27
11805 libelfg0                           	      37        0        0        0       37
11806 libelput1                          	      37        2        8        0       27
11807 libembryo1                         	      37        2        8        0       27
11808 libev-dev                          	      37        0       37        0        0
11809 libevas-loaders                    	      37        0        0        0       37
11810 libfreehep-xml-java                	      37        0        0        0       37
11811 libgnustep-base1.30                	      37        0        0        0       37
11812 libgomp1-arm64-cross               	      37        0        0        0       37
11813 libgtkhex-4-1                      	      37        0        0        0       37
11814 libgtkimageview0                   	      37        0        0        0       37
11815 libhdf4-0                          	      37        0        0        0       37
11816 libitm1-arm64-cross                	      37        0        0        0       37
11817 libjanino-java                     	      37        0        0        0       37
11818 libjs-katex                        	      37        0        0        0       37
11819 libkonq4-trinity                   	      37        6       28        3        0
11820 libllvmspirvlib15                  	      37        0        0        0       37
11821 liblms7compact0                    	      37        0        3        0       34
11822 libmodule-load-conditional-perl    	      37        2       35        0        0
11823 libode8                            	      37        0        0        0       37
11824 libolm3                            	      37        2        2        0       33
11825 libplacebo338                      	      37        1        2        0       34
11826 libplib1                           	      37        0        0        0       37
11827 libpmix2t64                        	      37        0        0        0       37
11828 libpolkit-gobject-elogind-1-dev    	      37        1       36        0        0
11829 libpython3.11t64                   	      37        0        3        0       34
11830 libpython3.13-dev                  	      37        6       22        9        0
11831 libruby3.3                         	      37       16       17        4        0
11832 libsfml-network2.5                 	      37        0        0        0       37
11833 libsnmp-dev                        	      37        1       36        0        0
11834 libstdc++6-arm64-cross             	      37        0        0        0       37
11835 libtext-diff-perl                  	      37        1       35        1        0
11836 libtrio2                           	      37        0        0        0       37
11837 libudfread-dev                     	      37        0       37        0        0
11838 libuil4                            	      37        0        0        0       37
11839 libuninameslist1                   	      37        0        0        0       37
11840 libvolk2-dev                       	      37        1       35        1        0
11841 libxtrx0                           	      37        0        3        0       34
11842 libxtrxdsp0                        	      37        0        3        0       34
11843 libxxhash-dev                      	      37        2       35        0        0
11844 libyascreen0                       	      37        0        0        0       37
11845 linux-image-5.10.0-11-amd64        	      37        1       35        0        1
11846 linux-image-6.12.12-amd64          	      37       20       12        5        0
11847 linux-kbuild-3.16                  	      37        0        0        0       37
11848 macchanger                         	      37        1       36        0        0
11849 mediathekview                      	      37        3       31        3        0
11850 mypy                               	      37        3       31        3        0
11851 neomutt                            	      37        8       29        0        0
11852 network-manager-fortisslvpn-gnome  	      37        1       35        1        0
11853 network-manager-l2tp               	      37        9       28        0        0
11854 network-manager-ssh-gnome          	      37        0       34        0        3
11855 node-ansistyles                    	      37        1        5        0       31
11856 node-concat-map                    	      37        1        6        0       30
11857 paper-icon-theme                   	      37        0        0        0       37
11858 php-nikic-fast-route               	      37        7       30        0        0
11859 php5-mysql                         	      37       11       25        0        1
11860 php8.2-igbinary                    	      37       16       21        0        0
11861 pixiewps                           	      37        3       33        1        0
11862 postgresql-9.6                     	      37        7       30        0        0
11863 pulseeffects                       	      37        3       32        2        0
11864 python-attr                        	      37        1       36        0        0
11865 python-ptyprocess                  	      37        3       34        0        0
11866 python-renderpm                    	      37        1       34        1        1
11867 python-simplejson                  	      37        4       33        0        0
11868 python3-cachetools                 	      37        2       35        0        0
11869 python3-munkres                    	      37        1       36        0        0
11870 python3-pytest-doctestplus         	      37        0       37        0        0
11871 python3-pytest-remotedata          	      37        0       37        0        0
11872 python3-trio-websocket             	      37        1       35        1        0
11873 python3.13-dev                     	      37        4       23       10        0
11874 qml6-module-qtquick-effects        	      37        9       15        1       12
11875 qtwebview5-doc                     	      37        0        0        0       37
11876 r-cran-bh                          	      37        3       34        0        0
11877 r-cran-broom                       	      37        4       33        0        0
11878 r-cran-caret                       	      37        3       34        0        0
11879 r-cran-chron                       	      37        3       34        0        0
11880 r-cran-conquer                     	      37        3       34        0        0
11881 r-cran-credentials                 	      37        3       34        0        0
11882 r-cran-crosstalk                   	      37        8       29        0        0
11883 r-cran-dbitest                     	      37        3       34        0        0
11884 r-cran-e1071                       	      37        3       34        0        0
11885 r-cran-filehash                    	      37        3       34        0        0
11886 r-cran-fontliberation              	      37        3       34        0        0
11887 r-cran-foreach                     	      37        3       34        0        0
11888 r-cran-formula                     	      37        3       34        0        0
11889 r-cran-gdata                       	      37        3       34        0        0
11890 r-cran-gert                        	      37        3       34        0        0
11891 r-cran-gitcreds                    	      37        3       34        0        0
11892 r-cran-gower                       	      37        3       34        0        0
11893 r-cran-gtools                      	      37        3       34        0        0
11894 r-cran-hmisc                       	      37        3       33        1        0
11895 r-cran-ipred                       	      37        3       34        0        0
11896 r-cran-iterators                   	      37        3       34        0        0
11897 r-cran-jpeg                        	      37        3       34        0        0
11898 r-cran-latticeextra                	      37        3       34        0        0
11899 r-cran-lava                        	      37        3       34        0        0
11900 r-cran-lme4                        	      37        3       34        0        0
11901 r-cran-matrixstats                 	      37        3       34        0        0
11902 r-cran-mclust                      	      37        3       34        0        0
11903 r-cran-minqa                       	      37        3       34        0        0
11904 r-cran-mockery                     	      37        3       34        0        0
11905 r-cran-mockr                       	      37        2       35        0        0
11906 r-cran-modelmetrics                	      37        3       34        0        0
11907 r-cran-mvtnorm                     	      37        3       34        0        0
11908 r-cran-nloptr                      	      37        3       33        1        0
11909 r-cran-numderiv                    	      37        3       34        0        0
11910 r-cran-pbapply                     	      37        3       34        0        0
11911 r-cran-proc                        	      37        3       34        0        0
11912 r-cran-prodlim                     	      37        3       34        0        0
11913 r-cran-proxy                       	      37        3       34        0        0
11914 r-cran-quadprog                    	      37        4       33        0        0
11915 r-cran-quantmod                    	      37        3       34        0        0
11916 r-cran-quantreg                    	      37        3       33        1        0
11917 r-cran-r.utils                     	      37        2       33        2        0
11918 r-cran-rcpparmadillo               	      37        3       33        1        0
11919 r-cran-recipes                     	      37        3       34        0        0
11920 r-cran-remotes                     	      37        3       34        0        0
11921 r-cran-reshape2                    	      37        4       33        0        0
11922 r-cran-rmysql                      	      37        3       34        0        0
11923 r-cran-roxygen2                    	      37        3       34        0        0
11924 r-cran-sparsem                     	      37        3       34        0        0
11925 r-cran-squarem                     	      37        3       34        0        0
11926 r-cran-statmod                     	      37        3       34        0        0
11927 r-cran-tikzdevice                  	      37        3       34        0        0
11928 r-cran-timechange                  	      37        4       33        0        0
11929 r-cran-tseries                     	      37        3       34        0        0
11930 r-cran-ttr                         	      37        4       33        0        0
11931 r-cran-viridis                     	      37        3       34        0        0
11932 r-cran-webutils                    	      37        3       34        0        0
11933 r-cran-xts                         	      37        4       33        0        0
11934 ruby-gdk-pixbuf2                   	      37        5       32        0        0
11935 ruby-gio2                          	      37        5       32        0        0
11936 ruby-gobject-introspection         	      37        5       32        0        0
11937 spell                              	      37        1       36        0        0
11938 swaylock                           	      37        6       28        3        0
11939 sxhkd                              	      37        4       33        0        0
11940 task-japanese                      	      37        0        0        0       37
11941 tdebase-data-trinity               	      37        0        0        0       37
11942 tdebase-tdeio-plugins-trinity      	      37        6       28        3        0
11943 uim                                	      37        6       29        2        0
11944 uim-plugins                        	      37        5       15        2       15
11945 uim-xim                            	      37        6       29        2        0
11946 unrtf                              	      37        6       31        0        0
11947 valac-bin                          	      37        0       35        2        0
11948 winff-gtk2                         	      37        1       36        0        0
11949 xfce-keyboard-shortcuts            	      37        0        0        0       37
11950 xfce4-eyes-plugin                  	      37        0        4        0       33
11951 xscreensaver-screensaver-dizzy     	      37        0       37        0        0
11952 xvkbd                              	      37        1       36        0        0
11953 ayatana-indicator-application      	      36        7       27        2        0
11954 breeze-wallpaper                   	      36        0        0        0       36
11955 caribou                            	      36        0       36        0        0
11956 chromium-shell                     	      36        0       30        6        0
11957 clang-format-14                    	      36        3       33        0        0
11958 cmt                                	      36        3       33        0        0
11959 cu                                 	      36        2       34        0        0
11960 db5.1-util                         	      36        0       36        0        0
11961 dtach                              	      36        6       29        1        0
11962 dwm                                	      36        1       35        0        0
11963 firefox-esr-l10n-pt-br             	      36        1       31        4        0
11964 fonts-isabella                     	      36        0        1        0       35
11965 fossil                             	      36        1       33        2        0
11966 freetype2-doc                      	      36        0        0        0       36
11967 gcc-mingw-w64-i686-posix           	      36        0       35        1        0
11968 gcc-mingw-w64-i686-posix-runtime   	      36        0        0        0       36
11969 gcc-mingw-w64-i686-win32           	      36        1       34        1        0
11970 gcc-mingw-w64-i686-win32-runtime   	      36        0        0        0       36
11971 gir1.2-geocodeglib-1.0             	      36        0        1        0       35
11972 gir1.2-ges-1.0                     	      36        0        1        0       35
11973 gnat                               	      36        0        0        0       36
11974 graphviz-doc                       	      36        0       10        1       25
11975 gstreamer1.0-vaapi                 	      36        0        1        0       35
11976 hdf5-tools                         	      36        3       32        1        0
11977 hunspell-cs                        	      36        0        0        0       36
11978 itcl3                              	      36        0        0        0       36
11979 janino                             	      36        1       35        0        0
11980 jo                                 	      36        0       35        1        0
11981 kamera-trinity                     	      36        0       32        4        0
11982 kappfinder-trinity                 	      36        1       31        4        0
11983 kcontrol-trinity                   	      36        6       27        3        0
11984 kfind-trinity                      	      36        2       30        4        0
11985 kicker-trinity                     	      36        6       27        3        0
11986 konqueror-trinity                  	      36        6       27        3        0
11987 kpersonalizer-trinity              	      36        1       31        4        0
11988 ksplash-trinity                    	      36        5       28        3        0
11989 libabsl20240722                    	      36       16        0        4       16
11990 libbabeltrace-ctf1                 	      36        0        0        0       36
11991 libboost-random1.62.0              	      36        0        0        0       36
11992 libc6-dev-arm64-cross              	      36        1       34        1        0
11993 libclang-cpp13                     	      36        2       34        0        0
11994 libclass-factory-util-perl         	      36        2       34        0        0
11995 libcompress-raw-zlib-perl          	      36        4        5        0       27
11996 libdisasm0                         	      36        0        0        0       36
11997 libecal-2.0-3                      	      36        8        6        2       20
11998 libecore-wl2-1                     	      36        2        8        0       26
11999 libexiv2-dev                       	      36        0       31        5        0
12000 libfindlib-ocaml-dev               	      36        0       36        0        0
12001 libfreeimage-dev                   	      36        0       36        0        0
12002 libgladeui-2-13                    	      36        0        0        0       36
12003 libgnuradio-funcube3.10.0          	      36        0        3        0       33
12004 libgnuradio-iqbalance3.9.0         	      36        0        3        0       33
12005 libgraphics-magick-perl            	      36        0        0        0       36
12006 libgtop2-7                         	      36        0        0        0       36
12007 libgweather-4-0t64                 	      36        7        8        2       19
12008 libheap-perl                       	      36        0       36        0        0
12009 libjs-coffeescript                 	      36        0        0        0       36
12010 libjs-jquery-datatables-extensions 	      36        0        0        0       36
12011 libkcddb1-trinity                  	      36        0       32        4        0
12012 libkf5webkit5                      	      36        0        1        0       35
12013 libkf6baloo6                       	      36        4        8        1       23
12014 libkf6balooengine6                 	      36        4        9        1       22
12015 libkf6networkmanagerqt6            	      36       10       15        3        8
12016 libkf6userfeedbackcore6            	      36        9       14        1       12
12017 libkuserfeedback-l10n              	      36        2       11        0       23
12018 libleptonica-dev                   	      36        1       34        1        0
12019 liblsan0-arm64-cross               	      36        0        0        0       36
12020 libmagick++-dev                    	      36        0        0        0       36
12021 libmath-calc-units-perl            	      36        9       26        1        0
12022 libmatio11                         	      36        0        1        0       35
12023 libnewt-dev                        	      36        1       33        2        0
12024 liboggz2                           	      36        0        0        0       36
12025 libopencv-imgcodecs406t64          	      36        0        0        0       36
12026 libopenvg1-mesa                    	      36        0        0        0       36
12027 liboro-java                        	      36        0        0        0       36
12028 libpackagekitqt6-1                 	      36        7       11        1       17
12029 libpcsclite-dev                    	      36        0       36        0        0
12030 libpolkit-gobject-1-dev            	      36        0        0        0       36
12031 libreplaygain1                     	      36        0        0        0       36
12032 librtaudio7                        	      36        0        1        0       35
12033 libssh-dev                         	      36        1       34        1        0
12034 libsunflow-java                    	      36        0        0        0       36
12035 libsynctex1                        	      36        0        0        0       36
12036 libtext-trim-perl                  	      36        0       36        0        0
12037 libtqt3-mt-data                    	      36        0        0        0       36
12038 libubsan1-arm64-cross              	      36        0        0        0       36
12039 libunix-syslog-perl                	      36        7       12        0       17
12040 libvirt-common                     	      36        0        0        0       36
12041 libvolk2.5                         	      36        0        2        0       34
12042 libwcs7                            	      36        0        0        0       36
12043 libxcb-util0-dev                   	      36        0        3        0       33
12044 linux-headers-5.10.0-23-common     	      36        0       36        0        0
12045 linux-libc-dev-arm64-cross         	      36        1       34        1        0
12046 magicrescue                        	      36        1       35        0        0
12047 mariadb-server-10.3                	      36        1       35        0        0
12048 mcp-plugins                        	      36        4       32        0        0
12049 meterbridge                        	      36        0       36        0        0
12050 musepack-tools                     	      36        1       34        1        0
12051 mysql-client                       	      36        0        0        0       36
12052 node-mimic-fn                      	      36        1        5        0       30
12053 openjdk-17-doc                     	      36        0        0        0       36
12054 php-fig-http-message-util          	      36        7       29        0        0
12055 php-php-gettext                    	      36        6       30        0        0
12056 php-slim-psr7                      	      36        6       27        3        0
12057 php-symfony-yaml                   	      36        6       29        1        0
12058 php7.3-mysql                       	      36       12       24        0        0
12059 postfix-doc                        	      36        0        0        0       36
12060 progress                           	      36        2       33        1        0
12061 python3-cairosvg                   	      36        0       36        0        0
12062 python3-ecdsa                      	      36        0       36        0        0
12063 python3-jellyfish                  	      36        0       33        3        0
12064 python3-pooch                      	      36        1       31        4        0
12065 python3-pytz-deprecation-shim      	      36        1       34        1        0
12066 python3-selenium                   	      36        2       34        0        0
12067 qtcharts5-doc                      	      36        0        0        0       36
12068 qtvirtualkeyboard5-doc             	      36        0        0        0       36
12069 r-cran-aer                         	      36        3       33        0        0
12070 r-cran-car                         	      36        3       33        0        0
12071 r-cran-cardata                     	      36        3       33        0        0
12072 r-cran-catools                     	      36        3       33        0        0
12073 r-cran-cellranger                  	      36        4       32        0        0
12074 r-cran-classint                    	      36        3       33        0        0
12075 r-cran-clock                       	      36        3       33        0        0
12076 r-cran-covr                        	      36        3       33        0        0
12077 r-cran-cvst                        	      36        3       33        0        0
12078 r-cran-dbplyr                      	      36        5       31        0        0
12079 r-cran-deldir                      	      36        3       33        0        0
12080 r-cran-deoptimr                    	      36        3       33        0        0
12081 r-cran-devtools                    	      36        3       33        0        0
12082 r-cran-domc                        	      36        3       33        0        0
12083 r-cran-doparallel                  	      36        3       33        0        0
12084 r-cran-drr                         	      36        3       33        0        0
12085 r-cran-dt                          	      36        3       33        0        0
12086 r-cran-dygraphs                    	      36        3       33        0        0
12087 r-cran-fastica                     	      36        3       33        0        0
12088 r-cran-fastmatch                   	      36        3       33        0        0
12089 r-cran-fontbitstreamvera           	      36        3       33        0        0
12090 r-cran-fontquiver                  	      36        3       33        0        0
12091 r-cran-forcats                     	      36        4       32        0        0
12092 r-cran-future.apply                	      36        3       33        0        0
12093 r-cran-geometry                    	      36        3       33        0        0
12094 r-cran-goftest                     	      36        3       33        0        0
12095 r-cran-gplots                      	      36        3       33        0        0
12096 r-cran-hardhat                     	      36        3       32        1        0
12097 r-cran-haven                       	      36        4       32        0        0
12098 r-cran-httr2                       	      36        3       32        1        0
12099 r-cran-igraph                      	      36        3       33        0        0
12100 r-cran-interp                      	      36        3       33        0        0
12101 r-cran-irlba                       	      36        3       33        0        0
12102 r-cran-kernlab                     	      36        3       33        0        0
12103 r-cran-linprog                     	      36        3       33        0        0
12104 r-cran-lmtest                      	      36        3       33        0        0
12105 r-cran-locfit                      	      36        2       33        1        0
12106 r-cran-lpsolve                     	      36        3       33        0        0
12107 r-cran-magic                       	      36        3       33        0        0
12108 r-cran-mapproj                     	      36        3       33        0        0
12109 r-cran-maps                        	      36        3       33        0        0
12110 r-cran-mlbench                     	      36        2       33        1        0
12111 r-cran-nleqslv                     	      36        3       33        0        0
12112 r-cran-openxlsx                    	      36        4       32        0        0
12113 r-cran-pbkrtest                    	      36        3       33        0        0
12114 r-cran-plotrix                     	      36        3       33        0        0
12115 r-cran-pls                         	      36        3       33        0        0
12116 r-cran-polyclip                    	      36        3       33        0        0
12117 r-cran-progressr                   	      36        3       33        0        0
12118 r-cran-rbibutils                   	      36        3       33        0        0
12119 r-cran-rcmdcheck                   	      36        3       33        0        0
12120 r-cran-rcppprogress                	      36        3       33        0        0
12121 r-cran-rcpproll                    	      36        3       33        0        0
12122 r-cran-rcurl                       	      36        3       33        0        0
12123 r-cran-rdpack                      	      36        3       32        1        0
12124 r-cran-readr                       	      36        4       32        0        0
12125 r-cran-readxl                      	      36        3       31        2        0
12126 r-cran-rematch                     	      36        3       33        0        0
12127 r-cran-rio                         	      36        3       33        0        0
12128 r-cran-rngtools                    	      36        3       33        0        0
12129 r-cran-robustbase                  	      36        3       33        0        0
12130 r-cran-rocr                        	      36        3       33        0        0
12131 r-cran-s2                          	      36        3       33        0        0
12132 r-cran-sandwich                    	      36        3       33        0        0
12133 r-cran-selectr                     	      36        3       33        0        0
12134 r-cran-sessioninfo                 	      36        3       33        0        0
12135 r-cran-sf                          	      36        3       33        0        0
12136 r-cran-spatstat                    	      36        3       32        1        0
12137 r-cran-spatstat.data               	      36        3       32        1        0
12138 r-cran-spatstat.explore            	      36        3       32        1        0
12139 r-cran-spatstat.geom               	      36        3       32        1        0
12140 r-cran-spatstat.linnet             	      36        3       32        1        0
12141 r-cran-spatstat.model              	      36        3       32        1        0
12142 r-cran-spatstat.random             	      36        3       32        1        0
12143 r-cran-spatstat.sparse             	      36        3       33        0        0
12144 r-cran-spatstat.utils              	      36        3       32        1        0
12145 r-cran-tensor                      	      36        3       33        0        0
12146 r-cran-timereg                     	      36        3       33        0        0
12147 r-cran-tufte                       	      36        3       33        0        0
12148 r-cran-tzdb                        	      36        4       31        1        0
12149 r-cran-units                       	      36        3       32        1        0
12150 r-cran-vroom                       	      36        3       33        0        0
12151 r-cran-wk                          	      36        3       33        0        0
12152 r-cran-xopen                       	      36        3       33        0        0
12153 ruby-atk                           	      36        5       31        0        0
12154 solaar                             	      36        7       29        0        0
12155 spirv-tools                        	      36        0       35        1        0
12156 task-spanish-kde-desktop           	      36        0        0        0       36
12157 tdebase-runtime-data-common-trinity	      36        0        0        0       36
12158 tdepasswd-trinity                  	      36        1       31        4        0
12159 tnef                               	      36        4       32        0        0
12160 transmission-qt                    	      36        3       33        0        0
12161 ttf-xfree86-nonfree                	      36        1        1        0       34
12162 twin-trinity                       	      36        6       27        3        0
12163 uim-gtk2.0-immodule                	      36        0        1        0       35
12164 vagrant                            	      36        1       33        2        0
12165 wine64-preloader                   	      36        4       31        1        0
12166 wine64-tools                       	      36        1       35        0        0
12167 wwwconfig-common                   	      36        0        0        0       36
12168 xcompmgr                           	      36        3       33        0        0
12169 zsh-syntax-highlighting            	      36        0        0        0       36
12170 zulupolkit                         	      36        1       34        1        0
12171 atomicparsley                      	      35        2       33        0        0
12172 broadcom-sta-dkms                  	      35        3       26        5        1
12173 brotli                             	      35        4       28        3        0
12174 bsh                                	      35        2       33        0        0
12175 claws-mail-feeds-reader            	      35        1        2        0       32
12176 claws-mail-spam-report             	      35        2        0        0       33
12177 claws-mail-spamassassin            	      35        2        1        0       32
12178 compiz                             	      35        0        0        0       35
12179 console-cyrillic                   	      35        8       27        0        0
12180 cpp-9                              	      35        1       34        0        0
12181 csound-data                        	      35        0        0        0       35
12182 doublecmd-gtk                      	      35        2       32        1        0
12183 faac                               	      35        1       33        1        0
12184 fonts-povray                       	      35        2        1        0       32
12185 gerbv                              	      35        1       34        0        0
12186 gimagereader-common                	      35        0        0        0       35
12187 gimp-help-de                       	      35        0        0        0       35
12188 gir1.2-gdata-0.0                   	      35        0        0        0       35
12189 heimdall-flash-frontend            	      35        1       33        1        0
12190 isync                              	      35        3       30        2        0
12191 iwd                                	      35       13       22        0        0
12192 jstest-gtk                         	      35        0       35        0        0
12193 kate-trinity                       	      35        4       27        4        0
12194 khelpcenter-trinity                	      35        2       29        4        0
12195 klipper-trinity                    	      35        4       28        3        0
12196 konqueror-nsplugins-trinity        	      35        5       27        3        0
12197 konsole-trinity                    	      35        6       26        3        0
12198 kpager-trinity                     	      35        1       30        4        0
12199 ksmserver-trinity                  	      35        6       26        3        0
12200 kwayland6-data                     	      35        0        0        0       35
12201 libadns1                           	      35        0        0        0       35
12202 libantlr3c-3.4-0                   	      35        0        4        0       31
12203 libboost-random1.67.0              	      35        0        0        0       35
12204 libcddb-get-perl                   	      35        0       35        0        0
12205 libcommons-math-java               	      35        0        0        0       35
12206 libconfig-file-perl                	      35        4       31        0        0
12207 libcsound64-6.0                    	      35        0        2        0       33
12208 libdav1d5                          	      35        5       15        0       15
12209 libdvbv5-0                         	      35        0        0        0       35
12210 libelementary-data                 	      35        1        7        0       27
12211 libevas1-engines-drm               	      35        0        0        0       35
12212 libevas1-engines-wayland           	      35        2        8        0       25
12213 libext2fs-dev                      	      35        0       33        2        0
12214 libfreehep-graphicsbase-java       	      35        0        0        0       35
12215 libfsntfs1                         	      35        0        0        0       35
12216 libgcc-9-dev                       	      35        0        0        0       35
12217 libgdcm2.8                         	      35        0        0        0       35
12218 libgnuradio-pmt3.10.5              	      35        0        2        0       33
12219 libgtkmm-3.0-dev                   	      35        0       35        0        0
12220 libiec16022-0                      	      35        0        0        0       35
12221 libkf5auth-dev-bin                 	      35        1       34        0        0
12222 libkf5guiaddons-dev                	      35        1       34        0        0
12223 libkf5jsembed-data                 	      35        0        0        0       35
12224 libkf5jsembed5                     	      35        0        0        0       35
12225 libkf5widgetsaddons-dev            	      35        2       33        0        0
12226 libkf6su-data                      	      35        0        0        0       35
12227 libminiupnpc10                     	      35        0        0        0       35
12228 libnet-cups-perl                   	      35        0        0        0       35
12229 libocct-data-exchange-7.5          	      35        0        1        0       34
12230 libocct-foundation-7.5             	      35        0        1        0       34
12231 libocct-modeling-algorithms-7.5    	      35        0        1        0       34
12232 libocct-modeling-data-7.5          	      35        0        1        0       34
12233 libocct-ocaf-7.5                   	      35        0        1        0       34
12234 libocct-visualization-7.5          	      35        0        1        0       34
12235 libomxil-bellagio0                 	      35        0        0        0       35
12236 liborbit2                          	      35        0        0        0       35
12237 libpam-fprintd                     	      35        2        7        0       26
12238 libpoppler147                      	      35        2        0        1       32
12239 libqt6sensors6                     	      35        9       14        1       11
12240 librsync2t64                       	      35        1        0        0       34
12241 librubberband-dev                  	      35        0       34        1        0
12242 libsubunit0                        	      35        0        0        0       35
12243 libsuperlu6                        	      35        0        1        0       34
12244 libtqt3-integration-trinity        	      35        0       31        4        0
12245 libudf0                            	      35        0        0        0       35
12246 libvpx8                            	      35        6        9        0       20
12247 libwim15                           	      35        0        0        0       35
12248 libxen-4.8                         	      35        0        0        0       35
12249 libxmlrpc-epi0                     	      35        7        7        0       21
12250 linux-image-4.9.0-8-amd64          	      35        0       33        0        2
12251 linux-image-6.12.11-amd64          	      35        7       25        3        0
12252 m4-doc                             	      35        0        0        0       35
12253 mdns-scan                          	      35        5       29        1        0
12254 mypaint-brushes                    	      35        0        0        0       35
12255 nagios-nrpe-server                 	      35       11       24        0        0
12256 nmapsi4                            	      35        3       32        0        0
12257 node-execa                         	      35        1       14        0       20
12258 node-strip-eof                     	      35        1        7        0       27
12259 opendkim                           	      35       15       20        0        0
12260 php5                               	      35        0        0        0       35
12261 php7.4-fpm                         	      35       11       24        0        0
12262 postgresql-contrib-9.6             	      35        4       31        0        0
12263 pulseaudio-equalizer               	      35        2       33        0        0
12264 python-ntdb                        	      35        1       34        0        0
12265 python-pyasn1-modules              	      35        1       34        0        0
12266 python3-docstring-to-markdown      	      35        0       35        0        0
12267 python3-erfa                       	      35        0       35        0        0
12268 python3-mistune                    	      35        1       34        0        0
12269 python3-pefile                     	      35        1       33        1        0
12270 python3-pylsp                      	      35        0       35        0        0
12271 python3-pylsp-jsonrpc              	      35        0       35        0        0
12272 python3-pytest-arraydiff           	      35        0       35        0        0
12273 python3-qtconsole                  	      35        1       33        1        0
12274 python3-rope                       	      35        0       35        0        0
12275 qml-module-qtquick-controls-styles-breeze	      35        0        0        0       35
12276 qml6-module-org-kde-userfeedback   	      35        0        0        0       35
12277 r-bioc-biobase                     	      35        3       32        0        0
12278 r-bioc-biocgenerics                	      35        3       32        0        0
12279 r-cran-bdsmatrix                   	      35        3       32        0        0
12280 r-cran-biocmanager                 	      35        3       32        0        0
12281 r-cran-bookdown                    	      35        8       27        0        0
12282 r-cran-cairo                       	      35        3       32        0        0
12283 r-cran-cba                         	      35        3       32        0        0
12284 r-cran-collapse                    	      35        3       32        0        0
12285 r-cran-decor                       	      35        3       32        0        0
12286 r-cran-docopt                      	      35        3       32        0        0
12287 r-cran-dotcall64                   	      35        3       32        0        0
12288 r-cran-downlit                     	      35        3       32        0        0
12289 r-cran-dynlm                       	      35        3       32        0        0
12290 r-cran-ellipse                     	      35        3       32        0        0
12291 r-cran-estimability                	      35        3       32        0        0
12292 r-cran-fields                      	      35        3       32        0        0
12293 r-cran-fnn                         	      35        3       32        0        0
12294 r-cran-furrr                       	      35        3       32        0        0
12295 r-cran-geepack                     	      35        3       32        0        0
12296 r-cran-geosphere                   	      35        3       31        1        0
12297 r-cran-gsl                         	      35        3       32        0        0
12298 r-cran-here                        	      35        3       32        0        0
12299 r-cran-hexbin                      	      35        3       32        0        0
12300 r-cran-ks                          	      35        3       32        0        0
12301 r-cran-lmertest                    	      35        3       32        0        0
12302 r-cran-mapdata                     	      35        3       32        0        0
12303 r-cran-maxlik                      	      35        3       32        0        0
12304 r-cran-mda                         	      35        3       32        0        0
12305 r-cran-mets                        	      35        3       32        0        0
12306 r-cran-miniui                      	      35        3       32        0        0
12307 r-cran-misc3d                      	      35        3       32        0        0
12308 r-cran-misctools                   	      35        3       32        0        0
12309 r-cran-mlmetrics                   	      35        3       32        0        0
12310 r-cran-modeldata                   	      35        3       32        0        0
12311 r-cran-multcomp                    	      35        3       32        0        0
12312 r-cran-multicool                   	      35        3       32        0        0
12313 r-cran-palmerpenguins              	      35        3       32        0        0
12314 r-cran-plotmo                      	      35        3       32        0        0
12315 r-cran-pscl                        	      35        3       32        0        0
12316 r-cran-r.cache                     	      35        3       32        0        0
12317 r-cran-rann                        	      35        3       32        0        0
12318 r-cran-registry                    	      35        3       32        0        0
12319 r-cran-rgl                         	      35        3       32        0        0
12320 r-cran-rpostgresql                 	      35        3       32        0        0
12321 r-cran-rsample                     	      35        3       32        0        0
12322 r-cran-rspectra                    	      35        3       32        0        0
12323 r-cran-rsvg                        	      35        3       32        0        0
12324 r-cran-rversions                   	      35        3       32        0        0
12325 r-cran-scatterplot3d               	      35        3       32        0        0
12326 r-cran-setrng                      	      35        3       32        0        0
12327 r-cran-sfsmisc                     	      35        3       32        0        0
12328 r-cran-slider                      	      35        3       32        0        0
12329 r-cran-sm                          	      35        3       32        0        0
12330 r-cran-spam                        	      35        3       32        0        0
12331 r-cran-spdata                      	      35        2       32        1        0
12332 r-cran-spdep                       	      35        3       32        0        0
12333 r-cran-strucchange                 	      35        3       32        0        0
12334 r-cran-systemfonts                 	      35        3       32        0        0
12335 r-cran-terra                       	      35        2       32        1        0
12336 r-cran-th.data                     	      35        3       32        0        0
12337 r-cran-urca                        	      35        3       32        0        0
12338 r-cran-vdiffr                      	      35        3       32        0        0
12339 r-cran-warp                        	      35        3       32        0        0
12340 recollcmd                          	      35        4       30        1        0
12341 recollgui                          	      35        2       32        1        0
12342 recoverjpeg                        	      35        1       34        0        0
12343 ruby-cairo                         	      35        4       31        0        0
12344 ruby-cairo-gobject                 	      35        4       31        0        0
12345 ruby-pango                         	      35        4       31        0        0
12346 ruby3.3                            	      35       12       16        7        0
12347 slapd                              	      35       14       21        0        0
12348 ssvnc                              	      35        0       35        0        0
12349 tdemultimedia-tdeio-plugins-trinity	      35        1       30        4        0
12350 tdeprint-trinity                   	      35        2       29        4        0
12351 texi2html                          	      35        2       33        0        0
12352 uim-fep                            	      35        0       33        2        0
12353 uim-gtk3-immodule                  	      35        4       12        2       17
12354 uim-qt5                            	      35        1       32        2        0
12355 uim-qt5-immodule                   	      35        3        5        0       27
12356 usbview                            	      35        4       31        0        0
12357 uthash-dev                         	      35        1       33        1        0
12358 vulkan-validationlayers            	      35        0        0        0       35
12359 xfce4-appmenu-plugin               	      35        0       32        2        1
12360 xfonts-100dpi-transcoded           	      35        0        0        0       35
12361 zsh-autosuggestions                	      35        0        0        0       35
12362 zulucrypt-cli                      	      35        1       33        1        0
12363 zulucrypt-gui                      	      35        2       33        0        0
12364 acpi-call-dkms                     	      34        0        0        0       34
12365 argyll                             	      34        2       31        1        0
12366 argyll-ref                         	      34        0        0        0       34
12367 avidemux-common                    	      34        2       28        3        1
12368 axel                               	      34        2       31        1        0
12369 backintime-common                  	      34       10       23        1        0
12370 bluez-test-scripts                 	      34        0        0        0       34
12371 claws-mail-litehtml-viewer         	      34        0        3        0       31
12372 claws-mail-tnef-parser             	      34        1        1        0       32
12373 cool-retro-term                    	      34        1       32        1        0
12374 cpp-aarch64-linux-gnu              	      34        0       34        0        0
12375 csound                             	      34        3       30        1        0
12376 csound-utils                       	      34        3       30        1        0
12377 darkmint-gtk-theme                 	      34        0        0        0       34
12378 dhcpdump                           	      34        2       31        1        0
12379 elpa-color-theme-modern            	      34        3       30        1        0
12380 faba-icon-theme                    	      34        0        0        0       34
12381 facter                             	      34        1       33        0        0
12382 faenza-icon-theme                  	      34        0        0        0       34
12383 fonts-linex                        	      34        3        4        0       27
12384 fuse2fs                            	      34        2       31        1        0
12385 g++-10-multilib                    	      34        0        0        0       34
12386 gcc-4.6-base                       	      34        0        0        0       34
12387 gimp-lensfun                       	      34        0       34        0        0
12388 gir1.2-gtksource-300               	      34        0        0        0       34
12389 gtk3-engines-breeze                	      34        0        0        0       34
12390 hyphen-en-gb                       	      34        0        0        0       34
12391 imvirt                             	      34        3       31        0        0
12392 iodine                             	      34        8       26        0        0
12393 kde-config-gtk-style-preview       	      34        0        0        0       34
12394 klavaro                            	      34        3       31        0        0
12395 kmenuedit-trinity                  	      34        2       28        4        0
12396 kstars-data                        	      34        0        0        0       34
12397 ksysguard-trinity                  	      34        2       28        4        0
12398 ksysguardd-trinity                 	      34        2       28        4        0
12399 ktip-trinity                       	      34        2       29        3        0
12400 lazarus-ide                        	      34        0        0        0       34
12401 lib32stdc++-10-dev                 	      34        0       34        0        0
12402 libaften0                          	      34        0        0        0       34
12403 libbluray-bdj                      	      34        0        1        0       33
12404 libcfitsio-bin                     	      34        1       33        0        0
12405 libchafa0t64                       	      34        0        0        0       34
12406 libclass-methodmaker-perl          	      34        0        0        0       34
12407 libdmapsharing-4.0-3t64            	      34        0        0        0       34
12408 libebook-contacts-1.2-2            	      34        0        1        0       33
12409 libecore-audio1                    	      34        2        8        0       24
12410 libembryo-bin                      	      34        2       31        1        0
12411 libemotion1                        	      34        2        8        0       24
12412 libethumb-client-bin               	      34        1       32        1        0
12413 libethumb-client1                  	      34        2        8        0       24
12414 libethumb1                         	      34        2        8        0       24
12415 libfreehep-graphicsio-pdf-java     	      34        0        0        0       34
12416 libgdal36                          	      34        0        0        0       34
12417 libgedit-gtksourceview-300-common  	      34        0        0        0       34
12418 libgnuradio-osmosdr0.2.0           	      34        0        2        0       32
12419 libgnuradio-runtime3.10.5          	      34        0        2        0       32
12420 libgoa-backend-1.0-2               	      34        6        9        2       17
12421 libgpiod2                          	      34        0        0        0       34
12422 libgtest-dev                       	      34        0       33        1        0
12423 libimage-size-perl                 	      34        1       33        0        0
12424 libimlib2-dev                      	      34        2       31        1        0
12425 libkadm5clnt-mit11                 	      34        0        0        0       34
12426 libkadm5srv-mit11                  	      34        0        0        0       34
12427 libkf5codecs-dev                   	      34        1       33        0        0
12428 libkf6style6                       	      34        9       15        1        9
12429 libkf6texteditor-data              	      34        0        0        0       34
12430 libkmime2-trinity                  	      34        2        9        1       22
12431 libktnef1-trinity                  	      34        2        9        1       22
12432 liblavjpeg-2.1-0                   	      34        0        0        0       34
12433 liblua5.3-dev                      	      34        0       34        0        0
12434 libmagick++-6.q16-7                	      34        0        0        0       34
12435 libnetsnmptrapd40                  	      34        1        0        0       33
12436 libnginx-mod-http-geoip2           	      34       14       20        0        0
12437 libobjc-13-dev                     	      34        0        0        0       34
12438 libpdf-api2-xs-perl                	      34        0        0        0       34
12439 libportsmf0t64                     	      34        0        0        0       34
12440 libpython3.4-minimal               	      34        1       33        0        0
12441 libpython3.4-stdlib                	      34        1       33        0        0
12442 libreoffice-l10n-ja                	      34        0       31        3        0
12443 librpmsign10                       	      34        0        0        0       34
12444 libssl0.9.8                        	      34        4       30        0        0
12445 libstring-rewriteprefix-perl       	      34        3       30        1        0
12446 libsuitesparse-dev                 	      34        0       31        3        0
12447 libtdepim1a-trinity                	      34        2       30        2        0
12448 libtext-autoformat-perl            	      34        1       32        1        0
12449 libtext-reform-perl                	      34        1       32        1        0
12450 libthrift-0.17.0                   	      34        0        2        0       32
12451 libtorrent-rasterbar2.0t64         	      34        1        2        1       30
12452 libwww-curl-perl                   	      34        0        0        0       34
12453 libx32stdc++-10-dev                	      34        0       34        0        0
12454 libxml-sax-expatxs-perl            	      34        0        0        0       34
12455 libzulucrypt-exe1.2.0              	      34        0        0        0       34
12456 libzulucrypt1.2.0                  	      34        0        0        0       34
12457 libzulucryptpluginmanager1.0.0     	      34        0        2        0       32
12458 linux-image-4.19.0-16-amd64        	      34        0       33        0        1
12459 lv2-dev                            	      34        0       34        0        0
12460 memtest86                          	      34        3       31        0        0
12461 mintstick                          	      34        3       30        1        0
12462 network-manager-pptp-gnome         	      34        0       29        0        5
12463 node-jschardet                     	      34        1       33        0        0
12464 nslcd                              	      34       12       22        0        0
12465 openarena-081-maps                 	      34        0        0        0       34
12466 openarena-081-misc                 	      34        0        0        0       34
12467 openarena-081-players              	      34        0        0        0       34
12468 openarena-081-players-mature       	      34        0        0        0       34
12469 openarena-081-textures             	      34        0        0        0       34
12470 openarena-085-data                 	      34        0        0        0       34
12471 openarena-088-data                 	      34        0        0        0       34
12472 openarena-data                     	      34        0        0        0       34
12473 oxygencursors                      	      34        0        0        0       34
12474 pasystray                          	      34        9       24        1        0
12475 php7.3-mbstring                    	      34       11       23        0        0
12476 php8.2-imap                        	      34       12       22        0        0
12477 plasma-firewall                    	      34        0       29        5        0
12478 pppoe                              	      34        2       32        0        0
12479 python-ply                         	      34        1       33        0        0
12480 python-qtawesome-common            	      34        0        0        0       34
12481 python-service-identity            	      34        0       34        0        0
12482 python3-astropy                    	      34        0       33        1        0
12483 python3-ges-1.0                    	      34        0       34        0        0
12484 python3-gevent                     	      34        4       27        3        0
12485 python3-numpy-dev                  	      34        4        7       23        0
12486 python3-progressbar                	      34        3       30        1        0
12487 python3-pydantic                   	      34        1       33        0        0
12488 python3-pytest-astropy             	      34        0       21        0       13
12489 python3-pytest-astropy-header      	      34        0       34        0        0
12490 python3-pytest-filter-subpackage   	      34        0       34        0        0
12491 python3-rpm                        	      34        4       27        3        0
12492 python3-xlwt                       	      34        1       33        0        0
12493 python3.4                          	      34        0       34        0        0
12494 python3.4-minimal                  	      34        1       33        0        0
12495 python3.7-dev                      	      34        0       34        0        0
12496 r-bioc-biocversion                 	      34        3       31        0        0
12497 r-cran-bench                       	      34        2       31        1        0
12498 r-cran-clubsandwich                	      34        3       31        0        0
12499 r-cran-ddalpha                     	      34        3       31        0        0
12500 r-cran-dfoptim                     	      34        3       31        0        0
12501 r-cran-dimred                      	      34        2       32        0        0
12502 r-cran-earth                       	      34        3       31        0        0
12503 r-cran-energy                      	      34        3       31        0        0
12504 r-cran-evd                         	      34        3       31        0        0
12505 r-cran-gbrd                        	      34        3       31        0        0
12506 r-cran-gganimate                   	      34        3       31        0        0
12507 r-cran-hunspell                    	      34        3       31        0        0
12508 r-cran-intervals                   	      34        3       31        0        0
12509 r-cran-lbfgsb3c                    	      34        3       31        0        0
12510 r-cran-logcondens                  	      34        3       31        0        0
12511 r-cran-lwgeom                      	      34        3       31        0        0
12512 r-cran-magick                      	      34        3       31        0        0
12513 r-cran-mathjaxr                    	      34        3       31        0        0
12514 r-cran-metadat                     	      34        3       31        0        0
12515 r-cran-metafor                     	      34        3       31        0        0
12516 r-cran-mlmrev                      	      34        3       31        0        0
12517 r-cran-ncdf4                       	      34        3       31        0        0
12518 r-cran-optimx                      	      34        3       31        0        0
12519 r-cran-packrat                     	      34        3       31        0        0
12520 r-cran-pcapp                       	      34        3       31        0        0
12521 r-cran-permute                     	      34        3       31        0        0
12522 r-cran-pkgdown                     	      34        3       31        0        0
12523 r-cran-plm                         	      34        3       31        0        0
12524 r-cran-plot3d                      	      34        3       31        0        0
12525 r-cran-plumber                     	      34        3       31        0        0
12526 r-cran-pracma                      	      34        3       31        0        0
12527 r-cran-profmem                     	      34        3       31        0        0
12528 r-cran-profvis                     	      34        3       31        0        0
12529 r-cran-r.rsp                       	      34        3       31        0        0
12530 r-cran-ragg                        	      34        3       31        0        0
12531 r-cran-raster                      	      34        3       31        0        0
12532 r-cran-rcpptoml                    	      34        2       31        1        0
12533 r-cran-reticulate                  	      34        3       31        0        0
12534 r-cran-rnetcdf                     	      34        3       31        0        0
12535 r-cran-robumeta                    	      34        3       31        0        0
12536 r-cran-rose                        	      34        3       31        0        0
12537 r-cran-rsconnect                   	      34        3       31        0        0
12538 r-cran-rtsne                       	      34        3       31        0        0
12539 r-cran-spacetime                   	      34        3       31        0        0
12540 r-cran-spelling                    	      34        3       31        0        0
12541 r-cran-stars                       	      34        3       31        0        0
12542 r-cran-svglite                     	      34        3       31        0        0
12543 r-cran-swagger                     	      34        3       31        0        0
12544 r-cran-systemfit                   	      34        3       31        0        0
12545 r-cran-teachingdemos               	      34        2       32        0        0
12546 r-cran-textshaping                 	      34        3       31        0        0
12547 r-cran-thematic                    	      34        3       31        0        0
12548 r-cran-tinytest                    	      34        3       31        0        0
12549 r-cran-transformr                  	      34        3       31        0        0
12550 r-cran-truncdist                   	      34        3       31        0        0
12551 r-cran-tweenr                      	      34        3       31        0        0
12552 r-cran-ucminf                      	      34        3       31        0        0
12553 r-cran-urlchecker                  	      34        3       31        0        0
12554 r-cran-vegan                       	      34        3       31        0        0
12555 r-cran-webfakes                    	      34        2       31        1        0
12556 reiser4progs                       	      34        2       31        1        0
12557 rng-tools-debian                   	      34        9       25        0        0
12558 ruby-childprocess                  	      34        1       33        0        0
12559 sse2-support                       	      34        0        0        0       34
12560 sweethome3d                        	      34        1       33        0        0
12561 sweethome3d-furniture              	      34        0        0        0       34
12562 task-brazilian-portuguese-desktop  	      34        0        0        0       34
12563 tcptrace                           	      34        3       30        1        0
12564 tdebase-tdeio-smb-trinity          	      34        1       29        4        0
12565 tdm-trinity                        	      34        6       25        3        0
12566 thunderbird-l10n-fr                	      34        6       27        1        0
12567 uim-gtk2.0                         	      34        0       32        2        0
12568 uim-gtk3                           	      34        5       27        2        0
12569 wmaker-data                        	      34        0        0        0       34
12570 wxhexeditor                        	      34        2       32        0        0
12571 xfce4-dev-tools                    	      34        1       31        2        0
12572 xosview                            	      34        4       29        1        0
12573 0install-core                      	      33        0       32        1        0
12574 abootimg                           	      33        3       27        3        0
12575 android-tools-adb                  	      33        0        2        0       31
12576 auctex                             	      33        6       26        1        0
12577 barrier                            	      33        6       27        0        0
12578 cl-asdf                            	      33        0        0        0       33
12579 clang-9                            	      33        1       32        0        0
12580 claws-mail-fetchinfo-plugin        	      33        1        0        0       32
12581 cmake-doc                          	      33        0        0        0       33
12582 cpuinfo                            	      33        1       30        2        0
12583 darcs                              	      33        0       33        0        0
12584 darkcold-gtk-theme                 	      33        0        0        0       33
12585 debian-ports-archive-keyring       	      33        0        0        0       33
12586 diffpdf                            	      33        4       29        0        0
12587 esound-common                      	      33        0        0        0       33
12588 espeak-ng                          	      33        2       30        1        0
12589 fonts-lohit-mlym                   	      33        0        1        0       32
12590 fonts-ocr-a                        	      33        1        0        0       32
12591 freeradius-common                  	      33        0        0        0       33
12592 freeradius-config                  	      33        0        0        0       33
12593 freeradius-utils                   	      33        0       33        0        0
12594 g++-mingw-w64-i686                 	      33        0        2        0       31
12595 gcc-mingw-w64                      	      33        0        0        0       33
12596 geogebra                           	      33        2       31        0        0
12597 gnome-epub-thumbnailer             	      33        1       32        0        0
12598 kernel-wedge                       	      33        1       32        0        0
12599 kghostview-trinity                 	      33        2       29        2        0
12600 kregexpeditor-trinity              	      33        2       27        4        0
12601 libapache2-mod-perl2               	      33        7       26        0        0
12602 libapache2-reload-perl             	      33        2       31        0        0
12603 libaudcore5t64                     	      33        0        0        0       33
12604 libaudtag3t64                      	      33        0        0        0       33
12605 libblosc-dev                       	      33        0       33        0        0
12606 libcaldav                          	      33        0        0        0       33
12607 libcarddav                         	      33        0        0        0       33
12608 libclang-common-9-dev              	      33        0       33        0        0
12609 libclass-trigger-perl              	      33        2       31        0        0
12610 libcompress-raw-bzip2-perl         	      33        2        3        0       28
12611 libdatetime-format-mail-perl       	      33        1       32        0        0
12612 libdevmapper-dev                   	      33        0       30        3        0
12613 libedje-bin                        	      33        2       30        1        0
12614 libelementary1                     	      33        2        8        0       23
12615 libffms2-4                         	      33        0        0        0       33
12616 libfm-extra4t64                    	      33        2        2        0       29
12617 libfreeradius3                     	      33        9       24        0        0
12618 libgadu3t64                        	      33        2        1        0       30
12619 libgedit-amtk-5-0                  	      33        0        0        0       33
12620 libgedit-amtk-5-common             	      33        0        0        0       33
12621 libgmp3-dev                        	      33        0        0        0       33
12622 libgnuradio-audio3.10.5            	      33        0        2        0       31
12623 libgnuradio-blocks3.10.5           	      33        0        2        0       31
12624 libgnuradio-uhd3.10.5              	      33        0        2        0       31
12625 libheif-plugin-j2kdec              	      33        0        0        0       33
12626 libimage-base-bundle-perl          	      33        2       30        1        0
12627 libimage-info-perl                 	      33        2       30        1        0
12628 libipc-sharelite-perl              	      33        2        2        0       29
12629 libipsec-mb1                       	      33        0        0        0       33
12630 libiso9660-11t64                   	      33        3        4        0       26
12631 libjas-java                        	      33        0        0        0       33
12632 libjfugue-java                     	      33        0        0        0       33
12633 libjim0.75                         	      33        0        0        0       33
12634 libjs-mootools                     	      33        0        0        0       33
12635 libjs-remark-slide                 	      33        0        0        0       33
12636 libkaccounts1                      	      33        0        0        0       33
12637 libkf5auth-dev                     	      33        1       32        0        0
12638 libkf5globalaccel-dev              	      33        1       32        0        0
12639 libkf5itemviews-dev                	      33        0       32        1        0
12640 libkf6su-bin                       	      33        0        0        0       33
12641 libkf6su6                          	      33        1        0        0       32
12642 libkf6userfeedback-doc             	      33        0        0        0       33
12643 libkpipewire-data                  	      33        4        5        0       24
12644 libkwaylandclient6                 	      33       10       14        1        8
12645 liblavfile-2.1-0                   	      33        0        0        0       33
12646 liblavplay-2.1-0                   	      33        0        0        0       33
12647 liblog4cpp5v5                      	      33        0        0        0       33
12648 libmousex-nativetraits-perl        	      33        2       30        1        0
12649 libnginx-mod-stream-geoip2         	      33       13       20        0        0
12650 libnss-ldapd                       	      33       11       22        0        0
12651 libonnxruntime1.20.1               	      33        0        0        0       33
12652 libopendbx1-sqlite3                	      33        1       32        0        0
12653 libpoppler-glib-dev                	      33        2       30        1        0
12654 libppi-perl                        	      33        0       33        0        0
12655 libqt5webkit5-dev                  	      33        0       33        0        0
12656 libreflectasm-java-doc             	      33        0        0        0       33
12657 librpm3                            	      33        0        0        0       33
12658 librpmio3                          	      33        0        0        0       33
12659 libsnmp-perl                       	      33        0        2        0       31
12660 libspatialindex-c6                 	      33        0        0        0       33
12661 libstdc++-arm-none-eabi-dev        	      33        0       33        0        0
12662 libsubunit-dev                     	      33        1       32        0        0
12663 libsvm3                            	      33        0        0        0       33
12664 libtidy-0.99-0                     	      33        1        0        0       32
12665 libtiff4                           	      33        0        0        0       33
12666 linux-headers-5.10.0-23-amd64      	      33        0       33        0        0
12667 linux-image-4.9.0-12-amd64         	      33        2       29        0        2
12668 linux-image-6.1.0-15-amd64         	      33        2       31        0        0
12669 linux-image-6.11.10-amd64          	      33        1       32        0        0
12670 llvm-13-linker-tools               	      33        2       31        0        0
12671 mariadb-server-10.1                	      33       14       19        0        0
12672 mathpiper                          	      33        0        0        0       33
12673 microsoft-edge-stable              	      33        3       25        5        0
12674 mypaint                            	      33        1       31        1        0
12675 mypaint-data                       	      33        0        0        0       33
12676 mypaint-data-extras                	      33        0        0        0       33
12677 notmuch                            	      33        3       29        1        0
12678 nvidia-xconfig                     	      33        0       30        3        0
12679 nyx                                	      33        1       32        0        0
12680 ocean-sound-theme                  	      33        0        0        0       33
12681 openarena                          	      33        3       30        0        0
12682 opensysusers                       	      33        3       27        3        0
12683 osspd-pulseaudio                   	      33        0       30        3        0
12684 patchelf                           	      33        1       31        1        0
12685 php7.3-gd                          	      33       12       21        0        0
12686 pingus                             	      33        2       31        0        0
12687 pingus-data                        	      33        0        0        0       33
12688 pngcheck                           	      33        3       29        1        0
12689 proftpd-doc                        	      33        0        0        0       33
12690 pulseaudio-module-jack             	      33        5       28        0        0
12691 python-colorama                    	      33        0       33        0        0
12692 python-egenix-mxdatetime           	      33        5       27        1        0
12693 python-egenix-mxtools              	      33        1       31        1        0
12694 python3-impacket                   	      33        2       31        0        0
12695 python3-ldapdomaindump             	      33        2       31        0        0
12696 python3-numpydoc                   	      33        1       32        0        0
12697 python3-requests-oauthlib          	      33        1       29        3        0
12698 python3-sane                       	      33        2       27        4        0
12699 python3-yapf                       	      33        0       33        0        0
12700 qt5dxcb-plugin                     	      33        2       15        0       16
12701 qt6-virtualkeyboard-plugin         	      33        2        1        0       30
12702 r-cran-admisc                      	      33        3       30        0        0
12703 r-cran-av                          	      33        3       30        0        0
12704 r-cran-cliapp                      	      33        2       31        0        0
12705 r-cran-cubelyr                     	      33        3       30        0        0
12706 r-cran-gridbase                    	      33        2       31        0        0
12707 r-cran-gstat                       	      33        3       30        0        0
12708 r-cran-lavasearch2                 	      33        3       30        0        0
12709 r-cran-lobstr                      	      33        3       30        0        0
12710 r-cran-ncdfgeom                    	      33        3       30        0        0
12711 r-cran-ncmeta                      	      33        2       30        1        0
12712 r-cran-pcict                       	      33        3       30        0        0
12713 r-cran-polycor                     	      33        3       30        0        0
12714 r-cran-prettycode                  	      33        2       31        0        0
12715 r-cran-r.devices                   	      33        3       30        0        0
12716 r-cran-sftime                      	      33        3       30        0        0
12717 r-cran-themis                      	      33        3       30        0        0
12718 recoll                             	      33        0        0        0       33
12719 ruby-ruby2-keywords                	      33        0        0        0       33
12720 speedcrunch                        	      33        1       31        1        0
12721 speedometer                        	      33        1       32        0        0
12722 strawberry                         	      33        3       29        1        0
12723 swish++                            	      33        7       26        0        0
12724 tdebase-trinity                    	      33        0        0        0       33
12725 tklib                              	      33        1       32        0        0
12726 udevil                             	      33        2       30        1        0
12727 uil                                	      33        0       33        0        0
12728 uni2ascii                          	      33        4       27        2        0
12729 vapoursynth                        	      33        3       30        0        0
12730 vapoursynth-ffms2                  	      33        0        0        0       33
12731 vifm                               	      33        2       31        0        0
12732 vlock                              	      33        2       31        0        0
12733 wimtools                           	      33        1       32        0        0
12734 zipalign                           	      33        2       31        0        0
12735 zoo                                	      33        4       29        0        0
12736 ark-trinity                        	      32        2       28        2        0
12737 autopkgtest                        	      32        1       26        5        0
12738 avahi-discover                     	      32        1       31        0        0
12739 backintime-qt                      	      32        4       27        1        0
12740 bwm-ng                             	      32        1       31        0        0
12741 check                              	      32        1       30        1        0
12742 chemical-mime-data                 	      32        0        0        0       32
12743 claws-mail-acpi-notifier           	      32        0        1        0       31
12744 cmake-qt-gui                       	      32        1       30        1        0
12745 cppzmq-dev                         	      32        0        0        0       32
12746 debconf-doc                        	      32        0        0        0       32
12747 diffuse                            	      32        3       29        0        0
12748 dmraid                             	      32        4       27        1        0
12749 dropbox                            	      32        5       27        0        0
12750 efitools                           	      32        0       31        1        0
12751 erlang-ftp                         	      32        1       30        1        0
12752 erlang-tftp                        	      32        1       30        1        0
12753 faketime                           	      32        0       32        0        0
12754 festival-freebsoft-utils           	      32        0        0        0       32
12755 flashplugin-nonfree                	      32        0       32        0        0
12756 fonts-mlym                         	      32        0        0        0       32
12757 fonts-samyak-mlym                  	      32        0        0        0       32
12758 fonts-smc                          	      32        0        0        0       32
12759 freetds-dev                        	      32        2       30        0        0
12760 g++-mingw-w64-x86-64               	      32        0        2        0       30
12761 gcc-9                              	      32        1       31        0        0
12762 gcc-aarch64-linux-gnu              	      32        1       31        0        0
12763 gfortran-8                         	      32        0       32        0        0
12764 gimp-gap                           	      32        0       32        0        0
12765 gitg                               	      32        2       30        0        0
12766 gnat-12                            	      32        4       28        0        0
12767 gnome-packagekit-common            	      32        0        0        0       32
12768 gr-funcube                         	      32        6       25        1        0
12769 gtkam                              	      32        0       32        0        0
12770 hunspell-hu                        	      32        0        0        0       32
12771 hw-probe                           	      32        1       31        0        0
12772 hydra                              	      32        1       31        0        0
12773 hyphen-es                          	      32        0        0        0       32
12774 i3blocks                           	      32        1       31        0        0
12775 icedtea-netx-common                	      32        0        0        0       32
12776 inetutils-telnetd                  	      32        2       30        0        0
12777 jupyter-nbformat                   	      32        3       29        0        0
12778 karbon                             	      32        2       28        2        0
12779 kde-full                           	      32        0        0        0       32
12780 kmix-trinity                       	      32        5       25        2        0
12781 kstars                             	      32        2       29        1        0
12782 latex2html                         	      32        2       30        0        0
12783 lib3ds-1-3                         	      32        0        0        0       32
12784 libappstreamqt3                    	      32        9       14        1        8
12785 libc++1                            	      32        0        0        0       32
12786 libc6-armhf-cross                  	      32        0        0        0       32
12787 libcantorlibs28abi1                	      32        0        0        0       32
12788 libclang1-7                        	      32        1       31        0        0
12789 libcommons-beanutils-java          	      32        0        0        0       32
12790 libcourier-unicode4                	      32        5        3        0       24
12791 libddcutil5                        	      32        7       14        1       10
12792 libdecaf0                          	      32        0        1        0       31
12793 libdevice-serialport-perl          	      32        0       32        0        0
12794 libdmraid1.0.0.rc16                	      32        0        0        0       32
12795 libfaketime                        	      32        0        0        0       32
12796 libfreehep-graphicsio-ps-java      	      32        0        0        0       32
12797 libgcc-s1-arm64-cross              	      32        0        0        0       32
12798 libgfortran-6-dev                  	      32        0        0        0       32
12799 libgfortran-8-dev                  	      32        0        0        0       32
12800 libgnuradio-fft3.10.5              	      32        0        2        0       30
12801 libgnuradio-filter3.10.5           	      32        0        2        0       30
12802 libgnuradio-iio3.10.5              	      32        0        0        0       32
12803 libgpgme-dev                       	      32        0       30        2        0
12804 libgps23                           	      32        0        0        0       32
12805 libhdf5-hl-100t64                  	      32        0        0        0       32
12806 libipset13t64                      	      32        0        0        0       32
12807 libitext5-java                     	      32        0        0        0       32
12808 libjs-jquery-flot                  	      32        0        0        0       32
12809 libjson-simple-java                	      32        0        0        0       32
12810 libkf6holidays-data                	      32        1        1        0       30
12811 libkf6holidays6                    	      32        1        1        0       30
12812 libkf6texteditor-bin               	      32        2       22        8        0
12813 libkf6texteditor-katepart          	      32        0        0        0       32
12814 libkf6texteditor6                  	      32        0        0        0       32
12815 libkf6unitconversion-data          	      32        2        3        1       26
12816 libkleopatra1-trinity              	      32        0        2        0       30
12817 libkpipewire6                      	      32        9       14        2        7
12818 libkpipewiredmabuf6                	      32        1        0        0       31
12819 libmbedtls14t64                    	      32        0        1        0       31
12820 libmbedx509-1t64                   	      32        0        1        0       31
12821 libnet-patricia-perl               	      32        5       13        0       14
12822 libokular5core8                    	      32        0        0        0       32
12823 libopenctm1                        	      32        0        0        0       32
12824 libopencv-superres406              	      32        0        0        0       32
12825 libpam-ldapd                       	      32       10       21        0        1
12826 libplist2                          	      32        0        2        0       30
12827 libqcoro6dbus0t64                  	      32        8       14        1        9
12828 libreoffice-help-ja                	      32        0        0        0       32
12829 libreoffice-l10n-cs                	      32        2       22        8        0
12830 libreoffice-lightproof-en          	      32        3       27        2        0
12831 libreoffice-writer2latex           	      32        3       29        0        0
12832 librsync1                          	      32        0        0        0       32
12833 libsanlock-client1                 	      32        0        1        0       31
12834 libspdlog1.15                      	      32        0        3        0       29
12835 libsvm-tools                       	      32        3       29        0        0
12836 libtime-parsedate-perl             	      32        5       26        1        0
12837 libtwolame-dev                     	      32        0       32        0        0
12838 libusb3380-0                       	      32        0        0        0       32
12839 libuser-identity-perl              	      32        2       30        0        0
12840 libwine-development                	      32        1        9        1       21
12841 libxml-treepp-perl                 	      32        8       24        0        0
12842 libzip4t64                         	      32        1        3        0       28
12843 light                              	      32        2       28        2        0
12844 linux-image-6.12.17-amd64          	      32       14        0       18        0
12845 linux-image-6.12.9+bpo-amd64       	      32       10       21        1        0
12846 lld                                	      32        0       29        3        0
12847 manpages-posix                     	      32        0        0        0       32
12848 mednafen                           	      32        1       31        0        0
12849 megasync                           	      32        6       25        1        0
12850 mmv                                	      32        3       29        0        0
12851 mplayer-doc                        	      32        0        0        0       32
12852 ncmpcpp                            	      32        0       30        2        0
12853 node-leven                         	      32        0        0        0       32
12854 ocaml-base-nox                     	      32        3       19        1        9
12855 openarena-oacmp1                   	      32        3       29        0        0
12856 passt                              	      32        2       22        8        0
12857 pgadmin3-data                      	      32        0        0        0       32
12858 php-net-socket                     	      32        5       27        0        0
12859 php5-gd                            	      32        9       22        0        1
12860 php7.0-mbstring                    	      32       12       20        0        0
12861 pinentry-tty                       	      32        1       31        0        0
12862 postgresql-client-17               	      32        8       16        8        0
12863 postgresql-contrib                 	      32        0        0        0       32
12864 python-twisted-bin                 	      32        1       31        0        0
12865 python-twisted-core                	      32        2       30        0        0
12866 python3-editorconfig               	      32        2       28        2        0
12867 python3-hiredis                    	      32        0       31        1        0
12868 python3-pytoolconfig               	      32        0       32        0        0
12869 python3-qtawesome                  	      32        0       31        1        0
12870 python3-rtree                      	      32        0       29        3        0
12871 python3-threadpoolctl              	      32        1       31        0        0
12872 qasconfig                          	      32        1       31        0        0
12873 qml6-module-org-kde-iconthemes     	      32        0        0        0       32
12874 qml6-module-qtpositioning          	      32        0        0        0       32
12875 qt6-svg-dev                        	      32        1       29        2        0
12876 qtdatavisualization5-doc           	      32        0        0        0       32
12877 qtnetworkauth5-doc                 	      32        0        0        0       32
12878 qtscxml5-doc                       	      32        0        0        0       32
12879 qtserialbus5-doc                   	      32        0        0        0       32
12880 r-cran-formattable                 	      32        3       29        0        0
12881 r-cran-maptools                    	      32        2       30        0        0
12882 r-cran-nmf                         	      32        2       30        0        0
12883 ree                                	      32        4       27        1        0
12884 remind                             	      32        4       25        3        0
12885 rhash                              	      32        2       30        0        0
12886 ruby-faraday                       	      32        1       30        1        0
12887 sddm-theme-debian-elarun           	      32        0        0        0       32
12888 sddm-theme-elarun                  	      32        0        0        0       32
12889 sqlite                             	      32        2       30        0        0
12890 task-japanese-desktop              	      32        0        0        0       32
12891 tdom                               	      32        0        1        0       31
12892 telnetd                            	      32        2        8        0       22
12893 tesseract-ocr-fra                  	      32        0        0        0       32
12894 thermald                           	      32        5       27        0        0
12895 tigervnc-scraping-server           	      32        3       29        0        0
12896 timidity-daemon                    	      32        0        0        0       32
12897 tumbler-plugins-extra              	      32        1        1        0       30
12898 wbritish-insane                    	      32        3       28        1        0
12899 wesnoth-1.16-data                  	      32        0        0        0       32
12900 wxmaxima                           	      32        1       31        0        0
12901 xplot-xplot.org                    	      32        3       28        1        0
12902 xserver-xorg-input-void            	      32        0       32        0        0
12903 a2jmidid                           	      31        1       30        0        0
12904 avidemux-plugins                   	      31        5       23        3        0
12905 claws-mail-libravatar              	      31        0        0        0       31
12906 dbview                             	      31        3       28        0        0
12907 drbl                               	      31        0       30        1        0
12908 erlang-wx                          	      31        0       30        1        0
12909 eterm                              	      31        1       30        0        0
12910 evince-gtk                         	      31        0       10        0       21
12911 fatcat                             	      31        4       25        2        0
12912 fd-find                            	      31        0       30        1        0
12913 firefox-esr-l10n-ja                	      31        2       26        3        0
12914 firmware-marvell-prestera          	      31        1       23        7        0
12915 flactag                            	      31        0       30        1        0
12916 foliate                            	      31        2       29        0        0
12917 fonts-mononoki                     	      31        1        3        1       26
12918 fonts-pagul                        	      31        0        0        0       31
12919 g++-mingw-w64-i686-posix           	      31        0       30        1        0
12920 g++-mingw-w64-i686-win32           	      31        0       30        1        0
12921 g++-mingw-w64-x86-64-posix         	      31        0       29        2        0
12922 gawk-doc                           	      31        0        0        0       31
12923 gfortran-6                         	      31        0       31        0        0
12924 giflib-tools                       	      31        4       26        1        0
12925 gir1.2-appstream-1.0               	      31        2        1        0       28
12926 gkrellm-cpufreq                    	      31        5       25        1        0
12927 glabels-data                       	      31        0        0        0       31
12928 gnome-package-updater              	      31        3       25        3        0
12929 gnome-pkg-tools                    	      31        0       29        2        0
12930 gqrx-sdr                           	      31        2       28        1        0
12931 gstreamer0.10-alsa                 	      31        0        3        0       28
12932 hardinfo2                          	      31        1       27        3        0
12933 hashdeep                           	      31        1       30        0        0
12934 indent                             	      31        0       31        0        0
12935 inetutils-inetd                    	      31        5       26        0        0
12936 intel-media-va-driver-non-free     	      31        4        6        0       21
12937 itstool                            	      31        2       27        2        0
12938 jack-capture                       	      31        0       30        1        0
12939 kdevelop510-libs                   	      31        0        0        0       31
12940 kpdf-trinity                       	      31        4       25        2        0
12941 ksnapshot-trinity                  	      31        3       25        3        0
12942 libakode2                          	      31        2       27        2        0
12943 libaldmb1                          	      31        0        0        0       31
12944 libapp-cmd-perl                    	      31        2       28        1        0
12945 libavcodec-extra58                 	      31        3        7        0       21
12946 libb-keywords-perl                 	      31        1       30        0        0
12947 libboost-thread1.55.0              	      31        0        0        0       31
12948 libboost1.83-dev                   	      31        1       21        9        0
12949 libclang1-13                       	      31        1       30        0        0
12950 libclang1-16t64                    	      31        2       29        0        0
12951 libcoin80t64                       	      31        0        0        0       31
12952 libcommons-digester-java           	      31        0        0        0       31
12953 libdirectfb-extra                  	      31        0        0        0       31
12954 libdleyna-core-1.0-3               	      31        0        0        0       31
12955 libeb16                            	      31        1        0        0       30
12956 libevas1-engines-fb                	      31        0        0        0       31
12957 libfile-next-perl                  	      31        1       30        0        0
12958 libghc-data-default-class-dev      	      31        0       31        0        0
12959 libghc-primitive-dev               	      31        1       30        0        0
12960 libgnuradio-analog3.10.5           	      31        0        2        0       29
12961 libgnuradio-channels3.10.5         	      31        0        0        0       31
12962 libgnuradio-digital3.10.5          	      31        0        2        0       29
12963 libgnuradio-dtv3.10.5              	      31        0        0        0       31
12964 libgnuradio-fec3.10.5              	      31        0        0        0       31
12965 libgnuradio-network3.10.5          	      31        0        2        0       29
12966 libgnuradio-pdu3.10.5              	      31        0        0        0       31
12967 libgnuradio-qtgui3.10.5            	      31        0        0        0       31
12968 libgnuradio-soapy3.10.5            	      31        0        0        0       31
12969 libgnuradio-trellis3.10.5          	      31        0        0        0       31
12970 libgnuradio-video-sdl3.10.5        	      31        0        0        0       31
12971 libgnuradio-vocoder3.10.5          	      31        0        0        0       31
12972 libgnuradio-wavelet3.10.5          	      31        0        0        0       31
12973 libgnuradio-zeromq3.10.5           	      31        0        0        0       31
12974 libgom-1.0-0t64                    	      31        0        1        0       30
12975 libgomp1-armhf-cross               	      31        0        0        0       31
12976 libgoogle-glog0v6                  	      31        0        1        0       30
12977 libhiredis1.1.0                    	      31        2        6        0       23
12978 libinline-perl                     	      31        2       29        0        0
12979 libio-tiecombine-perl              	      31        2       28        1        0
12980 libjoda-time-java                  	      31        0        0        0       31
12981 libkf5configwidgets-dev            	      31        2       29        0        0
12982 libkf6calendarevents6              	      31        9       14        1        7
12983 libkf6declarative-data             	      31        0        1        0       30
12984 libkf6unitconversion6              	      31        4        6        1       20
12985 libkf6userfeedbackwidgets6         	      31        3        3        1       24
12986 libkpimidentities1-trinity         	      31        0        2        0       29
12987 libkpipewirerecord6                	      31        1        0        0       30
12988 libksysguard-data                  	      31        0        2        0       29
12989 libksysguardformatter2             	      31        7       14        1        9
12990 libkworkspace6-6                   	      31        8       15        1        7
12991 libldl2                            	      31        0        0        0       31
12992 libmagick++-7.q16-5                	      31        0        0        0       31
12993 libmail-box-perl                   	      31        2       29        0        0
12994 libmath-bigint-perl                	      31        3       28        0        0
12995 libmetrics-any-perl                	      31        0       31        0        0
12996 libmongoose2                       	      31        0        0        0       31
12997 libmpc2                            	      31        0        0        0       31
12998 libmutter-7-0                      	      31        0        3        0       28
12999 libnet-daemon-perl                 	      31        1       30        0        0
13000 libnginx-mod-stream-geoip          	      31       13       18        0        0
13001 libnss-sudo                        	      31        0        0        0       31
13002 libobject-realize-later-perl       	      31        2       29        0        0
13003 libokular5core11                   	      31        0        0        0       31
13004 libopencv-calib3d406t64            	      31        0        1        0       30
13005 libopencv-features2d406t64         	      31        0        1        0       30
13006 libopencv-flann406t64              	      31        0        1        0       30
13007 libopencv-videostab406             	      31        0        0        0       31
13008 libopenvdb7.1                      	      31        0        0        0       31
13009 libperl5.20                        	      31        1        0        0       30
13010 libplasma-geolocation-interface6   	      31        0        0        0       31
13011 libplasma5support-data             	      31        0        0        0       31
13012 libpoppler-tqt                     	      31        3        0        0       28
13013 libprocesscore10                   	      31        7       14        1        9
13014 libpurple0t64                      	      31        2        1        0       28
13015 libqimageblitz4                    	      31        1        0        0       30
13016 libquickcharts1                    	      31        9       14        1        7
13017 libquickchartscontrols1            	      31        8       13        1        9
13018 librbio2                           	      31        0        0        0       31
13019 libreoffice-style-sifr             	      31        0       28        3        0
13020 librtmp0                           	      31        0        0        0       31
13021 libsidplayfp4                      	      31        0        0        0       31
13022 libstdc++6-armhf-cross             	      31        0        0        0       31
13023 libtest-metrics-any-perl           	      31        0       31        0        0
13024 libtk8.5                           	      31        1        0        0       30
13025 libuniversal-moniker-perl          	      31        2       29        0        0
13026 libupsclient4                      	      31        2        3        0       26
13027 libusbmuxd2                        	      31        0        2        0       29
13028 libusbredirhost1t64                	      31        1        0        0       30
13029 libvala-0.56-0                     	      31        0        0        0       31
13030 libvamp-hostsdk3t64                	      31        0        0        0       31
13031 libxtrxll0                         	      31        5       25        1        0
13032 libzen0t64                         	      31        3        3        0       25
13033 linphone-common                    	      31        0        0        0       31
13034 llvm-13                            	      31        1       30        0        0
13035 llvm-13-runtime                    	      31        1       30        0        0
13036 monitoring-plugins-contrib         	      31        7       23        1        0
13037 mysql-server                       	      31        0        0        0       31
13038 nautilus-sendto                    	      31        0       31        0        0
13039 network-manager-dev                	      31        0        1        0       30
13040 node-ansi-colors                   	      31        0        0        0       31
13041 openhackware                       	      31        0        0        0       31
13042 openssh-blacklist                  	      31        0        0        0       31
13043 osspd                              	      31        9       22        0        0
13044 outguess                           	      31        2       29        0        0
13045 php-net-smtp                       	      31        5       26        0        0
13046 php7.3                             	      31        0        0        0       31
13047 pidgin-plugin-pack                 	      31        5       23        0        3
13048 plymouth-label                     	      31        0        0        0       31
13049 postgresql-client-9.4              	      31        7       24        0        0
13050 python-dev-is-python3              	      31        4       25        2        0
13051 python3-humanize                   	      31        1       29        1        0
13052 python3-pydocstyle                 	      31        0       31        0        0
13053 python3-sklearn                    	      31        1       29        1        0
13054 python3-sklearn-lib                	      31        1       28        2        0
13055 qml-module-io-thp-pyotherside      	      31        0        2        0       29
13056 qml6-module-org-kde-kholidays      	      31        0        0        0       31
13057 qml6-module-org-kde-kwindowsystem  	      31        9       14        1        7
13058 qml6-module-org-kde-notifications  	      31        7       14        1        9
13059 qml6-module-org-kde-quickcharts    	      31        9       14        1        7
13060 qml6-module-org-kde-syntaxhighlighting	      31        0        0        0       31
13061 qt6ct                              	      31        0       27        4        0
13062 qtquickcontrols2-5-dev             	      31        0       31        0        0
13063 ruby-augeas                        	      31        1       14        0       16
13064 ruby-bcrypt-pbkdf                  	      31        0        6        0       25
13065 ruby-ed25519                       	      31        0        6        0       25
13066 ruby-net-ssh                       	      31        1       30        0        0
13067 rxvt                               	      31        3       28        0        0
13068 shed                               	      31        1       30        0        0
13069 sipcalc                            	      31        1       30        0        0
13070 spectre-meltdown-checker           	      31        3       28        0        0
13071 strongswan-nm                      	      31        2       29        0        0
13072 tuxmath                            	      31        0       30        1        0
13073 tuxmath-data                       	      31        2        6        0       23
13074 virtualbox-dkms                    	      31        4       26        1        0
13075 wesnoth-1.16-music                 	      31        0        0        0       31
13076 wswedish                           	      31        2       28        1        0
13077 xcb                                	      31        1       30        0        0
13078 xdg-desktop-portal-xapp            	      31        8       20        3        0
13079 xmahjongg                          	      31        2       29        0        0
13080 xml2                               	      31        3       28        0        0
13081 xmount                             	      31        1       30        0        0
13082 xorg-docs                          	      31        0        0        0       31
13083 zpaq                               	      31        4       26        1        0
13084 android-sdk-build-tools-common     	      30        0        0        0       30
13085 android-sdk-common                 	      30        0       30        0        0
13086 apache2-suexec-pristine            	      30        4       26        0        0
13087 autodep8                           	      30        2       28        0        0
13088 avidemux-qt                        	      30        5       22        3        0
13089 big-cursor                         	      30        0        0        0       30
13090 bundler                            	      30        0        0        0       30
13091 claws-mail-managesieve             	      30        0        2        0       28
13092 claws-mail-newmail-plugin          	      30        2        1        0       27
13093 clonezilla                         	      30        0       29        1        0
13094 cpufreqd                           	      30       12       18        0        0
13095 csound-soundfont                   	      30        0        0        0       30
13096 daemontools                        	      30        6       24        0        0
13097 duperemove                         	      30        3       26        1        0
13098 e2fsck-static                      	      30        3       27        0        0
13099 emacs-common-non-dfsg              	      30        0        0        0       30
13100 enigma                             	      30        2       28        0        0
13101 enigma-data                        	      30        0        0        0       30
13102 enlightenment                      	      30        3       26        1        0
13103 enlightenment-data                 	      30        1        6        0       23
13104 firefox-esr-l10n-cs                	      30        6       18        6        0
13105 flvstreamer                        	      30        0       29        1        0
13106 fonts-droid                        	      30        2        0        0       28
13107 fonts-indic                        	      30        0        0        0       30
13108 fonts-quicksand                    	      30        1        0        0       29
13109 fonts-smc-anjalioldlipi            	      30        1        0        0       29
13110 fonts-smc-chilanka                 	      30        1        1        0       28
13111 fonts-smc-dyuthi                   	      30        1        0        0       29
13112 fonts-smc-karumbi                  	      30        0        0        0       30
13113 fonts-smc-keraleeyam               	      30        0        0        0       30
13114 fonts-smc-manjari                  	      30        0        0        0       30
13115 fonts-smc-meera                    	      30        5        3        0       22
13116 fonts-smc-rachana                  	      30        1        0        0       29
13117 fonts-smc-raghumalayalamsans       	      30        0        0        0       30
13118 fonts-smc-suruma                   	      30        0        0        0       30
13119 fonts-smc-uroob                    	      30        0        0        0       30
13120 fp-ide                             	      30        0        0        0       30
13121 frameworkintegration6              	      30        2        1        0       27
13122 freeradius                         	      30        9       21        0        0
13123 g++-mingw-w64                      	      30        0        0        0       30
13124 g++-mingw-w64-x86-64-win32         	      30        0       29        1        0
13125 gdb-avr                            	      30        0       30        0        0
13126 gfortran-14                        	      30        1       21        8        0
13127 gfortran-x86-64-linux-gnu          	      30        1       21        8        0
13128 ghc-doc                            	      30        0       30        0        0
13129 gir1.2-cloudproviders-0.3.0        	      30        0        0        0       30
13130 gir1.2-handy-0.0                   	      30        0        0        0       30
13131 gir1.2-mutter-7                    	      30        0        3        0       27
13132 gir1.2-rest-0.7                    	      30        0       29        1        0
13133 glabels                            	      30        1       28        1        0
13134 glew-utils                         	      30        0       30        0        0
13135 gmrun                              	      30        4       26        0        0
13136 gnustep-gui-common                 	      30        0        0        0       30
13137 goldendict                         	      30        2       25        2        1
13138 gpac-modules-base                  	      30        0        0        0       30
13139 gpredict                           	      30        1       29        0        0
13140 gpsim                              	      30        2       28        0        0
13141 gtk2-engines-oxygen                	      30        0        0        0       30
13142 gvncviewer                         	      30        4       25        1        0
13143 hibernate                          	      30        2       28        0        0
13144 hunspell-nl                        	      30        0        0        0       30
13145 ipv6calc                           	      30        3       27        0        0
13146 jupyter-client                     	      30        4       26        0        0
13147 kcalc-trinity                      	      30        3       25        2        0
13148 kimageformat6-plugins              	      30       12       11        3        4
13149 knotes-trinity                     	      30        2       26        2        0
13150 laptop-mode-tools                  	      30        4       26        0        0
13151 libalsaplayer0                     	      30        0        0        0       30
13152 libast2                            	      30        0        0        0       30
13153 libatomic1-armhf-cross             	      30        0        0        0       30
13154 libbatterycontrol6                 	      30        6       14        0       10
13155 libbelcard1                        	      30        0        3        0       27
13156 libbelr1                           	      30        0        3        0       27
13157 libcapstone5                       	      30        1        0        0       29
13158 libcgroup2                         	      30        0        0        0       30
13159 libclang-cpp16t64                  	      30        2       28        0        0
13160 libclass-dbi-perl                  	      30        2       28        0        0
13161 libcloudproviders-dev              	      30        0       29        1        0
13162 libcolamd2.8.0                     	      30        0        0        0       30
13163 libcolorcorrect6                   	      30        8       13        1        8
13164 libconvert-uulib-perl              	      30        0        0        0       30
13165 libcrypto++6                       	      30        0        0        0       30
13166 libdb4.8                           	      30        1        0        0       29
13167 libdbix-contextualfetch-perl       	      30        2       28        0        0
13168 libdockapp3                        	      30        2        9        0       19
13169 libfdk-aac1                        	      30        0        0        0       30
13170 libgfortran-14-dev                 	      30        0        0        0       30
13171 libghc-splitmix-dev                	      30        1       29        0        0
13172 libgnustep-base1.26                	      30        0        0        0       30
13173 libgstreamermm-1.0-1               	      30        0        0        0       30
13174 libical1a                          	      30        1        0        0       29
13175 libima-dbi-perl                    	      30        2       28        0        0
13176 libindex0-trinity                  	      30        0        1        0       29
13177 libindidriver1                     	      30        0        0        0       30
13178 libinline-c-perl                   	      30        2       28        0        0
13179 libio-compress-perl                	      30        7       23        0        0
13180 libio-stty-perl                    	      30        3       27        0        0
13181 libjctools-java                    	      30        0        0        0       30
13182 libjffi-java                       	      30        0        0        0       30
13183 libjffi-jni                        	      30        0        0        0       30
13184 libjnr-constants-java              	      30        0        0        0       30
13185 libjnr-ffi-java                    	      30        0        0        0       30
13186 libjnr-posix-java                  	      30        0        0        0       30
13187 libjnr-x86asm-java                 	      30        0        0        0       30
13188 libjsyntaxpane-java                	      30        0        0        0       30
13189 libkf5iconthemes-dev               	      30        1       29        0        0
13190 libkf5xmlgui-dev                   	      30        1       27        2        0
13191 libkfontinst6                      	      30        0        1        0       29
13192 libkfontinstui6                    	      30        0        1        0       29
13193 libklipper6                        	      30        8       13        1        8
13194 libkmpris6                         	      30        8       14        1        7
13195 libkscreenlocker6                  	      30        9       14        1        6
13196 libksysguardsensorfaces2           	      30        0        3        0       27
13197 libksysguardsensors2               	      30        0        3        0       27
13198 libksysguardsystemstats2           	      30        0        3        0       27
13199 libkwin6                           	      30        8       14        1        7
13200 liblcms1                           	      30        0        0        0       30
13201 libmail-message-perl               	      30        2       28        0        0
13202 libmatch-simple-perl               	      30        2       28        0        0
13203 libmimelib1c2a-trinity             	      30        0        1        0       29
13204 libmnemonicsetter-java             	      30        0        0        0       30
13205 libmonitoring-plugin-perl          	      30        8       21        1        0
13206 libmotif-dev                       	      30        1       29        0        0
13207 libopencv-dnn406t64                	      30        0        1        0       29
13208 libopencv-objdetect406t64          	      30        0        1        0       29
13209 libopenh264-6                      	      30        5       12        0       13
13210 libopenimageio2.2                  	      30        0        0        0       30
13211 libopenraw7                        	      30        1        1        0       28
13212 libosdcpu3.4.3                     	      30        0        0        0       30
13213 libosdgpu3.4.3                     	      30        0        0        0       30
13214 libpegex-perl                      	      30        2       28        0        0
13215 libplasma5support6                 	      30        9       15        1        5
13216 libproguard-java                   	      30        0        0        0       30
13217 libpstoedit0t64                    	      30        0        0        0       30
13218 libqaccessibilityclient-qt6-0      	      30        9       14        1        6
13219 libqt5clucene5                     	      30        0        0        0       30
13220 libqt5sql5-psql                    	      30        0        0        0       30
13221 libqt6qmlmeta6                     	      30        7        0        1       22
13222 libqtassistantclient4              	      30        0        0        0       30
13223 libsignon-extension1               	      30        0        0        0       30
13224 libsliplu1                         	      30        0        0        0       30
13225 libslopy7.6                        	      30        0        0        0       30
13226 libsub-infix-perl                  	      30        2       28        0        0
13227 libterm-size-perl                  	      30        0        1        0       29
13228 libtss2-udev                       	      30        0       30        0        0
13229 libubsan1-armhf-cross              	      30        0        0        0       30
13230 libunbound2                        	      30        0        0        0       30
13231 libvalacodegen-0.56-0              	      30        0        0        0       30
13232 libxfce4util-dev                   	      30        0       29        1        0
13233 linssid                            	      30        1       28        1        0
13234 linux-compiler-gcc-4.8-x86         	      30        0        0        0       30
13235 linux-headers-5.10.0-28-common     	      30        0       30        0        0
13236 linux-image-4.19.0-20-amd64        	      30        0       30        0        0
13237 linux-image-6.12.6-amd64           	      30        1       28        1        0
13238 llvm-13-dev                        	      30        3       27        0        0
13239 llvm-13-tools                      	      30        1       29        0        0
13240 masscan                            	      30        2       28        0        0
13241 memstat                            	      30        5       24        1        0
13242 metacam                            	      30        1       29        0        0
13243 mocha                              	      30        2       28        0        0
13244 musescore3-common                  	      30        0        1        0       29
13245 mutter                             	      30        1       28        1        0
13246 nbtscan                            	      30        3       27        0        0
13247 netstandard-targeting-pack-2.1     	      30        0        0        0       30
13248 network-manager-l2tp-gnome         	      30        0       28        0        2
13249 node-browser-stdout                	      30        0        0        0       30
13250 node-bytes                         	      30        0        0        0       30
13251 node-he                            	      30        2       28        0        0
13252 numactl                            	      30        2       27        1        0
13253 numix-icon-theme-circle            	      30        0        0        0       30
13254 nwipe                              	      30        3       26        1        0
13255 pcf2bdf                            	      30        2       28        0        0
13256 pgadmin3                           	      30        2       28        0        0
13257 php-auth-sasl                      	      30        5       25        0        0
13258 php-imap                           	      30        0        0        0       30
13259 php-mail-mime                      	      30        5       25        0        0
13260 php7.3-curl                        	      30       10       20        0        0
13261 planner-data                       	      30        0        0        0       30
13262 plantuml                           	      30        0       29        1        0
13263 plasma5-integration                	      30        3        9        0       18
13264 povray                             	      30        1       29        0        0
13265 proftpd-core                       	      30       11       17        2        0
13266 proguard-cli                       	      30        1       29        0        0
13267 python3-colorlog                   	      30        0       29        1        0
13268 python3-icalendar                  	      30        1       28        1        0
13269 python3-intervaltree               	      30        1       29        0        0
13270 python3-lxc                        	      30        0       30        0        0
13271 python3-pyfuse3                    	      30        3       26        1        0
13272 python3-pytest-openfiles           	      30        0       30        0        0
13273 qml6-module-org-kde-activities     	      30        1        0        0       29
13274 qml6-module-org-kde-baloo          	      30        0        0        0       30
13275 qml6-module-org-kde-breeze         	      30        2        3        0       25
13276 qml6-module-org-kde-draganddrop    	      30        9       14        1        6
13277 qml6-module-org-kde-graphicaleffects	      30        2        5        0       23
13278 qml6-module-org-kde-kirigamiaddons-tableview	      30        0        0        0       30
13279 qml6-module-org-kde-kquickcontrols 	      30        0        3        0       27
13280 qml6-module-org-kde-ksysguard      	      30        0        3        0       27
13281 qml6-module-org-kde-pipewire       	      30        9       14        1        6
13282 qml6-module-org-kde-plasma-plasma5support	      30        9       15        1        5
13283 qmltermwidget-common               	      30        0        0        0       30
13284 r-cran-randomfieldsutils           	      30        2       28        0        0
13285 r-cran-rgdal                       	      30        2       28        0        0
13286 r-cran-spatstat.core               	      30        2       28        0        0
13287 recoverdm                          	      30        3       27        0        0
13288 rstudio                            	      30        1       28        1        0
13289 ruby-multipart-post                	      30        1       29        0        0
13290 rust-gdb                           	      30        0       29        1        0
13291 sash                               	      30        2       28        0        0
13292 schedtool                          	      30        2       28        0        0
13293 signon-plugin-password             	      30        0        0        0       30
13294 signon-ui-service                  	      30        0        0        0       30
13295 signond                            	      30        0       29        1        0
13296 simplyhtml                         	      30        0       29        1        0
13297 speech-dispatcher-festival         	      30        0        0        0       30
13298 ssh-audit                          	      30        4       25        1        0
13299 ssldump                            	      30        0       30        0        0
13300 sslscan                            	      30        2       28        0        0
13301 sudo-trinity                       	      30        0        0        0       30
13302 syslog-ng                          	      30        0        0        0       30
13303 syslog-ng-core                     	      30       12       18        0        0
13304 syslog-ng-mod-mongodb              	      30        9       20        1        0
13305 syslog-ng-mod-sql                  	      30        9       20        1        0
13306 tcl-tclreadline                    	      30        0       27        3        0
13307 tdelibs-trinity                    	      30        0        0        0       30
13308 tdepim-tderesources-trinity        	      30        0       28        2        0
13309 tdewalletmanager-trinity           	      30        1       27        2        0
13310 texlive-htmlxml                    	      30        0        6        0       24
13311 tldr                               	      30        3       22        0        5
13312 tuxtype                            	      30        0       30        0        0
13313 tuxtype-data                       	      30        2        6        0       22
13314 uget                               	      30        0       30        0        0
13315 uim-mozc                           	      30        4       14        2       10
13316 unison-gtk                         	      30        2       28        0        0
13317 waybar                             	      30        3       25        2        0
13318 xdot                               	      30        2       28        0        0
13319 xkeycaps                           	      30        0       30        0        0
13320 xmms2-client-cli                   	      30        1       29        0        0
13321 xsnow                              	      30        0       30        0        0
13322 zabbix-release                     	      30        0        0        0       30
13323 ack                                	      29        2       26        1        0
13324 aidl                               	      29        1       28        0        0
13325 android-framework-res              	      29        0        0        0       29
13326 arts-trinity                       	      29        0        0        0       29
13327 avidemux                           	      29        0        3        0       26
13328 balena-etcher                      	      29        2       26        1        0
13329 binutils-arm-linux-gnueabi         	      29        0       28        1        0
13330 boinc-client                       	      29       10       18        1        0
13331 claws-mail-plugins                 	      29        0        0        0       29
13332 clzip                              	      29        5       21        3        0
13333 collectd-core                      	      29        8       21        0        0
13334 cpp-4.6                            	      29        1       28        0        0
13335 cpp-arm-linux-gnueabihf            	      29        0       29        0        0
13336 debian-reference-en                	      29        0        0        0       29
13337 docbook-defguide                   	      29        0        0        0       29
13338 dolphin-data                       	      29        3        1        1       24
13339 dolphin-doc                        	      29        0        0        0       29
13340 dvdisaster                         	      29        1       28        0        0
13341 edac-utils                         	      29        5       24        0        0
13342 fftw2                              	      29        0        0        0       29
13343 firebird2.5-common-doc             	      29        0        0        0       29
13344 fonts-adf-baskervald               	      29        3        0        0       26
13345 fonts-ecolier-court                	      29        1        0        0       28
13346 fonts-smc-gayathri                 	      29        0        0        0       29
13347 fonts-tlwg-waree-ttf               	      29        1        2        0       26
13348 fonts-xfree86-nonfree              	      29        1        3        0       25
13349 freetuxtv                          	      29        2       27        0        0
13350 fritzing                           	      29        0       29        0        0
13351 fritzing-data                      	      29        0        0        0       29
13352 fsarchiver                         	      29        1       28        0        0
13353 gcompris-qt                        	      29        0       28        1        0
13354 gcompris-qt-data                   	      29        0        0        0       29
13355 gdmap                              	      29        1       28        0        0
13356 gettext-doc                        	      29        0       28        0        1
13357 gfortran-14-x86-64-linux-gnu       	      29        1       20        8        0
13358 gir1.2-tracker-2.0                 	      29        0        0        0       29
13359 git-all                            	      29        0        0        0       29
13360 gnome-software-plugin-deb          	      29        2        8        2       17
13361 gnome-software-plugin-fwupd        	      29        2        8        2       17
13362 gnustep-gui-runtime                	      29        1       28        0        0
13363 gpac                               	      29        2       27        0        0
13364 guake                              	      29        4       25        0        0
13365 hashid                             	      29        1       28        0        0
13366 hiera                              	      29        1       28        0        0
13367 hyphen-fr                          	      29        0        0        0       29
13368 ifrename                           	      29        7       22        0        0
13369 kaccounts-integration              	      29        3        9        0       17
13370 keepassxc-full                     	      29        6       17        6        0
13371 kmail-trinity                      	      29        1       26        2        0
13372 korganizer-trinity                 	      29        3       24        2        0
13373 krita-l10n                         	      29        0        0        0       29
13374 lcl-nogui-2.2                      	      29        0       29        0        0
13375 libboost-serialization1.83.0       	      29        0        2        0       27
13376 libcanlock2                        	      29        0        0        0       29
13377 libcarp-assert-more-perl           	      29        2       26        1        0
13378 libconvert-tnef-perl               	      29        3       26        0        0
13379 libdata-page-perl                  	      29        1       27        1        0
13380 libdbus-c++-1-0v5                  	      29        1        2        0       26
13381 libdolphinvcs6                     	      29        4        3        1       21
13382 libdouble-conversion-dev           	      29        0       28        1        0
13383 libedac1                           	      29        0        0        0       29
13384 libesd0                            	      29        0        0        0       29
13385 libglew1.10                        	      29        0        0        0       29
13386 libgpac10                          	      29        0        0        0       29
13387 libgpm-dev                         	      29        2       26        1        0
13388 libhdf5-openmpi-hl-100             	      29        0        0        0       29
13389 libhttpmime-java                   	      29        0        0        0       29
13390 libicns1                           	      29        0        1        0       28
13391 libimgscalr-java                   	      29        0        0        0       29
13392 libindi-plugins                    	      29        0        0        0       29
13393 libindialignmentdriver1            	      29        0        0        0       29
13394 libkf5attica-dev                   	      29        0       29        0        0
13395 libkf6dnssd-data                   	      29        0        0        0       29
13396 libkf6dnssd6                       	      29        0        0        0       29
13397 libkf6threadweaver6                	      29        3        0        0       26
13398 libknewstuff2-4                    	      29        0        0        0       29
13399 libkpimexchange1-trinity           	      29        0       27        2        0
13400 libksieve0-trinity                 	      29        0        1        0       28
13401 libmail-transport-perl             	      29        2       27        0        0
13402 libmatch-simple-xs-perl            	      29        0        0        0       29
13403 libmozjs-115-0t64                  	      29        4        9        1       15
13404 libnode64                          	      29        0        0        0       29
13405 libopencv-imgcodecs3.2             	      29        0        0        0       29
13406 libopenrawgnome7                   	      29        1        1        0       27
13407 libout123-0t64                     	      29        0        0        0       29
13408 libpam-google-authenticator        	      29        1       27        1        0
13409 libplacebo264                      	      29        1        2        0       26
13410 libplayerctl2                      	      29        0        3        0       26
13411 libpolyclipping22                  	      29        0        0        0       29
13412 libpoppler134                      	      29        0        2        0       27
13413 libpython3.10-minimal              	      29        4       25        0        0
13414 libpython3.10-stdlib               	      29        4       25        0        0
13415 libqjson0                          	      29        1        0        0       28
13416 libqpdf13                          	      29        0        0        0       29
13417 libqt6webview6                     	      29        0        0        0       29
13418 libsdl2-gfx-dev                    	      29        0       29        0        0
13419 libsdl2-net-dev                    	      29        0       29        0        0
13420 libservlet2.5-java                 	      29        0        0        0       29
13421 libsoci-core4.0                    	      29        0        3        0       26
13422 libsoci-sqlite3-4.0                	      29        0        3        0       26
13423 libsword-common                    	      29        0        0        0       29
13424 libsyn123-0t64                     	      29        0        0        0       29
13425 libtomoyotools3                    	      29        0        2        0       27
13426 libxcb-damage0-dev                 	      29        0       29        0        0
13427 libxvidcore-dev                    	      29        0       29        0        0
13428 libyara4                           	      29        0        0        0       29
13429 linux-headers-5.10.0-28-amd64      	      29        0       29        0        0
13430 linux-image-5.10.0-13-amd64        	      29        1       26        0        2
13431 man2html-base                      	      29        1       26        2        0
13432 mat2                               	      29        0       28        1        0
13433 mdbtools                           	      29        4       25        0        0
13434 mednaffe                           	      29        1       28        0        0
13435 meshlab                            	      29        1       27        1        0
13436 motion                             	      29        4       25        0        0
13437 mplayer2                           	      29        1       28        0        0
13438 multimedia-tasks                   	      29        0        0        0       29
13439 mythes-es                          	      29        0        0        0       29
13440 ncrack                             	      29        2       27        0        0
13441 node-bl                            	      29        0        0        0       29
13442 node-cli-width                     	      29        0        0        0       29
13443 node-external-editor               	      29        0        0        0       29
13444 node-inquirer                      	      29        1       28        0        0
13445 node-loose-envify                  	      29        2       27        0        0
13446 node-path-root                     	      29        0        1        0       28
13447 node-path-root-regex               	      29        0        0        0       29
13448 node-run-async                     	      29        0        0        0       29
13449 node-tmp                           	      29        0        0        0       29
13450 opencl-headers                     	      29        0        0        0       29
13451 openclipart-svg                    	      29        0        0        0       29
13452 package-update-indicator           	      29       12       15        2        0
13453 parted-doc                         	      29        0        0        0       29
13454 pdfposter                          	      29        0       29        0        0
13455 pitivi                             	      29        2       27        0        0
13456 plasma-workspace-doc               	      29        0        0        0       29
13457 plymouth-themes                    	      29        0        0        0       29
13458 protonvpn-stable-release           	      29        0        0        0       29
13459 python-constantly                  	      29        0       29        0        0
13460 python-entrypoints                 	      29        1       28        0        0
13461 python-incremental                 	      29        0       29        0        0
13462 python-pyqt5                       	      29        0       29        0        0
13463 python-serial                      	      29        1       27        1        0
13464 python3-aioredis                   	      29        0       28        1        0
13465 python3-ldap                       	      29        2       27        0        0
13466 python3-stevedore                  	      29        0       29        0        0
13467 qml-module-termwidget              	      29        0        2        0       27
13468 qpwgraph                           	      29        1       28        0        0
13469 r-cran-fts                         	      29        2       27        0        0
13470 r-cran-geoknife                    	      29        2       27        0        0
13471 r-cran-randomfields                	      29        2       27        0        0
13472 r-cran-wkutils                     	      29        2       27        0        0
13473 reptyr                             	      29        2       27        0        0
13474 ruby-deep-merge                    	      29        1       28        0        0
13475 ruby-excon                         	      29        1       28        0        0
13476 ruby-log4r                         	      29        1       28        0        0
13477 ruby-net-scp                       	      29        1       28        0        0
13478 ruby-net-sftp                      	      29        1       28        0        0
13479 ruby-shadow                        	      29        0        1        0       28
13480 signon-kwallet-extension           	      29        0        0        0       29
13481 smbmap                             	      29        2       27        0        0
13482 soundkonverter                     	      29        1       26        2        0
13483 split-select                       	      29        1       28        0        0
13484 tdegraphics-tdefile-plugins-trinity	      29        4       23        2        0
13485 tdepim-tdeio-plugins-trinity       	      29        0       27        2        0
13486 thonny                             	      29        4       23        2        0
13487 thunderbird-l10n-en-gb             	      29        7       21        1        0
13488 tomoyo-tools                       	      29        1       28        0        0
13489 ttf-freefont                       	      29        0        0        0       29
13490 valac-0.56-vapi                    	      29        0        0        0       29
13491 variety                            	      29        6       22        1        0
13492 vokoscreen-ng                      	      29        0       28        1        0
13493 webhttrack                         	      29        0       29        0        0
13494 webhttrack-common                  	      29        0        0        0       29
13495 wesnoth-1.16                       	      29        0        0        0       29
13496 wesnoth-1.16-core                  	      29        0       28        1        0
13497 wesnoth-1.16-did                   	      29        0        0        0       29
13498 wesnoth-1.16-dm                    	      29        0        0        0       29
13499 wesnoth-1.16-dw                    	      29        0        0        0       29
13500 wesnoth-1.16-ei                    	      29        0        0        0       29
13501 wesnoth-1.16-httt                  	      29        0        0        0       29
13502 wesnoth-1.16-l                     	      29        0        0        0       29
13503 wesnoth-1.16-low                   	      29        0        0        0       29
13504 wesnoth-1.16-nr                    	      29        0        0        0       29
13505 wesnoth-1.16-sof                   	      29        0        0        0       29
13506 wesnoth-1.16-sota                  	      29        0        0        0       29
13507 wesnoth-1.16-sotbe                 	      29        0        0        0       29
13508 wesnoth-1.16-thot                  	      29        0        0        0       29
13509 wesnoth-1.16-trow                  	      29        0        0        0       29
13510 wesnoth-1.16-tsg                   	      29        0        0        0       29
13511 wesnoth-1.16-ttb                   	      29        0        0        0       29
13512 wesnoth-1.16-utbs                  	      29        0        0        0       29
13513 xdiskusage                         	      29        1       28        0        0
13514 xdo                                	      29        0       29        0        0
13515 xfce4-panel-profiles               	      29        0       27        2        0
13516 xfce4-sntray-plugin                	      29        0        0        0       29
13517 xmms2-icon                         	      29        0        0        0       29
13518 yandex-browser-stable              	      29        2       26        1        0
13519 amavisd-new                        	      28        9       19        0        0
13520 android-sdk-build-tools            	      28        0        0        0       28
13521 apktool                            	      28        2       25        1        0
13522 apt-dater-host                     	      28        6       22        0        0
13523 ascii                              	      28        2       25        1        0
13524 aufs-tools                         	      28        0       28        0        0
13525 baloo6                             	      28       12       12        4        0
13526 bdf2psf                            	      28        0       25        3        0
13527 bonnie++                           	      28        2       26        0        0
13528 cdtool                             	      28        2       25        1        0
13529 codelite                           	      28        4       23        1        0
13530 convlit                            	      28        1       26        1        0
13531 darkfire-gtk-theme                 	      28        0        0        0       28
13532 debtree                            	      28        2       26        0        0
13533 dejagnu                            	      28        2       26        0        0
13534 diffutils-doc                      	      28        0        0        0       28
13535 dino-im                            	      28        3       25        0        0
13536 dino-im-common                     	      28        3        1        0       24
13537 dislocker                          	      28        1       25        2        0
13538 dnsrecon                           	      28        2       26        0        0
13539 dovecot-managesieved               	      28       10       18        0        0
13540 dovecot-mysql                      	      28       10       18        0        0
13541 dtv-scan-tables                    	      28        0        0        0       28
13542 efivar                             	      28        1       27        0        0
13543 elpa-notmuch                       	      28        4       21        3        0
13544 enigma-doc                         	      28        0        0        0       28
13545 erlang-parsetools                  	      28        0       27        1        0
13546 ethstatus                          	      28        5       22        1        0
13547 expat                              	      28        1       25        2        0
13548 fatresize                          	      28        2       26        0        0
13549 fonts-adf-verana                   	      28        2        0        0       26
13550 fonts-dosis                        	      28        0        0        0       28
13551 fonts-georgewilliams               	      28        3        1        0       24
13552 fonts-jetbrains-mono               	      28        2        6        1       19
13553 fonts-mplus                        	      28        0        0        0       28
13554 fonts-ocr-b                        	      28        0        0        0       28
13555 fonts-quattrocento                 	      28        0        0        0       28
13556 fonts-recommended                  	      28        0        0        0       28
13557 fp-utils                           	      28        3       24        1        0
13558 fswebcam                           	      28        2       26        0        0
13559 gcc-4.4-base                       	      28        0        0        0       28
13560 gcc-4.6                            	      28        1       27        0        0
13561 gcc-arm-linux-gnueabihf            	      28        0       28        0        0
13562 genius-common                      	      28        0        0        0       28
13563 gir1.2-zpj-0.0                     	      28        0        0        0       28
13564 gnome-icon-theme-nuovo             	      28        0        0        0       28
13565 gnustep-back-common                	      28        1       27        0        0
13566 gr-fosphor                         	      28        1       26        1        0
13567 guitarix-ladspa                    	      28        0       27        1        0
13568 hunspell-sv                        	      28        0        0        0       28
13569 icinga2-doc                        	      28        0        0        0       28
13570 id3tool                            	      28        1       27        0        0
13571 idutch                             	      28        0       28        0        0
13572 imlib-base                         	      28        0       26        2        0
13573 indi-bin                           	      28        1       27        0        0
13574 iprange                            	      28        4       24        0        0
13575 jabref                             	      28        2       26        0        0
13576 kde-style-oxygen-qt6               	      28        3       18        7        0
13577 kgamma                             	      28        0        0        0       28
13578 kicker-applets-trinity             	      28        1       25        2        0
13579 konversation                       	      28        0       28        0        0
13580 konversation-data                  	      28        0        3        0       25
13581 kuser-trinity                      	      28        0       26        2        0
13582 lazarus-ide-2.2                    	      28        0       28        0        0
13583 lcl-gtk2-2.2                       	      28        0       28        0        0
13584 libao-dev                          	      28        0       27        1        0
13585 libarts1-akode-trinity             	      28        2       24        2        0
13586 libatomic-ops-dev                  	      28        0       28        0        0
13587 libbamf3-2t64                      	      28        0        7        0       21
13588 libc6-dev-armhf-cross              	      28        0       27        1        0
13589 libcapnp-0.9.2                     	      28        0        0        0       28
13590 libcfitsio7                        	      28        0        0        0       28
13591 libclang-common-13-dev             	      28        0       28        0        0
13592 libconstant-defer-perl             	      28        0       27        1        0
13593 libcpprest2.10                     	      28        0        1        0       27
13594 libdv-bin                          	      28        2       26        0        0
13595 libecal-1.2-19                     	      28        0        1        0       27
13596 libfcitx-utils0                    	      28        3        9        0       16
13597 libfishsound1                      	      28        0        0        0       28
13598 libftdi-dev                        	      28        0       27        1        0
13599 libgcc-s1-armhf-cross              	      28        0        0        0       28
13600 libgepub-0.7-0                     	      28        1        0        0       27
13601 libgtkd-3-0                        	      28        1        3        0       24
13602 libhdf5-openmpi-fortran-102        	      28        0        0        0       28
13603 libhdf5-openmpi-hl-fortran-100     	      28        0        0        0       28
13604 libilmbase-dev                     	      28        0       28        0        0
13605 libio-compress-lzma-perl           	      28        5       23        0        0
13606 libivykis0                         	      28       11       11        0        6
13607 libjdom2-java                      	      28        0        0        0       28
13608 libkabc4                           	      28        1       27        0        0
13609 libkldap4                          	      28        1        0        0       27
13610 libkmime4                          	      28        1        0        0       27
13611 libkpimidentities4                 	      28        1        0        0       27
13612 libkpimtextedit4                   	      28        1        0        0       27
13613 libkpimutils4                      	      28        1        0        0       27
13614 libkresources4                     	      28        1       27        0        0
13615 libkscan1-trinity                  	      28        0        0        0       28
13616 libllvm6.0                         	      28        1        0        0       27
13617 libluajit2-5.1-2                   	      28        2        1        0       25
13618 libluajit2-5.1-common              	      28        0        0        0       28
13619 libmate-desktop-2-17t64            	      28        8        9        2        9
13620 libmpeg2-4-dev                     	      28        0       27        1        0
13621 libnetty-java                      	      28        0        0        0       28
13622 libopencv-java                     	      28        0        0        0       28
13623 libopencv-ml406t64                 	      28        0        0        0       28
13624 libopencv-video406t64              	      28        0        1        0       27
13625 libopencv406-jni                   	      28        0       27        1        0
13626 libopenjpeg2                       	      28        0        0        0       28
13627 liboxygenstyle6-6                  	      28        1        2        0       25
13628 liboxygenstyleconfig6-6            	      28        0        0        0       28
13629 libperl-critic-perl                	      28        1       27        0        0
13630 libplist-dev                       	      28        0       28        0        0
13631 libpod-spell-perl                  	      28        1       27        0        0
13632 libppix-regexp-perl                	      28        0       28        0        0
13633 libprocesscore7                    	      28        0        0        0       28
13634 libqdox2-java                      	      28        0        0        0       28
13635 libqgis-core3.22.16                	      28        0        0        0       28
13636 libqgis-gui3.22.16                 	      28        0        0        0       28
13637 libqgis-native3.22.16              	      28        0        0        0       28
13638 libqrencode-dev                    	      28        0       28        0        0
13639 libqt6quickdialogs2-6              	      28        0        0        0       28
13640 libqt6quickdialogs2quickimpl6      	      28        0        0        0       28
13641 libqt6quickdialogs2utils6          	      28        0        0        0       28
13642 libqt6statemachine6                	      28        0        0        0       28
13643 librpcsecgss3                      	      28        0        0        0       28
13644 librttr-core0.9.6                  	      28        0        0        0       28
13645 libsfcgal1                         	      28        1        0        0       27
13646 libsmali-java                      	      28        0       21        0        7
13647 libsss-certmap0                    	      28        3       18        0        7
13648 libstring-format-perl              	      28        0       28        0        0
13649 libt4k-common0                     	      28        0        0        0       28
13650 libtest-pod-perl                   	      28        1       27        0        0
13651 libtorrent-rasterbar9              	      28        0        0        0       28
13652 libvirt-daemon-common              	      28        5       19        4        0
13653 libvirt-daemon-log                 	      28       11       14        3        0
13654 libwebrtc-audio-processing-0       	      28        0        0        0       28
13655 libxmlunit-java                    	      28        0        0        0       28
13656 linux-image-4.19.0-21-amd64        	      28        0       28        0        0
13657 linux-image-4.19.0-23-amd64        	      28        0       27        0        1
13658 linux-libc-dev-armhf-cross         	      28        0       27        1        0
13659 manpages-posix-dev                 	      28        0        0        0       28
13660 mbuffer                            	      28        2       26        0        0
13661 mikmod                             	      28        2       26        0        0
13662 milkytracker                       	      28        1       27        0        0
13663 mingw-w64                          	      28        0        0        0       28
13664 mosquitto                          	      28        8       20        0        0
13665 musescore3                         	      28        2       24        2        0
13666 netselect-apt                      	      28        0       27        1        0
13667 nginx-core                         	      28        8       15        0        5
13668 node-number-is-nan                 	      28        0        0        0       28
13669 node-yn                            	      28        0        0        0       28
13670 oggvideotools                      	      28        4       23        1        0
13671 pgpdump                            	      28        4       23        1        0
13672 php8.2-redis                       	      28       10       18        0        0
13673 pinfo                              	      28        1       26        1        0
13674 planner                            	      28        1       27        0        0
13675 planner-doc                        	      28        0        0        0       28
13676 postfix-mta-sts-resolver           	      28       11       17        0        0
13677 postfix-mysql                      	      28        7       21        0        0
13678 postfix-pcre                       	      28        7       20        1        0
13679 python-click                       	      28        0       28        0        0
13680 python-configobj                   	      28        1       27        0        0
13681 python-funcsigs                    	      28        1       27        0        0
13682 python-jinja2                      	      28        1       27        0        0
13683 python-psycopg2                    	      28        5       22        1        0
13684 python3-gpumodules                 	      28        0       28        0        0
13685 python3-lxml-html-clean            	      28        1       25        2        0
13686 python3-pyaes                      	      28        3       25        0        0
13687 python3-recoll                     	      28        1       26        1        0
13688 qml6-module-org-kde-networkmanager 	      28        8       12        1        7
13689 qmlscene                           	      28        0       26        0        2
13690 qt6-tools-dev                      	      28        2       19        7        0
13691 ruby-vagrant-cloud                 	      28        1       27        0        0
13692 scribus-doc                        	      28        0        0        0       28
13693 scsitools                          	      28        2       26        0        0
13694 sdcc                               	      28        0       27        1        0
13695 sdcc-libraries                     	      28        0       27        1        0
13696 snap                               	      28        4       23        1        0
13697 solvespace                         	      28        1       26        1        0
13698 ssmtp                              	      28        6       21        1        0
13699 stow                               	      28        1       26        1        0
13700 tdeartwork-theme-icon-trinity      	      28        0        0        0       28
13701 tdewallpapers-trinity              	      28        0        0        0       28
13702 trans-de-en                        	      28        0        0        0       28
13703 udiskie                            	      28        6       21        1        0
13704 unbound-anchor                     	      28        1       27        0        0
13705 undbx                              	      28        2       26        0        0
13706 vice                               	      28        1       26        1        0
13707 vim-syntastic                      	      28        0        0        0       28
13708 wgerman-medical                    	      28        3       24        1        0
13709 wifite                             	      28        0       28        0        0
13710 wvdial                             	      28        1       27        0        0
13711 xdaliclock                         	      28        5       23        0        0
13712 xmms2                              	      28        0        0        0       28
13713 yubikey-manager                    	      28        2       26        0        0
13714 akregator-trinity                  	      27        1       24        2        0
13715 artsbuilder-trinity                	      27        2       23        2        0
13716 astrometry.net                     	      27        1       26        0        0
13717 bin86                              	      27        1       26        0        0
13718 bpfmon                             	      27        0       27        0        0
13719 calligrasheets                     	      27        1       24        2        0
13720 celluloid                          	      27        3       22        2        0
13721 claws-mail-gdata-plugin            	      27        0        0        0       27
13722 claws-mail-perl-filter             	      27        1       25        1        0
13723 cmake-extras                       	      27        0       26        0        1
13724 crack-common                       	      27        0        0        0       27
13725 cura-engine                        	      27        2       25        0        0
13726 darkblood-gtk-theme                	      27        0        0        0       27
13727 docbook-xsl-ns                     	      27        0        0        0       27
13728 doxygen-gui                        	      27        1       26        0        0
13729 duf                                	      27        1       24        2        0
13730 dvdisaster-doc                     	      27        0        0        0       27
13731 erlang-snmp                        	      27        1       25        1        0
13732 famfamfam-flag-png                 	      27        0        0        0       27
13733 fil-plugins                        	      27        3       24        0        0
13734 fldigi                             	      27        1       25        1        0
13735 flowblade                          	      27        3       24        0        0
13736 fonts-adf-ikarius                  	      27        2        0        0       25
13737 fonts-adf-libris                   	      27        2        0        0       25
13738 fonts-beteckna                     	      27        0        0        0       27
13739 fonts-humor-sans                   	      27        0        0        0       27
13740 fonts-jsmath                       	      27        0        1        0       26
13741 fonts-kacst-one                    	      27        0        1        0       26
13742 fonts-osifont                      	      27        0        0        0       27
13743 fonts-roboto-fontface              	      27        2        3        0       22
13744 fortunes-off                       	      27        0        0        0       27
13745 fritzing-parts                     	      27        0        0        0       27
13746 game-data-packager                 	      27        0       27        0        0
13747 ghostwriter                        	      27        0       26        1        0
13748 gimp-help-ru                       	      27        0        0        0       27
13749 git-cola                           	      27        2       23        2        0
13750 gnome-screensaver                  	      27        2       25        0        0
13751 gnucap                             	      27        1       26        0        0
13752 gnumeric-plugins-extra             	      27        1       26        0        0
13753 gobjc                              	      27        0        0        0       27
13754 gocryptfs                          	      27        1       25        1        0
13755 gtk-chtheme                        	      27        1       26        0        0
13756 gtk3-engines-xfce                  	      27        0        0        0       27
13757 hsetroot                           	      27        3       24        0        0
13758 hugo                               	      27        1       26        0        0
13759 i965-va-driver-shaders             	      27        0        3        0       24
13760 imlib11                            	      27        0        0        0       27
13761 jed                                	      27        2       23        2        0
13762 jupyter-nbconvert                  	      27        3       24        0        0
13763 kaddressbook-trinity               	      27        0       25        2        0
13764 kate-plugins-trinity               	      27        0       25        2        0
13765 kchmviewer                         	      27        2       24        1        0
13766 kedit-trinity                      	      27        0       25        2        0
13767 kget-trinity                       	      27        0       25        2        0
13768 khexedit-trinity                   	      27        0       24        3        0
13769 knode-trinity                      	      27        1       24        2        0
13770 kompare-trinity                    	      27        0       25        2        0
13771 kooka-trinity                      	      27        1       24        2        0
13772 kpackage-trinity                   	      27        0       25        2        0
13773 ksvg-trinity                       	      27        0       25        2        0
13774 lazarus                            	      27        0        0        0       27
13775 lazarus-src-2.2                    	      27        0       27        0        0
13776 lcl-2.2                            	      27        0        0        0       27
13777 lcl-units-2.2                      	      27        0       27        0        0
13778 lcl-utils-2.2                      	      27        0       27        0        0
13779 libasound2-plugin-equal            	      27        0        0        0       27
13780 libbcmatroska2-5                   	      27        0        1        0       26
13781 libboost-geometry-utils-perl       	      27        0        0        0       27
13782 libboost-serialization1.67.0       	      27        0        0        0       27
13783 libcamd2.3.1                       	      27        0        0        0       27
13784 libcarp-always-perl                	      27        0       25        2        0
13785 libccolamd2.8.0                    	      27        0        0        0       27
13786 libchromaprint0                    	      27        0        0        0       27
13787 libcinnamon-desktop4t64            	      27        4        8        1       14
13788 libclang-cpp16                     	      27        3       24        0        0
13789 libclang-cpp18                     	      27        4       23        0        0
13790 libcommons-httpclient-java         	      27        0        0        0       27
13791 libconfig-model-perl               	      27        2       24        1        0
13792 libdatetime-format-w3cdtf-perl     	      27        0       27        0        0
13793 libdebian-installer4               	      27        0        0        0       27
13794 libdvbpsi9                         	      27        1        0        0       26
13795 libedata-cal-2.0-2t64              	      27        8        6        2       11
13796 libextractor-plugins-misc          	      27        0        0        0       27
13797 libfcitx-config4                   	      27        3        7        0       17
13798 libfreerdp-plugins-standard        	      27        0        0        0       27
13799 libgdal35                          	      27        0        0        0       27
13800 libgdome2-0                        	      27        3        2        0       22
13801 libgedit-gtksourceview-300-0       	      27        0        0        0       27
13802 libgf2x3                           	      27        0        0        0       27
13803 libghc-hashable-dev                	      27        1       26        0        0
13804 libhdf5-openmpi-103                	      27        0        0        0       27
13805 libhttp-parser-dev                 	      27        1       26        0        0
13806 libisns0                           	      27       11        9        0        7
13807 libjhlabs-filters-java             	      27        0        0        0       27
13808 libkdcrawqt6-5                     	      27        0        1        0       26
13809 libkf5completion-dev               	      27        1       25        1        0
13810 liblivemedia107                    	      27        0        0        0       27
13811 liblivemedia23                     	      27        1        0        0       26
13812 liblog4cplus-1.1-9                 	      27        0        0        0       27
13813 liblttng-ust-common1               	      27        1       11        0       15
13814 liblttng-ust-ctl5                  	      27        0        0        0       27
13815 liblttng-ust1                      	      27        1       11        0       15
13816 libmath-convexhull-monotonechain-perl	      27        0        0        0       27
13817 libmath-geometry-voronoi-perl      	      27        0        0        0       27
13818 libmath-libm-perl                  	      27        0        0        0       27
13819 libmath-planepath-perl             	      27        0       27        0        0
13820 libmicrohttpd-dev                  	      27        0       26        1        0
13821 libmono-addins0.2-cil              	      27        0       27        0        0
13822 libmousex-strictconstructor-perl   	      27        2       24        1        0
13823 libmp3splt                         	      27        2       23        2        0
13824 libnfc6                            	      27        2       25        0        0
13825 libnm-glib-vpn1                    	      27        0        0        0       27
13826 libnss-sss                         	      27        3       21        0        3
13827 libntl44                           	      27        0        0        0       27
13828 libopencv-videoio3.2               	      27        0        0        0       27
13829 libortp16                          	      27        0        1        0       26
13830 libpam-sss                         	      27        3       22        0        2
13831 libpff1                            	      27        0        0        0       27
13832 libpod-pom-perl                    	      27        3       23        1        0
13833 libpolkit-tqt                      	      27        6       11        1        9
13834 libppix-quotelike-perl             	      27        0       27        0        0
13835 libppix-utilities-perl             	      27        0       27        0        0
13836 libprocessui7                      	      27        0        0        0       27
13837 libprotobuf-c-dev                  	      27        0       27        0        0
13838 libqcustomplot2.1                  	      27        0        0        0       27
13839 libqgis-3d3.22.16                  	      27        0        0        0       27
13840 libqgis-analysis3.22.16            	      27        0        0        0       27
13841 libqgis-server3.22.16              	      27        0        0        0       27
13842 libqgisgrass8-3.22.16              	      27        0        0        0       27
13843 libqgispython3.22.16               	      27        0        0        0       27
13844 libqt5datavisualization5           	      27        0        0        0       27
13845 libqt5serialport5-dev              	      27        0       27        0        0
13846 libquvi-scripts                    	      27        0       27        0        0
13847 libreoffice-help-cs                	      27        0        0        0       27
13848 libsecp256k1-1                     	      27        0        0        0       27
13849 libsgutils2-1.48                   	      27        0        0        0       27
13850 libsnmp-session-perl               	      27        6       21        0        0
13851 libspectre-dev                     	      27        0       27        0        0
13852 libsquashfs1                       	      27        0        0        0       27
13853 libsss-idmap0                      	      27        3       18        0        6
13854 libsss-nss-idmap0                  	      27        0        0        0       27
13855 libsvgsalamander-java              	      27        0        0        0       27
13856 libtepl-6-4                        	      27        0        0        0       27
13857 libtomcrypt0                       	      27        0        0        0       27
13858 libuniconf4.6                      	      27        0        0        0       27
13859 libunique-3.0-0                    	      27        0        0        0       27
13860 libusageenvironment1               	      27        1        0        0       26
13861 libusbmuxd-tools                   	      27        0       27        0        0
13862 libvirt-daemon-driver-network      	      27       13       12        2        0
13863 libvirt-daemon-driver-nodedev      	      27       13       11        2        1
13864 libvirt-daemon-driver-nwfilter     	      27       13       11        2        1
13865 libvirt-daemon-driver-secret       	      27       13       11        2        1
13866 libvirt-daemon-driver-storage      	      27       13       12        2        0
13867 libvtk7.1p                         	      27        0        1        0       26
13868 libwebsockets16                    	      27        1        2        0       24
13869 libwvstreams4.6-base               	      27        0        0        0       27
13870 libwvstreams4.6-extras             	      27        0        0        0       27
13871 libwx-glcanvas-perl                	      27        0       19        0        8
13872 libwxgtk-media3.0-gtk3-0v5         	      27        0        0        0       27
13873 libxcb-imdkit1                     	      27        1       13        0       13
13874 libxdelta2t64                      	      27        0        0        0       27
13875 libxfconf-0-dev                    	      27        0       26        1        0
13876 libxfreerdp-client1.1              	      27        0        0        0       27
13877 libxxx-perl                        	      27        2       25        0        0
13878 linphone-desktop                   	      27        2       25        0        0
13879 linux-headers-5.10.0-25-common     	      27        0       27        0        0
13880 linux-image-4.19.0-13-amd64        	      27        0       26        0        1
13881 linux-image-4.19.0-24-amd64        	      27        1       26        0        0
13882 linux-image-4.9.0-18-amd64         	      27        1       25        0        1
13883 linux-image-5.10.0-14-amd64        	      27        0       26        0        1
13884 lld-14                             	      27        0       25        2        0
13885 llvm-dev                           	      27        0        0        0       27
13886 lpr                                	      27        5       22        0        0
13887 lua-cjson                          	      27        5        8        0       14
13888 menu-l10n                          	      27        0        0        0       27
13889 moka-icon-theme                    	      27        0        0        0       27
13890 mongodb-org-server                 	      27       12       15        0        0
13891 musescore-general-soundfont        	      27        0        0        0       27
13892 mythes-cs                          	      27        0        0        0       27
13893 ncmpc                              	      27        1       26        0        0
13894 network-manager-l10n               	      27        2        0        3       22
13895 network-manager-strongswan         	      27        7       20        0        0
13896 nfs4-acl-tools                     	      27        1       26        0        0
13897 nheko                              	      27        3       24        0        0
13898 node-big.js                        	      27        0        1        0       26
13899 node-cli-spinners                  	      27        0        0        0       27
13900 node-emoji                         	      27        0        0        0       27
13901 node-emojis-list                   	      27        0        0        0       27
13902 ogmtools                           	      27        1       26        0        0
13903 opencubicplayer                    	      27        1       25        1        0
13904 opencubicplayer-doc                	      27        0        0        0       27
13905 pdf2svg                            	      27        1       24        2        0
13906 pff-tools                          	      27        2       25        0        0
13907 pia                                	      27        2       25        0        0
13908 plasma-desktop-doc                 	      27        0        0        0       27
13909 plasma-welcome                     	      27        6       20        1        0
13910 po4a                               	      27        0       27        0        0
13911 povray-includes                    	      27        0        0        0       27
13912 pppoeconf                          	      27        4       23        0        0
13913 pure-ftpd-common                   	      27        5       22        0        0
13914 python-certbot-apache              	      27        0        0        0       27
13915 python-xapian                      	      27        6       21        0        0
13916 python3-bcj                        	      27        1       14       12        0
13917 python3-brotlicffi                 	      27        5       20        2        0
13918 python3-commonmark                 	      27        1       26        0        0
13919 python3-exifread                   	      27        0       27        0        0
13920 python3-inflate64                  	      27        1       14       12        0
13921 python3-jsbeautifier               	      27        2       22        3        0
13922 python3-jsondiff                   	      27        3       23        1        0
13923 python3-jupyter-console            	      27        1       26        0        0
13924 python3-legacy-cgi                 	      27        1       11       15        0
13925 python3-multivolumefile            	      27        1       24        2        0
13926 python3-pyppmd                     	      27        1       14       12        0
13927 python3-pyqt6.qtmultimedia         	      27        1       16       10        0
13928 python3-pyzstd                     	      27        1       14       12        0
13929 python3-requests-file              	      27        2       20        5        0
13930 python3-shellingham                	      27        1       19        7        0
13931 python3-sss                        	      27        0       27        0        0
13932 python3-tld                        	      27        1       26        0        0
13933 python3-virtualenv-clone           	      27        1       26        0        0
13934 python3-yaswfp                     	      27        2       25        0        0
13935 qtxmlpatterns5-dev-tools           	      27        0       25        0        2
13936 ruby-tzinfo                        	      27        1       26        0        0
13937 rustdesk                           	      27        0       22        0        5
13938 s-tui                              	      27        0       26        1        0
13939 scantv                             	      27        1       26        0        0
13940 sddm-theme-maya                    	      27        0        0        0       27
13941 signon-ui-x11                      	      27        0       26        1        0
13942 sloccount                          	      27        1       25        1        0
13943 spyder-common                      	      27        0        0        0       27
13944 squashfs-tools-ng                  	      27        5       21        1        0
13945 sssd                               	      27        0        0        0       27
13946 sssd-ad                            	      27        0        1        0       26
13947 sssd-ad-common                     	      27        3       24        0        0
13948 sssd-common                        	      27        3       24        0        0
13949 sssd-ipa                           	      27        3       13        0       11
13950 sssd-krb5                          	      27        0        3        0       24
13951 sssd-krb5-common                   	      27        0        0        0       27
13952 sssd-ldap                          	      27        0        4        0       23
13953 sssd-proxy                         	      27        0        0        0       27
13954 sublime-text                       	      27        3       23        1        0
13955 swayidle                           	      27        4       20        3        0
13956 task-british-kde-desktop           	      27        0        0        0       27
13957 tcpick                             	      27        1       26        0        0
13958 tdeartwork-misc-trinity            	      27        0        0        0       27
13959 tdeiconedit-trinity                	      27        1       24        2        0
13960 tdepowersave-trinity               	      27        3       22        2        0
13961 tdescreensaver-trinity             	      27        0       25        2        0
13962 tdescreensaver-xsavers-trinity     	      27        0       25        2        0
13963 telnet-ssl                         	      27        3       24        0        0
13964 texlive-generic-extra              	      27        0        0        0       27
13965 thunderbird-l10n-it                	      27        2       23        2        0
13966 tk8.5                              	      27        3       24        0        0
13967 tqca-tls                           	      27        0        3        0       24
13968 trash-cli                          	      27        1       25        1        0
13969 unshield                           	      27        2       25        0        0
13970 uudeview                           	      27        3       24        0        0
13971 virtualbox-guest-additions-iso     	      27        0        0        0       27
13972 vtun                               	      27        5       22        0        0
13973 wamerican-insane                   	      27        3       23        1        0
13974 wapiti                             	      27        1       26        0        0
13975 wbritish-huge                      	      27        3       22        2        0
13976 webext-keepassxc-browser           	      27        0        0        0       27
13977 x11vnc-data                        	      27        0        0        0       27
13978 xfce4-mpc-plugin                   	      27        0        0        0       27
13979 xfonts-75dpi-transcoded            	      27        0        0        0       27
13980 yapf3                              	      27        0       27        0        0
13981 adequate                           	      26        5       20        1        0
13982 akonadi-backend-sqlite             	      26        0        4        0       22
13983 altermime                          	      26        1       25        0        0
13984 android-libnativebridge            	      26        0        0        0       26
13985 android-libnativeloader            	      26        0        0        0       26
13986 android-sdk                        	      26        4       22        0        0
13987 aspell-el                          	      26        0       25        1        0
13988 autoconf2.13                       	      26        0       26        0        0
13989 autofirma                          	      26        4       21        1        0
13990 catdvi                             	      26        3       23        0        0
13991 catimg                             	      26        1       25        0        0
13992 cervisia-trinity                   	      26        0       24        2        0
13993 ckermit                            	      26        1       25        0        0
13994 clang-13                           	      26        1       25        0        0
13995 clearlooks-phenix-purpy-theme      	      26        0        0        0       26
13996 cross-config                       	      26        0        0        0       26
13997 csound-plugins                     	      26        0        0        0       26
13998 dcmtk                              	      26        0       24        2        0
13999 debmake                            	      26        2       24        0        0
14000 diffoscope-minimal                 	      26        3       19        4        0
14001 doxygen-latex                      	      26        0        0        0       26
14002 dpkg-cross                         	      26        2       24        0        0
14003 dvb-apps                           	      26        3       23        0        0
14004 epub-utils                         	      26        0       25        1        0
14005 erlang-os-mon                      	      26        1       24        1        0
14006 fgetty                             	      26        6       20        0        0
14007 fonts-adf-irianis                  	      26        2        0        0       24
14008 fonts-adf-romande                  	      26        2        0        0       24
14009 fonts-adf-switzera                 	      26        2        0        0       24
14010 fonts-adf-tribun                   	      26        3        0        0       23
14011 fonts-aenigma                      	      26        1        1        0       24
14012 fonts-century-catalogue            	      26        1        0        0       25
14013 fonts-cmu                          	      26        1        2        0       23
14014 fonts-oldstandard                  	      26        0        1        0       25
14015 fonts-opendin                      	      26        0        0        0       26
14016 fonts-staypuft                     	      26        0        0        0       26
14017 freeplane                          	      26        0       24        2        0
14018 game-data-packager-runtime         	      26        0       26        0        0
14019 gconf-defaults-service             	      26        0        0        0       26
14020 gkrellmoon                         	      26        5       20        1        0
14021 glibc-doc-reference                	      26        0        0        0       26
14022 gnome-getting-started-docs         	      26        0        0        0       26
14023 gnome-themes-standard-data         	      26        0        0        0       26
14024 grace                              	      26        1       24        1        0
14025 gstreamer1.0-qt5                   	      26        0        0        0       26
14026 gtkwave                            	      26        0       26        0        0
14027 guitarix-lv2                       	      26        2       24        0        0
14028 ifstat                             	      26        0       26        0        0
14029 jsbeautifier                       	      26        3       20        3        0
14030 kaboodle-trinity                   	      26        0       24        2        0
14031 kbstate-trinity                    	      26        0       24        2        0
14032 kcoloredit-trinity                 	      26        0       24        2        0
14033 kcron-trinity                      	      26        0       24        2        0
14034 kgpg-trinity                       	      26        0       24        2        0
14035 kile                               	      26        2       23        1        0
14036 kleopatra-trinity                  	      26        0       24        2        0
14037 konq-plugins-trinity               	      26        3       21        2        0
14038 kopete                             	      26        1       25        0        0
14039 kopete-trinity                     	      26        2       22        2        0
14040 kruler-trinity                     	      26        0       24        2        0
14041 ksysv-trinity                      	      26        0       24        2        0
14042 kwave                              	      26        0       24        2        0
14043 libamd2.3.1                        	      26        0        0        0       26
14044 libarcus3                          	      26        0        0        0       26
14045 libaspell-dev                      	      26        1       24        1        0
14046 libbasicusageenvironment0          	      26        1        0        0       25
14047 libbellesip1                       	      26        0        3        0       23
14048 libbluray-bin                      	      26        0       26        0        0
14049 libboinc7                          	      26       10        8        0        8
14050 libc++1-14                         	      26        1       25        0        0
14051 libc++abi1-14                      	      26        1       25        0        0
14052 libcommons-pool-java               	      26        0        0        0       26
14053 libcpp-httplib0.11                 	      26        1        1        0       24
14054 libcvsservice0-trinity             	      26        0       24        2        0
14055 libdca-dev                         	      26        0       26        0        0
14056 libdebian-dpkgcross-perl           	      26        2       24        0        0
14057 libdislocker0.7                    	      26        0        0        0       26
14058 libeclipse-e4-ui-widgets-java      	      26        1       24        1        0
14059 libedataserverui-1.2-4t64          	      26        8        6        2       10
14060 libexiv2-13                        	      26        1        0        0       25
14061 libexpect-perl                     	      26        1       25        0        0
14062 libfcitx-gclient1                  	      26        3        8        0       15
14063 libfcitx5utils2                    	      26        1       13        0       12
14064 libfont-freetype-perl              	      26        0        0        0       26
14065 libfribidi-bin                     	      26        0       26        0        0
14066 libgetopt-argvfile-perl            	      26        2       23        1        0
14067 libghc-scientific-dev              	      26        1       25        0        0
14068 libgit2-dev                        	      26        2       24        0        0
14069 libical-dev                        	      26        1       24        1        0
14070 libinline-files-perl               	      26        2       24        0        0
14071 libjnr-netdb-java                  	      26        0        0        0       26
14072 libjs-openlayers                   	      26        0        0        0       26
14073 libkadm5srv8-heimdal               	      26        0        1        0       25
14074 libkf5kdelibs4support5t64          	      26        0        8        0       18
14075 libkopete4                         	      26        0        0        0       26
14076 libksgrd7                          	      26        0        0        0       26
14077 liblinphone++10                    	      26        0        3        0       23
14078 liblinphone10                      	      26        0        3        0       23
14079 libmediastreamer-data              	      26        0        0        0       26
14080 libmimic0                          	      26        0        0        0       26
14081 libmpv1                            	      26        0        1        0       25
14082 libmuffin0t64                      	      26        4        6        1       15
14083 libnetwork-ipv4addr-perl           	      26        4       21        1        0
14084 libnvidia-cbl                      	      26        0        0        0       26
14085 libopencv-videoio406t64            	      26        0        0        0       26
14086 libopenhmd0                        	      26        0        0        0       26
14087 libopenraw1                        	      26        0        0        0       26
14088 libopenshot-audio7                 	      26        0        0        0       26
14089 libplacebo-dev                     	      26        0       26        0        0
14090 libpoppler-qt4-4                   	      26        0        0        0       26
14091 libpresage-data                    	      26        0        0        0       26
14092 libpresage1v5                      	      26        3        8        0       15
14093 libqgis-app3.22.16                 	      26        0        0        0       26
14094 libqt5glib-2.0-0                   	      26        0        0        0       26
14095 libqt5location5-plugins            	      26        0        0        0       26
14096 libqt5websockets5-dev              	      26        0       25        1        0
14097 libqt6labsqmlmodels6               	      26        0        0        0       26
14098 libquvi7                           	      26        0        0        0       26
14099 libr1                              	      26        6       11        3        6
14100 libre2-3                           	      26        0        0        0       26
14101 libregfi1                          	      26        0        0        0       26
14102 libretro-core-info                 	      26        0        0        0       26
14103 librpmbuild3                       	      26        0        0        0       26
14104 librss1-trinity                    	      26        0        0        0       26
14105 libsensors-applet-plugin0          	      26        0        0        0       26
14106 libsmbclient-dev                   	      26        0       25        1        0
14107 libsonivox3                        	      26        0        0        0       26
14108 libsoxr-dev                        	      26        0       26        0        0
14109 libsoxr-lsr0                       	      26        0        0        0       26
14110 libsysprof-4                       	      26        0        0        0       26
14111 libtcnative-1                      	      26        6        7        0       13
14112 libterm-progressbar-perl           	      26        4       22        0        0
14113 libtqca                            	      26        0        0        0       26
14114 libtracker-sparql-1.0-0            	      26        0        0        0       26
14115 libuchardet-dev                    	      26        1       25        0        0
14116 libunistring-dev                   	      26        1       25        0        0
14117 libunwind-14                       	      26        1       25        0        0
14118 libvncclient0                      	      26        0        0        0       26
14119 libvtk6.3                          	      26        0        0        0       26
14120 libwildmidi1                       	      26        0        0        0       26
14121 libxbae4m                          	      26        0        0        0       26
14122 linux-headers-5.10.0-25-amd64      	      26        0       26        0        0
14123 linux-headers-5.10.0-9-common      	      26        0       26        0        0
14124 linux-image-4.19.0-22-amd64        	      26        1       25        0        0
14125 linux-image-5.10.0-16-amd64        	      26        0       25        0        1
14126 linux-image-5.10.0-22-amd64        	      26        0       26        0        0
14127 linux-image-6.10.9-amd64           	      26        1       25        0        0
14128 lsp-plugins-ladspa                 	      26        1       24        0        1
14129 mbr                                	      26        3       23        0        0
14130 monit                              	      26       10       16        0        0
14131 mp3splt                            	      26        3       23        0        0
14132 musl                               	      26        3       23        0        0
14133 neverball-common                   	      26        0        0        0       26
14134 node-array-find-index              	      26        0        0        0       26
14135 node-currently-unhandled           	      26        0        0        0       26
14136 node-death                         	      26        0        0        0       26
14137 node-imports-loader                	      26        0        0        0       26
14138 node-invariant                     	      26        0        0        0       26
14139 node-loader-utils                  	      26        0       25        0        1
14140 node-loud-rejection                	      26        0        0        0       26
14141 node-object-path                   	      26        0        0        0       26
14142 node-proper-lockfile               	      26        0        0        0       26
14143 node-request-capture-har           	      26        0        0        0       26
14144 node-sort-keys                     	      26        0        1        0       25
14145 node-strict-uri-encode             	      26        0        0        0       26
14146 node-tar-stream                    	      26        0        0        0       26
14147 ocrfeeder                          	      26        2       23        1        0
14148 okular-data                        	      26        2        0        0       24
14149 opensbi                            	      26        0        0        0       26
14150 openssh-blacklist-extra            	      26        0        0        0       26
14151 phonon4qt5-backend-gstreamer       	      26        0        1        0       25
14152 php7.4-soap                        	      26        9       17        0        0
14153 pipewire-media-session             	      26        0       26        0        0
14154 pnscan                             	      26        2       24        0        0
14155 polkit-agent-tde                   	      26        6       17        3        0
14156 postgresql-9.4                     	      26        9       17        0        0
14157 prboom-plus                        	      26        0       10        0       16
14158 prosper                            	      26        0        0        0       26
14159 python-blinker                     	      26        2       24        0        0
14160 python-cycler                      	      26        0       26        0        0
14161 python-pygame                      	      26        4       22        0        0
14162 python-subprocess32                	      26        0       26        0        0
14163 python3-astrometry                 	      26        0       25        1        0
14164 python3-binaryornot                	      26        0       26        0        0
14165 python3-certbot-nginx              	      26        7       19        0        0
14166 python3-gitlab                     	      26        0       26        0        0
14167 python3-pypdf                      	      26        1       21        4        0
14168 python3-pyqt6.qttexttospeech       	      26        1       15       10        0
14169 python3-python-utils               	      26        0       26        0        0
14170 python3-stl                        	      26        0       25        1        0
14171 python3-yubikey-manager            	      26        0        0        0       26
14172 python3.10-minimal                 	      26        3       23        0        0
14173 qml-module-org-kde-kaccounts       	      26        0        0        0       26
14174 qml6-module-qtwebview              	      26        0        0        0       26
14175 rdiff                              	      26        2       24        0        0
14176 readline-doc                       	      26        0        0        0       26
14177 reglookup                          	      26        2       24        0        0
14178 restic                             	      26        2       21        3        0
14179 retroarch                          	      26        2       24        0        0
14180 retroarch-assets                   	      26        0        0        0       26
14181 rickslab-gpu-utils                 	      26        2       24        0        0
14182 rmlint                             	      26        0       26        0        0
14183 ruby-memoist                       	      26        1       25        0        0
14184 ruby-mini-exiftool                 	      26        0       26        0        0
14185 rxvt-unicode-256color              	      26        0        5        0       21
14186 slashem-common                     	      26        6       20        0        0
14187 source-extractor                   	      26        0       26        0        0
14188 synaptic-trinity                   	      26        0        0        0       26
14189 systemctl-service-shim             	      26        5       21        0        0
14190 tcl-doc                            	      26        0        0        0       26
14191 tde-icons-mono-trinity             	      26        0        0        0       26
14192 tdeadmin-tdefile-plugins-trinity   	      26        0       24        2        0
14193 tdeartwork-emoticons-trinity       	      26        0        0        0       26
14194 tdeartwork-style-trinity           	      26        0       24        2        0
14195 tdeartwork-theme-window-trinity    	      26        0       24        2        0
14196 tdemultimedia-tdefile-plugins-trinity	      26        2       22        2        0
14197 tdenetwork-tdefile-plugins-trinity 	      26        0       24        2        0
14198 tdepim-tdefile-plugins-trinity     	      26        0       24        2        0
14199 telepathy-logger                   	      26        0       26        0        0
14200 thunar-gtkhash                     	      26        3        9        0       14
14201 tigervnc-xorg-extension            	      26        5       21        0        0
14202 unalz                              	      26        2       24        0        0
14203 virt-top                           	      26        1       25        0        0
14204 vobcopy                            	      26        2       24        0        0
14205 wabt                               	      26        5       20        1        0
14206 wine32-preloader                   	      26        0       25        1        0
14207 wmaker-utils                       	      26        0       26        0        0
14208 xfonts-cyrillic                    	      26        0        0        0       26
14209 xtrlock                            	      26        3       22        1        0
14210 xtrx-dkms                          	      26        3       22        1        0
14211 xvnc4viewer                        	      26        0        1        0       25
14212 yarnpkg                            	      26        3       23        0        0
14213 yubikey-personalization            	      26        2       23        1        0
14214 amdgpu-install                     	      25        0       23        2        0
14215 android-libart                     	      25        1       23        0        1
14216 apt-src                            	      25        0       23        2        0
14217 arduino-mk                         	      25        0       25        0        0
14218 aspell-nl                          	      25        1       24        0        0
14219 aspell-uk                          	      25        1       24        0        0
14220 blends-common                      	      25        1       23        1        0
14221 bluez-meshd                        	      25        0       25        0        0
14222 bmake                              	      25        1       24        0        0
14223 braa                               	      25        1       24        0        0
14224 cgoban                             	      25        1       24        0        0
14225 cl-trivial-gray-streams            	      25        0        0        0       25
14226 claws-mail-doc                     	      25        0        0        0       25
14227 claws-mail-fancy-plugin            	      25        0        1        0       24
14228 cme                                	      25        3       21        1        0
14229 collectd                           	      25        0        0        0       25
14230 cwm                                	      25        4       21        0        0
14231 dares                              	      25        4       20        1        0
14232 dc3dd                              	      25        2       23        0        0
14233 dcoprss-trinity                    	      25        0       23        2        0
14234 desktop-base-trinity               	      25        0        0        0       25
14235 dict-gcide                         	      25        1        1        0       23
14236 dzen2                              	      25        1       24        0        0
14237 easyeffects                        	      25        4       20        1        0
14238 enca                               	      25        1       24        0        0
14239 fluidr3mono-gm-soundfont           	      25        0        0        0       25
14240 fonts-adf-mekanus                  	      25        2        0        0       23
14241 fonts-ecolier-lignes-court         	      25        1        0        0       24
14242 fonts-jura                         	      25        1        0        0       24
14243 fonts-monofur                      	      25        0        0        0       25
14244 fonts-tlwg-purisa-ttf              	      25        1        0        0       24
14245 fonttools                          	      25        2       23        0        0
14246 gbrainy                            	      25        0       25        0        0
14247 gir1.2-clutter-gst-3.0             	      25        0        0        0       25
14248 gir1.2-xmlb-2.0                    	      25        0        0        0       25
14249 glslang-tools                      	      25        0       24        1        0
14250 golang-any                         	      25        0        0        0       25
14251 gpsd-clients                       	      25        2       23        0        0
14252 grokevt                            	      25        2       23        0        0
14253 hcxdumptool                        	      25        3       21        1        0
14254 hedgewars                          	      25        0       25        0        0
14255 hedgewars-data                     	      25        0        0        0       25
14256 hunspell-el                        	      25        0        0        0       25
14257 hyphen-it                          	      25        0        0        0       25
14258 i2pd                               	      25        8       17        0        0
14259 ipv6toolkit                        	      25        4       20        1        0
14260 juk-trinity                        	      25        0       23        2        0
14261 jython                             	      25        0       25        0        0
14262 kaddressbook-plugins-trinity       	      25        0       23        2        0
14263 kaffeine                           	      25        0       23        2        0
14264 kalarm-trinity                     	      25        0       23        2        0
14265 karm-trinity                       	      25        0       23        2        0
14266 kaudiocreator-trinity              	      25        0       23        2        0
14267 kcharselect-trinity                	      25        0       23        2        0
14268 kdat-trinity                       	      25        0       23        2        0
14269 kgamma-trinity                     	      25        2       21        2        0
14270 khelpcenter-data                   	      25        0        0        0       25
14271 klaptopdaemon-trinity              	      25        2       21        2        0
14272 klinkstatus-trinity                	      25        0       23        2        0
14273 kmag-trinity                       	      25        0       23        2        0
14274 kmilo-trinity                      	      25        3       20        2        0
14275 knetworkconf-trinity               	      25        1       22        2        0
14276 kontact-trinity                    	      25        0       23        2        0
14277 krdc-trinity                       	      25        0       23        2        0
14278 krec-trinity                       	      25        0       23        2        0
14279 kteatime-trinity                   	      25        1       22        2        0
14280 kview-trinity                      	      25        0       23        2        0
14281 kwifimanager-trinity               	      25        2       21        2        0
14282 libadwaita-1-dev                   	      25        0       23        2        0
14283 libarts1-mpeglib-trinity           	      25        2       21        2        0
14284 libaudgui6                         	      25        0        0        0       25
14285 libaudqt3                          	      25        0        0        0       25
14286 libavutil51                        	      25        0        0        0       25
14287 libboost-context1.83.0             	      25        9        6        0       10
14288 libboost-locale1.62.0              	      25        0        0        0       25
14289 libc6-armel-cross                  	      25        0        0        0       25
14290 libcanberra-dev                    	      25        0       25        0        0
14291 libcholmod2.1.2                    	      25        0        0        0       25
14292 libcommons-dbcp-java               	      25        0        0        0       25
14293 libcub-dev                         	      25        0        0        0       25
14294 libcupti-dev                       	      25        0       23        2        0
14295 libdigest-md5-file-perl            	      25        0       25        0        0
14296 libdlt2                            	      25        5        7        0       13
14297 libedata-book-1.2-25               	      25        0        1        0       24
14298 libequinox-osgi-java               	      25        0        2        0       23
14299 libexcalibur-logkit-java           	      25        0        0        0       25
14300 libfcitx-core0                     	      25        3        7        0       15
14301 libfltk-images1.3t64               	      25        0        0        0       25
14302 libgd-graph-perl                   	      25        2       23        0        0
14303 libgd-text-perl                    	      25        2       23        0        0
14304 libghc-integer-logarithms-dev      	      25        1       24        0        0
14305 libglazedlists-java                	      25        0        0        0       25
14306 libglib2.0-cil-dev                 	      25        0       25        0        0
14307 libgnome-games-support-2-4         	      25        0        0        0       25
14308 libgnome-games-support-common2     	      25        0        0        0       25
14309 libgnucap0                         	      25        0        0        0       25
14310 libgnuradio-fosphor3.9.0           	      25        0        0        0       25
14311 libgroupsock1                      	      25        1        0        0       24
14312 libgsl2                            	      25        0        0        0       25
14313 libgstreamer-plugins-bad0.10-0     	      25        1        0        0       24
14314 libhpdf-2.3.0                      	      25        0        0        0       25
14315 libhtsengine1                      	      25        0        0        0       25
14316 libhttp-parser2.1                  	      25        0        0        0       25
14317 libhttpasyncclient-java            	      25        0        0        0       25
14318 libhx32                            	      25        3        7        0       15
14319 libicu76                           	      25       15        0        6        4
14320 libiksemel3                        	      25        3        9        0       13
14321 libimobiledevice4                  	      25        0        2        0       23
14322 libisns0t64                        	      25        0        2        0       23
14323 libjs-cropper                      	      25        0        0        0       25
14324 libjs-jquery-scrollto              	      25        0        0        0       25
14325 libkdecorations2private6           	      25        1        1        0       23
14326 libkf5jobwidgets-dev               	      25        0       24        1        0
14327 libkf5solid-dev                    	      25        1       23        1        0
14328 libkf5widgetsaddons-doc            	      25        0        0        0       25
14329 libkf6texttemplate6                	      25        8        2        0       15
14330 libksignalplotter7                 	      25        0        0        0       25
14331 liblinear1                         	      25        0        0        0       25
14332 libmate-slab0t64                   	      25        0        0        0       25
14333 libmate-window-settings1t64        	      25        0        0        0       25
14334 libmdb3                            	      25        0        0        0       25
14335 libmdbsql3                         	      25        0        0        0       25
14336 libmicroba-java                    	      25        0        0        0       25
14337 libnet-telnet-perl                 	      25        3       22        0        0
14338 libnl-genl-3-dev                   	      25        0        0        0       25
14339 libnm-gtk0                         	      25        0        0        0       25
14340 libokular6core3                    	      25        3        0        0       22
14341 libplexus-compiler-java            	      25        0        0        0       25
14342 libplexus-languages-java           	      25        0        0        0       25
14343 libpython3.10                      	      25        1        0        0       24
14344 libqcoro6core0t64                  	      25        0        0        0       25
14345 libqmi-glib1                       	      25        0        0        0       25
14346 libqt6multimediaquick6             	      25        0        0        0       25
14347 libreoffice-style-karasa-jaga      	      25        1       22        2        0
14348 libresample1                       	      25        2        9        0       14
14349 libsane-dev                        	      25        0       24        1        0
14350 libsbuild-perl                     	      25        2       20        3        0
14351 libsensors4-dev                    	      25        1       15        0        9
14352 libshp4                            	      25        0        0        0       25
14353 libsigrokdecode4                   	      25        0        0        0       25
14354 libsmi2t64                         	      25        0        0        0       25
14355 libsoundtouch0                     	      25        0        0        0       25
14356 libsoup-3.0-dev                    	      25        0       24        1        0
14357 libspin-java                       	      25        0        0        0       25
14358 libsword1.9.0                      	      25        0        0        0       25
14359 libsysprof-ui-5                    	      25        0        0        0       25
14360 libtar0                            	      25        0        0        0       25
14361 libtdegames1-trinity               	      25        0        0        0       25
14362 libtesseract-dev                   	      25        0       23        2        0
14363 libtext-unaccent-perl              	      25        0        0        0       25
14364 libtoxcore2                        	      25        1        3        0       21
14365 libumfpack5.6.2                    	      25        0        0        0       25
14366 libunwind-19                       	      25        3       22        0        0
14367 libwps-0.3-3                       	      25        0        0        0       25
14368 libwxsqlite3-3.2-0                 	      25        0        0        0       25
14369 libxml-rss-perl                    	      25        0       24        1        0
14370 libxmlsec1-gcrypt                  	      25        0        0        0       25
14371 libxmlsec1-gnutls                  	      25        0        0        0       25
14372 libyaml-cpp-dev                    	      25        1       24        0        0
14373 libyyjson0                         	      25        0        0        0       25
14374 linux-headers-5.10.0-21-amd64      	      25        0       25        0        0
14375 linux-headers-5.10.0-21-common     	      25        0       25        0        0
14376 linux-headers-5.10.0-9-amd64       	      25        0       25        0        0
14377 linux-image-5.10.0-10-amd64        	      25        0       24        0        1
14378 lnav                               	      25        1       24        0        0
14379 mame-tools                         	      25        0       25        0        0
14380 medusa                             	      25        1       24        0        0
14381 mpeglib-trinity                    	      25        0       23        2        0
14382 munin                              	      25       11       14        0        0
14383 neverball                          	      25        1       24        0        0
14384 neverball-data                     	      25        0        0        0       25
14385 nginx-light                        	      25        4        6        0       15
14386 nocache                            	      25        3       22        0        0
14387 node-corepack                      	      25        2       15        8        0
14388 nullmailer                         	      25       10       15        0        0
14389 obsidian-icon-theme                	      25        0        0        0       25
14390 okular-doc                         	      25        0        0        0       25
14391 oneko                              	      25        0       25        0        0
14392 openbios-ppc                       	      25        0        0        0       25
14393 pcaputils                          	      25        5       18        2        0
14394 pepperflashplugin-nonfree          	      25        0       25        0        0
14395 pgagent                            	      25        1       22        2        0
14396 php-dev                            	      25        0       19        6        0
14397 php-redis                          	      25        2        0        0       23
14398 php7.3-intl                        	      25        8       17        0        0
14399 php8.2-dev                         	      25        0       21        4        0
14400 pidgin-otr                         	      25        2       23        0        0
14401 pnmixer                            	      25        3       20        2        0
14402 postgresql-doc                     	      25        0        0        0       25
14403 presage                            	      25        0       25        0        0
14404 psi                                	      25        2       23        0        0
14405 pulseview                          	      25        0       25        0        0
14406 pyqt5-dev                          	      25        0       22        1        2
14407 python-qt4                         	      25        0       25        0        0
14408 python3-audioread                  	      25        0       24        1        0
14409 python3-cookiecutter               	      25        0       25        0        0
14410 python3-exif                       	      25        0        0        0       25
14411 python3-imaplib2                   	      25        2       23        0        0
14412 python3-ipy                        	      25        2       23        0        0
14413 python3-poppler-qt5                	      25        1       22        2        0
14414 python3-prettytable                	      25        1       23        1        0
14415 python3-pyelftools                 	      25        1       24        0        0
14416 python3-pyls-spyder                	      25        0       25        0        0
14417 python3-pytest-mock                	      25        0       25        0        0
14418 python3-semantic-version           	      25        2       23        0        0
14419 python3-spyder                     	      25        0       25        0        0
14420 python3-spyder-kernels             	      25        0       25        0        0
14421 python3-textdistance               	      25        0       25        0        0
14422 python3-three-merge                	      25        0       25        0        0
14423 python3-wurlitzer                  	      25        0       25        0        0
14424 python3.10                         	      25        0       25        0        0
14425 qashctl                            	      25        1       24        0        0
14426 qgit                               	      25        2       22        1        0
14427 quotatool                          	      25        8       17        0        0
14428 qv4l2                              	      25        0       25        0        0
14429 regionset                          	      25        1       24        0        0
14430 remind-tools                       	      25        2       19        4        0
14431 reprepro                           	      25        1       21        3        0
14432 ri                                 	      25        0        0        0       25
14433 ruby-erubis                        	      25        2       23        0        0
14434 ruby-fog-core                      	      25        1       24        0        0
14435 ruby-fog-json                      	      25        1       24        0        0
14436 ruby-fog-libvirt                   	      25        1       24        0        0
14437 ruby-fog-xml                       	      25        1       24        0        0
14438 ruby-formatador                    	      25        1       24        0        0
14439 ruby-gdk3                          	      25        2       23        0        0
14440 ruby-libvirt                       	      25        1       24        0        0
14441 ruby-net-http-digest-auth          	      25        0       25        0        0
14442 ruby-rmagick                       	      25        0       25        0        0
14443 samdump2                           	      25        2       23        0        0
14444 sbuild                             	      25        2       20        3        0
14445 sdcc-doc                           	      25        0        0        0       25
14446 sensors-applet                     	      25        0        0        0       25
14447 smb4k                              	      25        1       24        0        0
14448 smem                               	      25        2       23        0        0
14449 sonic-visualiser                   	      25        1       22        2        0
14450 splint                             	      25        0       24        1        0
14451 splint-data                        	      25        0       24        1        0
14452 sshguard                           	      25        6       19        0        0
14453 steamcmd                           	      25        0       25        0        0
14454 syslog-ng-mod-add-contextual-data  	      25        8       17        0        0
14455 syslog-ng-mod-graphite             	      25        8       17        0        0
14456 syslog-ng-mod-python               	      25        7       18        0        0
14457 syslog-ng-mod-riemann              	      25        8       17        0        0
14458 sysvbanner                         	      25        1       24        0        0
14459 tcpflow                            	      25        3       21        1        0
14460 tcptrack                           	      25        1       24        0        0
14461 tde-core-trinity                   	      25        0        0        0       25
14462 tdeaddons-tdefile-plugins-trinity  	      25        3       20        2        0
14463 tdeadmin-trinity                   	      25        0        0        0       25
14464 tdeartwork-trinity                 	      25        0        0        0       25
14465 tdednssd-trinity                   	      25        3       20        2        0
14466 tdefilereplace-trinity             	      25        0       23        2        0
14467 tdemultimedia-kappfinder-data-trinity	      25        0        0        0       25
14468 tdenetwork-filesharing-trinity     	      25        1       22        2        0
14469 tdenetwork-trinity                 	      25        0        0        0       25
14470 tdewebdev-trinity                  	      25        0        0        0       25
14471 tesseract-ocr-spa                  	      25        0        0        0       25
14472 testssl.sh                         	      25        1       24        0        0
14473 tiger                              	      25        9       15        1        0
14474 tuxguitar                          	      25        2       22        1        0
14475 twin4-trinity                      	      25        0        0        0       25
14476 ueberzug                           	      25        2       21        2        0
14477 vagrant-libvirt                    	      25        1       24        0        0
14478 wdm                                	      25        3       22        0        0
14479 wdutch                             	      25        0       25        0        0
14480 xawtv                              	      25        1       24        0        0
14481 xfwm4-themes                       	      25        0        0        0       25
14482 xkcdpass                           	      25        2       23        0        0
14483 yara                               	      25        1       24        0        0
14484 aeskeyfind                         	      24        2       22        0        0
14485 afflib-tools                       	      24        1       23        0        0
14486 amor-trinity                       	      24        0       22        2        0
14487 android-libetc1                    	      24        0        0        0       24
14488 astyle                             	      24        0       24        0        0
14489 atlantik-trinity                   	      24        0       22        2        0
14490 atlantikdesigner-trinity           	      24        0       22        2        0
14491 atomix                             	      24        3       21        0        0
14492 atomix-data                        	      24        0        0        0       24
14493 bb                                 	      24        0       24        0        0
14494 blinken-trinity                    	      24        0       22        2        0
14495 bruteforce-salted-openssl          	      24        1       23        0        0
14496 bspwm                              	      24        1       23        0        0
14497 btrfs-compsize                     	      24        0       24        0        0
14498 calligrastage                      	      24        1       21        2        0
14499 calligrastage-data                 	      24        0        0        0       24
14500 chaosreader                        	      24        1       23        0        0
14501 cl-flexi-streams                   	      24        0        0        0       24
14502 cl-ppcre                           	      24        0        0        0       24
14503 claws-mail-extra-plugins           	      24        0        0        0       24
14504 courier-authdaemon                 	      24        3       21        0        0
14505 cups-backend-bjnp                  	      24        1       23        0        0
14506 daemonize                          	      24        0       24        0        0
14507 darkplaces                         	      24        1       23        0        0
14508 dclock                             	      24        1       23        0        0
14509 dexdump                            	      24        0       24        0        0
14510 dirb                               	      24        1       23        0        0
14511 draw.io                            	      24        0        0        0       24
14512 ed2k-hash                          	      24        1       23        0        0
14513 erlang-eldap                       	      24        0       23        1        0
14514 eyesapplet-trinity                 	      24        0       22        2        0
14515 fasttrack-archive-keyring          	      24        0        0        0       24
14516 fifteenapplet-trinity              	      24        0       22        2        0
14517 fonts-f500                         	      24        0        0        0       24
14518 fonts-ibm-plex                     	      24        0        5        0       19
14519 fonts-radisnoir                    	      24        0        0        0       24
14520 fotoxx-common                      	      24        0        0        0       24
14521 gcc-14-multilib                    	      24        0        0        0       24
14522 gfortran-13                        	      24        1       22        1        0
14523 ghc-prof                           	      24        0       22        0        2
14524 gimp-gluas                         	      24        0       24        0        0
14525 gir1.2-dbusmenu-glib-0.4           	      24        0        0        0       24
14526 gir1.2-gee-0.8                     	      24        0        0        0       24
14527 gkrelltop                          	      24        5       19        0        0
14528 gnome-boxes                        	      24        0       22        2        0
14529 gnome-documents                    	      24        0       23        1        0
14530 gopher                             	      24        0       24        0        0
14531 htmldoc                            	      24        2       21        1        0
14532 htmldoc-common                     	      24        0        0        0       24
14533 hunspell-ro                        	      24        0        0        0       24
14534 indi-trinity                       	      24        0       22        2        0
14535 info2www                           	      24        0       23        1        0
14536 isenkram-cli                       	      24        5       17        2        0
14537 jami                               	      24        3       20        1        0
14538 jami-daemon                        	      24        1        9        1       13
14539 kalzium-data-trinity               	      24        0        0        0       24
14540 kalzium-trinity                    	      24        0       22        2        0
14541 kanagram-trinity                   	      24        0       22        2        0
14542 kandy-trinity                      	      24        0       22        2        0
14543 kasteroids-trinity                 	      24        0        0        0       24
14544 katomic-trinity                    	      24        0        0        0       24
14545 kbackgammon-trinity                	      24        0        0        0       24
14546 kbattleship-trinity                	      24        0        0        0       24
14547 kblackbox-trinity                  	      24        0        0        0       24
14548 kbounce-trinity                    	      24        0        0        0       24
14549 kbruch-trinity                     	      24        0       22        2        0
14550 kde-style-breeze-data              	      24        0        0        0       24
14551 kdf-trinity                        	      24        0       22        2        0
14552 kdict-trinity                      	      24        0       22        2        0
14553 kdoctools6                         	      24        4       12        8        0
14554 keduca-trinity                     	      24        0       22        2        0
14555 kfloppy-trinity                    	      24        0       22        2        0
14556 kgeography-data-trinity            	      24        0        0        0       24
14557 kgeography-trinity                 	      24        0       22        2        0
14558 khangman-trinity                   	      24        0       22        2        0
14559 kimagemapeditor-trinity            	      24        0       22        2        0
14560 kiten-trinity                      	      24        0       22        2        0
14561 klatin-trinity                     	      24        0       22        2        0
14562 klettres-data-trinity              	      24        0        0        0       24
14563 klettres-trinity                   	      24        0       22        2        0
14564 kmailcvt-trinity                   	      24        0       22        2        0
14565 kmoon-trinity                      	      24        0       22        2        0
14566 kmplot-trinity                     	      24        0       22        2        0
14567 knewsticker-trinity                	      24        0       22        2        0
14568 kolourpaint-trinity                	      24        1       21        2        0
14569 kommander-trinity                  	      24        0       22        2        0
14570 korn-trinity                       	      24        2       20        2        0
14571 kpercentage-trinity                	      24        0       22        2        0
14572 kpf-trinity                        	      24        1       21        2        0
14573 kpovmodeler-trinity                	      24        0       22        2        0
14574 kppp-trinity                       	      24        0       22        2        0
14575 krfb-trinity                       	      24        3       19        2        0
14576 kscd-trinity                       	      24        0       22        2        0
14577 ksig-trinity                       	      24        0       22        2        0
14578 ksirc-trinity                      	      24        0       22        2        0
14579 ksnake-trinity                     	      24        0        0        0       24
14580 kstars-data-trinity                	      24        0        0        0       24
14581 kstars-trinity                     	      24        0       22        2        0
14582 ktalkd-trinity                     	      24        0       22        2        0
14583 ktimer-trinity                     	      24        0       22        2        0
14584 ktnef-trinity                      	      24        0       22        2        0
14585 ktouch-trinity                     	      24        0       22        2        0
14586 kturtle-trinity                    	      24        0       22        2        0
14587 kuickshow-trinity                  	      24        2       20        2        0
14588 kverbos-trinity                    	      24        0       22        2        0
14589 kviewshell-trinity                 	      24        0       22        2        0
14590 kvoctrain-trinity                  	      24        0       22        2        0
14591 kweather-trinity                   	      24        1       21        2        0
14592 kwordquiz-trinity                  	      24        0       22        2        0
14593 kworldclock-trinity                	      24        0       22        2        0
14594 kxsldbg-trinity                    	      24        0       22        2        0
14595 lib32gcc-14-dev                    	      24        0        0        0       24
14596 lib32z1-dev                        	      24        0       24        0        0
14597 libargtable2-0                     	      24        0        0        0       24
14598 libarts1-audiofile-trinity         	      24        2       20        2        0
14599 libarts1-xine-trinity              	      24        2       20        2        0
14600 libasan8-arm64-cross               	      24        0        0        0       24
14601 libasio-dev                        	      24        0        0        0       24
14602 libav-tools                        	      24        1       19        3        1
14603 libbareword-filehandles-perl       	      24        0        0        0       24
14604 libboost-atomic1.62.0              	      24        0        0        0       24
14605 libboost-coroutine1.83.0           	      24        9        6        0        9
14606 libc++1-19                         	      24        3       21        0        0
14607 libc++abi1-19                      	      24        3       21        0        0
14608 libcamera0.0.3                     	      24        1       10        0       13
14609 libclang1-16                       	      24        1       23        0        0
14610 libclass-dbi-abstractsearch-perl   	      24        0       24        0        0
14611 libclass-mix-perl                  	      24        0       24        0        0
14612 libcmark-gfm-extensions0.29.0.gfm.6	      24        0        0        0       24
14613 libcmark-gfm0.29.0.gfm.6           	      24        0        0        0       24
14614 libcodec2-dev                      	      24        0       22        2        0
14615 libcomedi0                         	      24        2       22        0        0
14616 libconfig-model-lcdproc-perl       	      24        2       21        1        0
14617 libdrumstick-alsa2                 	      24        0        0        0       24
14618 libdrumstick-rt-backends           	      24        0        0        0       24
14619 libelementary-bin                  	      24        1       22        1        0
14620 libemail-find-perl                 	      24        4       20        0        0
14621 libevent-perl                      	      24        1       23        0        0
14622 libfcitx-qt5-1                     	      24        0        0        0       24
14623 libfcitx-qt5-data                  	      24        0        0        0       24
14624 libfcitx5-qt-data                  	      24        0        0        0       24
14625 libfcitx5config6                   	      24        1       13        0       10
14626 libfile-ncopy-perl                 	      24        4       20        0        0
14627 libgfortran-13-dev                 	      24        0        0        0       24
14628 libghc-attoparsec-dev              	      24        1       23        0        0
14629 libghc-unordered-containers-dev    	      24        1       23        0        0
14630 libghc-vector-dev                  	      24        1       23        0        0
14631 libgphobos3                        	      24        0        0        0       24
14632 libgxw0                            	      24        0        0        0       24
14633 libhsqldb-java                     	      24        0        0        0       24
14634 libhwasan0-arm64-cross             	      24        0        0        0       24
14635 libidw-java                        	      24        0        0        0       24
14636 libjava-string-similarity-java     	      24        0        0        0       24
14637 libjempbox-java                    	      24        0        0        0       24
14638 libjs-jquery-cookie                	      24        0        0        0       24
14639 libkf5archive-dev                  	      24        1       22        1        0
14640 libkf5bookmarks-dev                	      24        0       23        1        0
14641 libkf5codecs-doc                   	      24        0        0        0       24
14642 libkf5followupreminder5            	      24        0        0        0       24
14643 libkf5guiaddons-doc                	      24        0        0        0       24
14644 libkf5kdepimdbusinterfaces5        	      24        0        0        0       24
14645 libkf5kio-dev                      	      24        1       21        2        0
14646 libkf5sendlater5                   	      24        0        0        0       24
14647 libkf6baloowidgets6                	      24        4        3        1       16
14648 libkiten1-trinity                  	      24        0        0        0       24
14649 libkonq5-templates                 	      24        0        0        0       24
14650 libmagickcore-dev                  	      24        0        0        0       24
14651 libmateweather1t64                 	      24        9        6        1        8
14652 libmaven-compiler-plugin-java      	      24        0        0        0       24
14653 libmaven-shared-incremental-java   	      24        0        0        0       24
14654 libmediastreamer12                 	      24        0        1        0       23
14655 libmtp-dev                         	      24        0       24        0        0
14656 libmultidimensional-perl           	      24        0        0        0       24
14657 libnet-oauth-perl                  	      24        0       22        2        0
14658 libnet-ssh2-perl                   	      24        0        0        0       24
14659 libnetfilter-cthelper0             	      24        4        1        0       19
14660 libnghttp3-dev                     	      24        1       14        9        0
14661 libocct-foundation-7.8             	      24        0        0        0       24
14662 libocct-modeling-algorithms-7.8    	      24        0        0        0       24
14663 libocct-modeling-data-7.8          	      24        0        0        0       24
14664 liboce-foundation11                	      24        0        0        0       24
14665 liboce-modeling11                  	      24        0        0        0       24
14666 liboggkate1                        	      24        0        0        0       24
14667 libopencv-contrib406t64            	      24        0        1        0       23
14668 libopencv-highgui406t64            	      24        0        0        0       24
14669 libostyle1t64                      	      24        0        0        0       24
14670 libparse-yapp-perl                 	      24        1       23        0        0
14671 libpng12-dev                       	      24        1       23        0        0
14672 libpodofo0.9.6                     	      24        0        0        0       24
14673 libprotobuf-java                   	      24        0        0        0       24
14674 libproxy1                          	      24        0        0        0       24
14675 libreoffice-texmaths               	      24        3       21        0        0
14676 librpm8                            	      24        0        0        0       24
14677 librpmio8                          	      24        0        0        0       24
14678 libsdl-ttf2.0-dev                  	      24        0       24        0        0
14679 libset-object-perl                 	      24        0        0        0       24
14680 libsfml-dev                        	      24        0        0        0       24
14681 libsnappy-dev                      	      24        0       23        1        0
14682 libsql-abstract-limit-perl         	      24        0       24        0        0
14683 libsubtitleeditor0                 	      24        0        0        0       24
14684 libswscale2                        	      24        0        0        0       24
14685 libtdeedu3-trinity                 	      24        0        0        0       24
14686 libtext-levenshtein-damerau-perl   	      24        1       22        1        0
14687 libthrust-dev                      	      24        0       23        1        0
14688 libtinyxml-dev                     	      24        0       24        0        0
14689 libtsan2-arm64-cross               	      24        0        0        0       24
14690 libunirest-java-java               	      24        0        0        0       24
14691 libvirt-daemon-driver-interface    	      24       11       10        2        1
14692 libvirt-daemon-driver-storage-disk 	      24       11       10        2        1
14693 libvirt-daemon-driver-storage-logical	      24       11       10        2        1
14694 libvirt-daemon-driver-storage-mpath	      24       11       10        2        1
14695 libvirt-daemon-driver-storage-scsi 	      24       11       10        2        1
14696 libvirt-daemon-lock                	      24        1       19        4        0
14697 libvirt-daemon-plugin-lockd        	      24        0        0        0       24
14698 libvirt-daemon-plugin-sanlock      	      24        1       19        4        0
14699 libwxgtk-media3.0-gtk3-dev         	      24        0        0        0       24
14700 libx32gcc-14-dev                   	      24        0        0        0       24
14701 libxcb-xinput-dev                  	      24        0       24        0        0
14702 libxfce4util6                      	      24        0        0        0       24
14703 libxfcegui4-4                      	      24        0        0        0       24
14704 libxmhtml1.1                       	      24        0        0        0       24
14705 libxp6                             	      24        1        0        0       23
14706 linphone                           	      24        0        1        0       23
14707 linux-headers-6.1.0-12-common      	      24        0       24        0        0
14708 linux-headers-6.1.0-20-amd64       	      24        1       23        0        0
14709 linux-headers-6.1.0-20-common      	      24        1       23        0        0
14710 linux-image-4.9.0-13-amd64         	      24        1       21        0        2
14711 linux-image-4.9.0-16-amd64         	      24        1       22        0        1
14712 linux-image-5.10.0-15-amd64        	      24        0       23        0        1
14713 linuxdoc-tools                     	      24        1       21        2        0
14714 linuxlogo                          	      24        0       24        0        0
14715 lisa-trinity                       	      24        3       19        2        0
14716 lsp-plugins-jack                   	      24        0       23        0        1
14717 mac-robber                         	      24        1       23        0        0
14718 man2html                           	      24        1       21        2        0
14719 memdump                            	      24        1       23        0        0
14720 mjpegtools-gtk                     	      24        1       23        0        0
14721 mppenc                             	      24        0       24        0        0
14722 nasty                              	      24        1       23        0        0
14723 noatun-plugins-trinity             	      24        0       22        2        0
14724 noatun-trinity                     	      24        2       20        2        0
14725 offlineimap3                       	      24        3       21        0        0
14726 openbios-sparc                     	      24        0        0        0       24
14727 openshot-qt-doc                    	      24        0        0        0       24
14728 php-memcache                       	      24        4       20        0        0
14729 php7.4-bz2                         	      24        7       17        0        0
14730 pipewire-v4l2                      	      24        0       22        2        0
14731 puddletag                          	      24        1       22        1        0
14732 python-ipaddr                      	      24        6       18        0        0
14733 python-matplotlib                  	      24        3       21        0        0
14734 python-matplotlib2-data            	      24        0        0        0       24
14735 python-requests-doc                	      24        0        0        0       24
14736 python3-click-log                  	      24        0       23        1        0
14737 python3-keyutils                   	      24        6       18        0        0
14738 python3-mdx-math                   	      24        0       24        0        0
14739 python3-pylsp-black                	      24        0       24        0        0
14740 python3-pyregfi                    	      24        2       22        0        0
14741 python3-rsa                        	      24        1       23        0        0
14742 python3-xlsxwriter                 	      24        6       18        0        0
14743 python3-yubico                     	      24        0       24        0        0
14744 python3.13-venv                    	      24        1       16        7        0
14745 qml-module-qt-labs-animation       	      24        0        0        0       24
14746 qtcurve-l10n                       	      24        0        0        0       24
14747 quanta-data-trinity                	      24        0       22        2        0
14748 quanta-trinity                     	      24        0       22        2        0
14749 radvd                              	      24        3       21        0        0
14750 razor                              	      24        8       16        0        0
14751 ruby-activesupport                 	      24        1       22        1        0
14752 ruby-gtk3                          	      24        2       22        0        0
14753 ruby-jwt                           	      24        1       23        0        0
14754 ruby-sass                          	      24        0       24        0        0
14755 ruby-spider                        	      24        0       24        0        0
14756 sigil                              	      24        0       24        0        0
14757 sigil-data                         	      24        0        0        0       24
14758 slime                              	      24        2       22        0        0
14759 sng                                	      24        3       18        3        0
14760 subtitleeditor                     	      24        1       23        0        0
14761 superkaramba-trinity               	      24        0       22        2        0
14762 syslinux-efi                       	      24        0       24        0        0
14763 tcl-signal                         	      24        0        0        0       24
14764 tcl8.6-doc                         	      24        0        0        0       24
14765 tdeedu-data-trinity                	      24        0        0        0       24
14766 tdegames-card-data-trinity         	      24        0        0        0       24
14767 tdegames-trinity                   	      24        0        0        0       24
14768 tdepim-trinity                     	      24        0        0        0       24
14769 tdepim-wizards-trinity             	      24        0       22        2        0
14770 tdessh-trinity                     	      24        0       22        2        0
14771 tdetoys-trinity                    	      24        0        0        0       24
14772 thunar-font-manager                	      24        3       11        0       10
14773 ttf-ancient-fonts                  	      24        0        0        0       24
14774 ufraw                              	      24        1       23        0        0
14775 unclutter                          	      24        9       15        0        0
14776 veracrypt                          	      24        2       21        1        0
14777 vfu                                	      24        0       21        0        3
14778 voikko-fi                          	      24        2       21        1        0
14779 wamerican-huge                     	      24        2       21        1        0
14780 warmux                             	      24        1       22        1        0
14781 warmux-data                        	      24        0        0        0       24
14782 webalizer                          	      24        6       18        0        0
14783 wfuzz                              	      24        1       23        0        0
14784 wswiss                             	      24        2       21        1        0
14785 x11proto-fonts-dev                 	      24        0        5        0       19
14786 xdg-desktop-portal-wlr             	      24        0       21        3        0
14787 xfig-doc                           	      24        0        5        0       19
14788 xzdec                              	      24        3       19        2        0
14789 aesfix                             	      23        2       21        0        0
14790 age                                	      23        0       22        1        0
14791 an                                 	      23        1       19        3        0
14792 arpwatch                           	      23        5       18        0        0
14793 calligra                           	      23        0        0        1       22
14794 calligrasheets-data                	      23        0        0        0       23
14795 cewl                               	      23        1       22        0        0
14796 cl-swank                           	      23        0        0        0       23
14797 courier-authlib-userdb             	      23        2       21        0        0
14798 cowpatty                           	      23        1       22        0        0
14799 crack                              	      23        0       23        0        0
14800 cura                               	      23        2       20        1        0
14801 curlftpfs                          	      23        1       22        0        0
14802 curseofwar-common                  	      23        0        0        0       23
14803 dhcpcd5                            	      23        2        3        0       18
14804 docbook5-xml                       	      23        0        0        0       23
14805 drumstick-data                     	      23        0        0        0       23
14806 elinks-doc                         	      23        0        0        0       23
14807 elpa-with-editor                   	      23        3       20        0        0
14808 epiphany-data                      	      23        0        0        0       23
14809 erlang-eunit                       	      23        0       22        1        0
14810 fcitx-frontend-qt5                 	      23        1        4        0       18
14811 fcitx-module-dbus                  	      23        3        7        0       13
14812 fcitx-module-x11                   	      23        3        7        0       13
14813 fcitx-modules                      	      23        3        7        0       13
14814 fdm-materials                      	      23        0        0        0       23
14815 fonts-kacst                        	      23        0        1        0       22
14816 fonts-spleen                       	      23        0        0        0       23
14817 fonts-takao-mincho                 	      23        0        0        0       23
14818 fonts-tlwg-garuda-ttf              	      23        0        0        0       23
14819 fonts-tomsontalks                  	      23        0        0        0       23
14820 fonts-ubuntu-title                 	      23        0        0        0       23
14821 fp-compiler-3.2.0                  	      23        2       20        1        0
14822 fp-units-rtl-3.2.0                 	      23        0        0        0       23
14823 fp-utils-3.2.0                     	      23        3       19        1        0
14824 fpc-source-3.2.0                   	      23        0        0        0       23
14825 gambas3-gb-image                   	      23        1       20        2        0
14826 gambas3-runtime                    	      23        1       20        2        0
14827 gifsicle                           	      23        2       20        1        0
14828 gir1.2-mate-panel                  	      23        0        0        0       23
14829 gitmagic                           	      23        0        0        0       23
14830 gstreamer0.10-x                    	      23        1        0        0       22
14831 gtk2-engines-cleanice              	      23        0       22        1        0
14832 guitarix                           	      23        0       22        1        0
14833 guitarix-common                    	      23        0        0        0       23
14834 highlight-common                   	      23        0        0        0       23
14835 jupyter-console                    	      23        3       20        0        0
14836 kamoso                             	      23        0       23        0        0
14837 kde-style-qtcurve-qt4              	      23        0        0        0       23
14838 kdvi-trinity                       	      23        0       21        2        0
14839 kenolaba-trinity                   	      23        0        0        0       23
14840 kfax-trinity                       	      23        0       21        2        0
14841 kfaxview-trinity                   	      23        0       21        2        0
14842 kfouleggs-trinity                  	      23        0        0        0       23
14843 kgoldrunner-trinity                	      23        0        0        0       23
14844 kjots-trinity                      	      23        0       21        2        0
14845 kjumpingcube-trinity               	      23        0        0        0       23
14846 klickety-trinity                   	      23        0        0        0       23
14847 klines-trinity                     	      23        0        0        0       23
14848 kmahjongg-trinity                  	      23        0        0        0       23
14849 kmines-trinity                     	      23        0        0        0       23
14850 kmousetool-trinity                 	      23        0       21        2        0
14851 kmouth-trinity                     	      23        0       21        2        0
14852 knetwalk-trinity                   	      23        0        0        0       23
14853 kodo-trinity                       	      23        0       21        2        0
14854 kolf-trinity                       	      23        3       18        2        0
14855 konqueror-data                     	      23        0        0        0       23
14856 konquest-trinity                   	      23        0        0        0       23
14857 konsolekalendar-trinity            	      23        0       21        2        0
14858 kpat-trinity                       	      23        0        0        0       23
14859 kpoker-trinity                     	      23        0        0        0       23
14860 kreversi-trinity                   	      23        0        0        0       23
14861 ksame-trinity                      	      23        0        0        0       23
14862 ksayit-trinity                     	      23        0       21        2        0
14863 kshisen-trinity                    	      23        0        0        0       23
14864 ksim-trinity                       	      23        0       21        2        0
14865 ksirtet-trinity                    	      23        0        0        0       23
14866 ksmiletris-trinity                 	      23        0        0        0       23
14867 ksokoban-trinity                   	      23        0        0        0       23
14868 kspaceduel-trinity                 	      23        0        0        0       23
14869 ktron-trinity                      	      23        0        0        0       23
14870 kttsd-trinity                      	      23        0       21        2        0
14871 ktuberling-trinity                 	      23        0        0        0       23
14872 ktux-trinity                       	      23        0       21        2        0
14873 lazarus-ide-gtk2-2.2               	      23        0       23        0        0
14874 lib32mpx2                          	      23        0        0        0       23
14875 libaa1-dev                         	      23        1       22        0        0
14876 libacpi0                           	      23        0        0        0       23
14877 libastrometry0                     	      23        0        0        0       23
14878 libatomic1-armel-cross             	      23        0        0        0       23
14879 libbabl-dev                        	      23        0       23        0        0
14880 libbibtex-parser-perl              	      23        1       22        0        0
14881 libc6-dev-armel-cross              	      23        0       22        1        0
14882 libclang1-18                       	      23        4       19        0        0
14883 libcommons-pool2-java              	      23        0        0        0       23
14884 libcorosync-common4                	      23        1        3        0       19
14885 libcrypt-eksblowfish-perl          	      23        1        3        0       19
14886 libcrypto++-dev                    	      23        0       23        0        0
14887 libcupti-doc                       	      23        0       21        2        0
14888 libcurand10                        	      23        0        0        0       23
14889 libcusolver11                      	      23        0        0        0       23
14890 libcusolvermg11                    	      23        0        0        0       23
14891 libcvc0t64                         	      23        4        7        1       11
14892 libdb4.6                           	      23        0        0        0       23
14893 libedataserver-1.2-23              	      23        0        1        0       22
14894 libfaust2                          	      23        0        0        0       23
14895 libfdk-aac-dev                     	      23        0       23        0        0
14896 libfile-tail-perl                  	      23        0       22        1        0
14897 libglade2-dev                      	      23        0       23        0        0
14898 libgme-dev                         	      23        0       22        1        0
14899 libgomp1-armel-cross               	      23        0        0        0       23
14900 libgraph-perl                      	      23        1       21        1        0
14901 libgtk2.0-cil-dev                  	      23        0       23        0        0
14902 libgxwmm0                          	      23        0        0        0       23
14903 libhtml-fromtext-perl              	      23        4       19        0        0
14904 libhyperscan5                      	      23        7        8        0        8
14905 libicu71                           	      23        2        3        0       18
14906 libilbc2                           	      23        1        1        0       21
14907 libjsoncpp0                        	      23        0        0        0       23
14908 libkf5auth-doc                     	      23        0        0        0       23
14909 libkf5itemviews-doc                	      23        0        0        0       23
14910 libkf5pulseaudioqt5                	      23        0        0        0       23
14911 libkgantt0-trinity                 	      23        0        0        0       23
14912 libkxmlrpcclient4                  	      23        0        0        0       23
14913 liblatex-tounicode-perl            	      23        2       21        0        0
14914 liblirc-dev                        	      23        1       22        0        0
14915 libmupdf25.1                       	      23        0        0        0       23
14916 libmusicbrainz3-6                  	      23        0        0        0       23
14917 libnvvm4                           	      23        0        0        0       23
14918 libocct-data-exchange-7.8          	      23        0        0        0       23
14919 libocct-draw-7.8                   	      23        0        0        0       23
14920 libocct-ocaf-7.8                   	      23        0        0        0       23
14921 libocct-visualization-7.8          	      23        0        0        0       23
14922 liboce-ocaf-lite11                 	      23        0        0        0       23
14923 liboce-ocaf11                      	      23        0        0        0       23
14924 liboce-visualization11             	      23        0        0        0       23
14925 libopencore-amrnb-dev              	      23        0       22        1        0
14926 libopencore-amrwb-dev              	      23        0       22        1        0
14927 libopenshot19                      	      23        0        0        0       23
14928 libopenslide0                      	      23        0        1        0       22
14929 libparse-syslog-perl               	      23        2       21        0        0
14930 libprocyon-java                    	      23        0        0        0       23
14931 libpugixml-dev                     	      23        0        0        0       23
14932 libqcow1                           	      23        0        0        0       23
14933 libqt5xmlpatterns5-dev             	      23        1       22        0        0
14934 libreoffice-l10n-el                	      23        0       22        1        0
14935 libsoprano4                        	      23        1        0        0       22
14936 libsoup-gnome-2.4-1                	      23        0        0        0       23
14937 libsqlite3-tcl                     	      23        1       20        2        0
14938 libss2t64                          	      23        0        0        0       23
14939 libstdc++6-armel-cross             	      23        0        0        0       23
14940 libtesseract3                      	      23        0        0        0       23
14941 libtool-doc                        	      23        0        0        0       23
14942 libubsan1-armel-cross              	      23        0        0        0       23
14943 libudns0                           	      23        1        1        0       21
14944 libva-glx1                         	      23        0        0        0       23
14945 libvncserver-dev                   	      23        0       23        0        0
14946 libvted-3-0                        	      23        1        3        0       19
14947 libwireshark18                     	      23        0        0        0       23
14948 libwiretap15                       	      23        0        0        0       23
14949 libwsutil16                        	      23        0        0        0       23
14950 libx264-123                        	      23        0        0        0       23
14951 lilypond-doc                       	      23        0        0        0       23
14952 lilypond-doc-pdf                   	      23        0        0        0       23
14953 linux-headers-3.16.0-4-common      	      23        1       22        0        0
14954 linux-headers-5.10.0-20-common     	      23        0       23        0        0
14955 linux-headers-6.1.0-12-amd64       	      23        0       23        0        0
14956 linux-image-3.16.0-6-amd64         	      23        1       22        0        0
14957 linux-image-5.10.0-17-amd64        	      23        0       22        0        1
14958 linux-image-5.10.0-24-amd64        	      23        1       22        0        0
14959 linux-image-5.10.0-9-686           	      23        0       19        3        1
14960 linux-image-6.10.11+bpo-amd64      	      23        3       20        0        0
14961 linux-image-6.11.10+bpo-amd64      	      23        2       21        0        0
14962 linux-libc-dev-armel-cross         	      23        0       22        1        0
14963 lldpd                              	      23       11       12        0        0
14964 lskat-trinity                      	      23        0        0        0       23
14965 maim                               	      23        2       20        1        0
14966 mangohud                           	      23        1       22        0        0
14967 mate-applet-appmenu                	      23        1       20        2        0
14968 mate-core                          	      23        0        0        0       23
14969 mfoc                               	      23        1       22        0        0
14970 mhwaveedit                         	      23        0       22        1        0
14971 msbuild                            	      23        1       22        0        0
14972 msbuild-libhostfxr                 	      23        0       23        0        0
14973 msbuild-sdkresolver                	      23        0       23        0        0
14974 network-manager-iodine             	      23        6       17        0        0
14975 nvidia-openjdk-8-jre               	      23        0       23        0        0
14976 ophcrack-cli                       	      23        1       22        0        0
14977 pass-otp                           	      23        2       21        0        0
14978 passwordsafe                       	      23        1       22        0        0
14979 pcb-common                         	      23        0        0        0       23
14980 pcb-gtk                            	      23        0       23        0        0
14981 php-apcu-bc                        	      23        8       11        0        4
14982 php7.0-gd                          	      23        9       14        0        0
14983 php7.3-zip                         	      23        9       14        0        0
14984 pipebench                          	      23        1       22        0        0
14985 piuparts                           	      23        0       23        0        0
14986 piuparts-common                    	      23        0       23        0        0
14987 pompem                             	      23        2       21        0        0
14988 postgrey                           	      23        5       18        0        0
14989 procyon-decompiler                 	      23        3       19        1        0
14990 protobuf-c-compiler                	      23        1       22        0        0
14991 python-musicbrainzngs              	      23        0       23        0        0
14992 python-paramiko                    	      23        2       21        0        0
14993 python-pyicu                       	      23        2       21        0        0
14994 python-urwid                       	      23        2       21        0        0
14995 python3-acoustid                   	      23        0       21        2        0
14996 python3-arcus                      	      23        2       20        1        0
14997 python3-blessed                    	      23        1       22        0        0
14998 python3-botocore                   	      23        0       21        2        0
14999 python3-bson                       	      23        2       20        1        0
15000 python3-bson-ext                   	      23        2       19        2        0
15001 python3-btrfs                      	      23        0       23        0        0
15002 python3-cbor                       	      23        0       22        1        0
15003 python3-inflection                 	      23        0       23        0        0
15004 python3-iso8601                    	      23        0       23        0        0
15005 python3-mlt                        	      23        2       21        0        0
15006 python3-pkginfo                    	      23        0       22        1        0
15007 python3-pylint-venv                	      23        0       23        0        0
15008 python3-pyqrcode                   	      23        0       23        0        0
15009 python3-qstylizer                  	      23        0       23        0        0
15010 python3-recommonmark               	      23        1       22        0        0
15011 python3-savitar                    	      23        2       20        1        0
15012 python3-streamlink                 	      23        0       22        1        0
15013 python3-uranium                    	      23        2       21        0        0
15014 qdoc-qt6                           	      23        1       12       10        0
15015 qemu-slof                          	      23        0        0        0       23
15016 qt6-speech-flite-plugin            	      23        0        0        0       23
15017 qtcurve                            	      23        0        0        0       23
15018 rifiuti                            	      23        2       21        0        0
15019 rifiuti2                           	      23        2       21        0        0
15020 rng-tools                          	      23        0        4        0       19
15021 rsyslog-doc                        	      23        0        0        0       23
15022 ruby-google-protobuf               	      23        1       22        0        0
15023 ruby-googleapis-common-protos-types	      23        1       22        0        0
15024 ruby-googleauth                    	      23        1       22        0        0
15025 ruby-grpc                          	      23        0        0        0       23
15026 ruby-hocon                         	      23        1       22        0        0
15027 ruby-kramdown                      	      23        2       21        0        0
15028 ruby-little-plugger                	      23        1       22        0        0
15029 ruby-logging                       	      23        1       22        0        0
15030 ruby-mail                          	      23        0       23        0        0
15031 ruby-mime                          	      23        0       23        0        0
15032 ruby-os                            	      23        1       22        0        0
15033 ruby-rouge                         	      23        2       21        0        0
15034 ruby-signet                        	      23        1       22        0        0
15035 ruby-tilt                          	      23        0       23        0        0
15036 slic3r                             	      23        0       23        0        0
15037 soprano-daemon                     	      23        1       22        0        0
15038 source-highlight                   	      23        1       22        0        0
15039 spyder                             	      23        1       22        0        0
15040 squeak-vm                          	      23        1       22        0        0
15041 ssdeep                             	      23        1       22        0        0
15042 stacer                             	      23        5       12        6        0
15043 stegsnow                           	      23        1       22        0        0
15044 streamlink                         	      23        1       21        1        0
15045 sucrack                            	      23        1       22        0        0
15046 syslog-ng-mod-amqp                 	      23        6       17        0        0
15047 syslog-ng-mod-redis                	      23        6       17        0        0
15048 syslog-ng-mod-smtp                 	      23        6       17        0        0
15049 syslog-ng-mod-stomp                	      23        6       17        0        0
15050 talkd                              	      23        2       20        1        0
15051 task-czech-desktop                 	      23        0        0        0       23
15052 task-greek                         	      23        0        0        0       23
15053 tcl-snack                          	      23        1       22        0        0
15054 tdeaccessibility-trinity           	      23        0        0        0       23
15055 tdegraphics-trinity                	      23        0        0        0       23
15056 tdelirc-trinity                    	      23        0       21        2        0
15057 tdemid-trinity                     	      23        0       21        2        0
15058 tdemultimedia-trinity              	      23        0        0        0       23
15059 tdeutils-trinity                   	      23        0        0        0       23
15060 tilda                              	      23        2       19        2        0
15061 tilix                              	      23        2       19        2        0
15062 tilix-common                       	      23        1        3        0       19
15063 tint                               	      23        0       22        1        0
15064 tk-table                           	      23        0        0        0       23
15065 trayer                             	      23        3       20        0        0
15066 ugrep                              	      23        3       19        1        0
15067 unicode                            	      23        4       18        1        0
15068 unison-2.52-gtk                    	      23        1       22        0        0
15069 uranium-plugins                    	      23        2       21        0        0
15070 vgabios                            	      23        0        0        0       23
15071 vinetto                            	      23        2       21        0        0
15072 vym                                	      23        2       21        0        0
15073 w-scan                             	      23        1       21        1        0
15074 wbulgarian                         	      23        2       19        2        0
15075 wcatalan                           	      23        2       20        1        0
15076 winregfs                           	      23        1       22        0        0
15077 wordnet                            	      23        3       20        0        0
15078 wordnet-base                       	      23        0        0        0       23
15079 xautolock                          	      23        4       19        0        0
15080 xfonts-traditional                 	      23        3       20        0        0
15081 xmonad                             	      23        2       21        0        0
15082 xsol                               	      23        1       21        1        0
15083 yubioath-desktop                   	      23        2       21        0        0
15084 7zip-rar                           	      22        3       14        5        0
15085 avra                               	      22        0       22        0        0
15086 avrdude-doc                        	      22        0        0        0       22
15087 awscli                             	      22        0       22        0        0
15088 bison-doc                          	      22        0       22        0        0
15089 btrfs-heatmap                      	      22        0       22        0        0
15090 buffer                             	      22        2       20        0        0
15091 cantata                            	      22        1       21        0        0
15092 capstone-tool                      	      22        1       21        0        0
15093 checksecurity                      	      22        8       14        0        0
15094 chirp                              	      22        0       20        2        0
15095 cl-rt                              	      22        0        0        0       22
15096 clisp-doc                          	      22        0        0        0       22
15097 courier-base                       	      22        6       16        0        0
15098 cutecom                            	      22        3       19        0        0
15099 darcula                            	      22        0        0        0       22
15100 deepin-icon-theme                  	      22        0        0        0       22
15101 dh-apparmor                        	      22        1       20        1        0
15102 diffoscope                         	      22        0        1        0       21
15103 dnsmasq-utils                      	      22        0       22        0        0
15104 dsda-doom                          	      22        2       20        0        0
15105 dwww                               	      22        4       18        0        0
15106 exactimage                         	      22        0       20        2        0
15107 fbpager                            	      22        1       21        0        0
15108 fcitx-frontend-gtk2                	      22        0        0        0       22
15109 fcitx-frontend-gtk3                	      22        3        8        0       11
15110 fcitx-module-kimpanel              	      22        3        7        0       12
15111 fcitx-module-lua                   	      22        3        7        0       12
15112 firmware-b43legacy-installer       	      22        0        5        1       16
15113 flake8                             	      22        1       21        0        0
15114 fontforge-doc                      	      22        0        0        0       22
15115 fontmatrix                         	      22        0       22        0        0
15116 fonts-arkpandora                   	      22        4        7        0       11
15117 fonts-denemo                       	      22        1        0        0       21
15118 fonts-hack-otf                     	      22        0        0        0       22
15119 fonts-monoid                       	      22        1        0        0       21
15120 fonts-opendyslexic                 	      22        0        0        0       22
15121 fonts-thai-tlwg                    	      22        0        0        0       22
15122 fonts-tlwg-mono-ttf                	      22        0        0        0       22
15123 fonts-ubuntu-console               	      22        0        0        0       22
15124 fonts-vollkorn                     	      22        1        1        0       20
15125 forensic-artifacts                 	      22        0        0        0       22
15126 forensics-colorize                 	      22        1       21        0        0
15127 fotoxx                             	      22        1       21        0        0
15128 freerdp-x11                        	      22        0       22        0        0
15129 galleta                            	      22        1       21        0        0
15130 geoip-database-extra               	      22        0        0        0       22
15131 gforth                             	      22        3       19        0        0
15132 gforth-common                      	      22        0        0        0       22
15133 gforth-lib                         	      22        0        0        0       22
15134 gir1.2-cheese-3.0                  	      22        0        0        0       22
15135 gir1.2-telepathylogger-0.2         	      22        1        6        0       15
15136 gkrellm-bfm                        	      22        3       18        1        0
15137 gnome-icon-theme-suede             	      22        0        0        0       22
15138 gnucap-default-plugins0            	      22        0        0        0       22
15139 gpgv1                              	      22        1       21        0        0
15140 grass                              	      22        0        2        0       20
15141 grass-gui                          	      22        0       22        0        0
15142 hashrat                            	      22        1       21        0        0
15143 hexcompare                         	      22        3       17        2        0
15144 highlight                          	      22        3       19        0        0
15145 horst                              	      22        2       19        1        0
15146 iproute2-doc                       	      22        0        0        0       22
15147 isomaster                          	      22        1       21        0        0
15148 jadetex                            	      22        0        2        0       20
15149 jpeginfo                           	      22        2       20        0        0
15150 junit-doc                          	      22        0        0        0       22
15151 jupyter                            	      22        0        0        0       22
15152 kid3-qt                            	      22        0       20        2        0
15153 kirigami-addons5-data              	      22        0        0        0       22
15154 lib32gfortran5                     	      22        0        0        0       22
15155 lib32ncurses6                      	      22        0        0        0       22
15156 lib32tinfo6                        	      22        0        0        0       22
15157 libaacplus2                        	      22        0        0        0       22
15158 libalut-dev                        	      22        0       22        0        0
15159 libappstreamqt5-3                  	      22        0        7        0       15
15160 libaudio-flac-header-perl          	      22        0        0        0       22
15161 libbabeltrace-dev                  	      22        2       19        1        0
15162 libbaloowidgets-bin                	      22        5       15        2        0
15163 libcdio-utils                      	      22        0       21        1        0
15164 libclalsadrv2                      	      22        0        0        0       22
15165 libclass-dbi-mysql-perl            	      22        2       20        0        0
15166 libcmocka0                         	      22        0        0        0       22
15167 libconfig-dev                      	      22        1       21        0        0
15168 libcurl4-doc                       	      22        0        0        0       22
15169 libdata-url-java                   	      22        0        0        0       22
15170 libdxflib3                         	      22        0        0        0       22
15171 libfcitx5-qt1                      	      22        2        6        0       14
15172 libfcitx5core7                     	      22        1       13        0        8
15173 libfolks25                         	      22        0        0        0       22
15174 libgit2-1.7                        	      22        0        0        0       22
15175 libgit2-24                         	      22        0        0        0       22
15176 libgnustep-base1.24                	      22        0        0        0       22
15177 libgtk2.0-doc                      	      22        0        0        0       22
15178 libgweather-3-15                   	      22        0        1        0       21
15179 libgweather-3-6                    	      22        0        0        0       22
15180 libiconloader-java                 	      22        0        0        0       22
15181 libinklevel5                       	      22        0        0        0       22
15182 libisc84                           	      22        0        0        0       22
15183 libisccc80                         	      22        0        0        0       22
15184 libjs-bootstrap5                   	      22        0        0        0       22
15185 libjtidy-java                      	      22        0        0        0       22
15186 libkcolorpicker-qt6-0              	      22        0        1        0       21
15187 libkdecorations2private11          	      22        2       14        0        6
15188 libkf5configwidgets-doc            	      22        0        0        0       22
15189 libkf5globalaccel-doc              	      22        0        0        0       22
15190 libkimageannotator-qt6-0           	      22        0        1        0       21
15191 libkwineffects11                   	      22        1        1        0       20
15192 libkwinglutils11                   	      22        1        1        0       20
15193 libkwinxrenderutils11              	      22        1        1        0       20
15194 liblilv-dev                        	      22        0       21        1        0
15195 liblime0                           	      22        0        1        0       21
15196 liblo-dev                          	      22        0       22        0        0
15197 libmicrohttpd12t64                 	      22        1        3        0       18
15198 libmime-base64-urlsafe-perl        	      22        1       21        0        0
15199 libnepomuk4                        	      22        1        0        0       21
15200 libnepomukquery4a                  	      22        1        0        0       21
15201 libnepomukutils4                   	      22        1        0        0       21
15202 libnet-rblclient-perl              	      22        2       20        0        0
15203 libnvtoolsext1                     	      22        0        0        0       22
15204 libokhttp-java                     	      22        0        0        0       22
15205 libokio-java                       	      22        0        0        0       22
15206 libomxil-bellagio-bin              	      22        0       22        0        0
15207 libopenmpi40                       	      22        0        0        0       22
15208 liboping0                          	      22        1        0        0       21
15209 libqscintilla2-qt5-13              	      22        1        0        0       21
15210 libqt6networkauth6                 	      22        0        0        0       22
15211 libquazip5-1t64                    	      22        0        0        0       22
15212 libreoffice-style-sukapura         	      22        2       18        2        0
15213 libroutino-slim0                   	      22        0        0        0       22
15214 libroutino0                        	      22        0        0        0       22
15215 libsavitar0                        	      22        0        0        0       22
15216 libseccomp-dev                     	      22        0       21        1        0
15217 libsecp256k1-0                     	      22        1        2        0       19
15218 libserd-dev                        	      22        0       21        1        0
15219 libsigrok4                         	      22        1       21        0        0
15220 libsigrokcxx4                      	      22        0        0        0       22
15221 libsoftware-licensemoreutils-perl  	      22        0       21        1        0
15222 libsord-dev                        	      22        0       21        1        0
15223 libspf2-2                          	      22        5        9        0        8
15224 libsratom-dev                      	      22        0       21        1        0
15225 libsstp-api-0                      	      22        0        0        0       22
15226 libtext-english-perl               	      22        2       19        1        0
15227 libtext-format-perl                	      22        0       22        0        0
15228 libtidy58                          	      22        1        0        0       21
15229 libvtk9.3                          	      22        0        0        0       22
15230 libwebm1                           	      22        0        0        0       22
15231 libwinpr-tools2-2                  	      22        1        0        0       21
15232 libwriter2latex-java               	      22        0        0        0       22
15233 libx32gfortran5                    	      22        0        0        0       22
15234 libxcb-ewmh-dev                    	      22        0       22        0        0
15235 libxcb-xtest0-dev                  	      22        0       22        0        0
15236 libxcb-xv0-dev                     	      22        1       21        0        0
15237 libxfce4ui-2-dev                   	      22        0       21        1        0
15238 linux-headers-5.10.0-20-amd64      	      22        0       22        0        0
15239 linux-headers-5.10.0-30-common     	      22        0       22        0        0
15240 linux-image-4.9.0-14-amd64         	      22        0       20        0        2
15241 linux-kbuild-3.2                   	      22        0        0        0       22
15242 lua-any                            	      22        0       22        0        0
15243 maskprocessor                      	      22        1       21        0        0
15244 master-pdf-editor                  	      22        0        0        0       22
15245 mate-desktop-environment-extra     	      22        0        0        0       22
15246 mblaze                             	      22        4       16        2        0
15247 mfcuk                              	      22        1       21        0        0
15248 minizip                            	      22        4       17        1        0
15249 missidentify                       	      22        1       21        0        0
15250 mono-llvm-support                  	      22        0        0        0       22
15251 mono-llvm-tools                    	      22        0       22        0        0
15252 mp3diags                           	      22        1       21        0        0
15253 munin-doc                          	      22        0        0        0       22
15254 nast                               	      22        4       17        1        0
15255 network-manager-iodine-gnome       	      22        0       20        0        2
15256 nextcloud-desktop-cmd              	      22        1       21        0        0
15257 nomachine                          	      22        0        0        0       22
15258 nvidia-profiler                    	      22        0       21        1        0
15259 o-saft                             	      22        1       21        0        0
15260 pacman                             	      22        1       20        1        0
15261 pasco                              	      22        1       21        0        0
15262 passenger                          	      22        2       20        0        0
15263 passwordsafe-common                	      22        0        0        0       22
15264 pcb                                	      22        0        0        0       22
15265 pdfmod                             	      22        1       21        0        0
15266 php-igbinary                       	      22        3        0        0       19
15267 php7.4-pgsql                       	      22        7       15        0        0
15268 polenum                            	      22        1       21        0        0
15269 python-jwt                         	      22        2       20        0        0
15270 python-msgpack                     	      22        1       21        0        0
15271 python-netifaces                   	      22        0       22        0        0
15272 python-pam                         	      22        1       21        0        0
15273 python-pkginfo-doc                 	      22        0        0        0       22
15274 python-pycparser                   	      22        1       21        0        0
15275 python-virtualenv                  	      22        3       19        0        0
15276 python3-aiohttp-socks              	      22        0       22        0        0
15277 python3-charon                     	      22        0       22        0        0
15278 python3-cheroot                    	      22        1       21        0        0
15279 python3-cliapp                     	      22        2       20        0        0
15280 python3-fqdn                       	      22        1       17        4        0
15281 python3-git                        	      22        0       20        2        0
15282 python3-gitdb                      	      22        0       22        0        0
15283 python3-jinja2-time                	      22        0       22        0        0
15284 python3-markups                    	      22        0       22        0        0
15285 python3-pyaudio                    	      22        1       21        0        0
15286 python3-pynest2d                   	      22        2       19        1        0
15287 python3-rfc3339-validator          	      22        1       17        4        0
15288 python3-s3transfer                 	      22        0       21        1        0
15289 python3-smmap                      	      22        0       22        0        0
15290 python3-toolz                      	      22        0       22        0        0
15291 python3-txaio                      	      22        0       22        0        0
15292 qemu-efi                           	      22        0        0        0       22
15293 qml6-module-qtquick-timeline       	      22        0        0        0       22
15294 qtgamepad5-doc                     	      22        0        0        0       22
15295 qtox                               	      22        1       21        0        0
15296 realvnc-vnc-viewer                 	      22        2       20        0        0
15297 referenceassemblies-pcl            	      22        0       22        0        0
15298 rephrase                           	      22        1       21        0        0
15299 retext                             	      22        2       19        1        0
15300 routino                            	      22        1       20        1        0
15301 routino-common                     	      22        0        0        0       22
15302 rsakeyfind                         	      22        1       21        0        0
15303 rss-glx                            	      22        2       20        0        0
15304 ruby-coderay                       	      22        3       18        1        0
15305 runit-run                          	      22        0       21        1        0
15306 scratch                            	      22        1       21        0        0
15307 setnet                             	      22        0       22        0        0
15308 silversearcher-ag                  	      22        0       22        0        0
15309 slashem                            	      22        0       21        1        0
15310 smbnetfs                           	      22        1       21        0        0
15311 sndio-tools                        	      22        0       22        0        0
15312 sngrep                             	      22        3       18        1        0
15313 spf-tools-perl                     	      22        3       19        0        0
15314 sphinx-doc                         	      22        0        0        0       22
15315 sstp-client                        	      22        5       16        1        0
15316 statsprocessor                     	      22        1       21        0        0
15317 stegcracker                        	      22        1       21        0        0
15318 streamripper                       	      22        2       20        0        0
15319 syslog-ng-mod-examples             	      22        7       15        0        0
15320 syslog-ng-mod-geoip2               	      22        7       15        0        0
15321 syslog-ng-scl                      	      22        0        0        0       22
15322 tableau-parm                       	      22        0       22        0        0
15323 tcpxtract                          	      22        4       17        1        0
15324 tdeaddons-trinity                  	      22        0        0        0       22
15325 tdefifteen-trinity                 	      22        0        0        0       22
15326 telepathy-idle                     	      22        0       22        0        0
15327 tipp10                             	      22        1       20        1        0
15328 tk-doc                             	      22        0        0        0       22
15329 tmpreaper                          	      22        5       17        0        0
15330 transmission-remote-gtk            	      22        2       20        0        0
15331 tripwire                           	      22        6       15        1        0
15332 uhd-host                           	      22        2       20        0        0
15333 unclutter-startup                  	      22        0        0        0       22
15334 usbip                              	      22        4       16        2        0
15335 wamerican-large                    	      22        2       19        1        0
15336 wamerican-small                    	      22        2       19        1        0
15337 weston                             	      22        1       21        0        0
15338 wukrainian                         	      22        3       18        1        0
15339 x86info                            	      22        3       19        0        0
15340 xfonts-intl-european               	      22        0        0        0       22
15341 xfonts-x3270-misc                  	      22        0        0        0       22
15342 aeskulap                           	      21        0       21        0        0
15343 amdgpu-core                        	      21        0        0        0       21
15344 apt-cacher-ng                      	      21        6       15        0        0
15345 aspell-sv                          	      21        0       21        0        0
15346 atftpd                             	      21        4       17        0        0
15347 atool                              	      21        1       20        0        0
15348 autokey-common                     	      21        1       20        0        0
15349 avfs                               	      21        3       16        2        0
15350 bbswitch-dkms                      	      21        0        0        0       21
15351 brutespray                         	      21        1       20        0        0
15352 bsdgames-nonfree                   	      21        1       20        0        0
15353 bsfilter                           	      21        1       20        0        0
15354 bully                              	      21        1       20        0        0
15355 cl-alexandria                      	      21        0        0        0       21
15356 cl-clx-sbcl                        	      21        0        0        0       21
15357 copyq                              	      21        5       16        0        0
15358 coreboot-utils                     	      21        0       19        2        0
15359 cubicsdr                           	      21        2       19        0        0
15360 dact                               	      21        4       16        1        0
15361 dar                                	      21        1       19        1        0
15362 dhex                               	      21        1       20        0        0
15363 ding                               	      21        0       21        0        0
15364 doona                              	      21        1       20        0        0
15365 dropbear-bin                       	      21        0       21        0        0
15366 ecl                                	      21        0       21        0        0
15367 epiphany                           	      21        2       19        0        0
15368 erlang-odbc                        	      21        0       20        1        0
15369 ewf-tools                          	      21        1       20        0        0
15370 exim4-daemon-heavy                 	      21        8       13        0        0
15371 fcitx-bin                          	      21        3       18        0        0
15372 fcitx5-frontend-gtk3               	      21        1       11        0        9
15373 findimagedupes                     	      21        1       20        0        0
15374 firehol                            	      21        4       17        0        0
15375 firehol-common                     	      21        3       18        0        0
15376 flex-doc                           	      21        0        0        0       21
15377 flightgear                         	      21        0       20        1        0
15378 flightgear-data-ai                 	      21        0        0        0       21
15379 flightgear-data-all                	      21        0        0        0       21
15380 flightgear-data-base               	      21        0        0        0       21
15381 flightgear-data-models             	      21        0        0        0       21
15382 fonts-alee                         	      21        2        1        0       18
15383 fonts-arphic-ukai                  	      21        2        2        0       17
15384 fonts-b612                         	      21        1        1        0       19
15385 fonts-breip                        	      21        1        0        0       20
15386 fonts-goudybookletter              	      21        0        0        0       21
15387 fonts-prociono                     	      21        0        0        0       21
15388 fonts-summersby                    	      21        0        0        0       21
15389 fonts-tiresias                     	      21        0        0        0       21
15390 fonts-tlwg-kinnari-ttf             	      21        0        0        0       21
15391 fonts-tlwg-laksaman-ttf            	      21        0        0        0       21
15392 fonts-tlwg-loma-ttf                	      21        0        0        0       21
15393 fonts-tlwg-norasi-ttf              	      21        0        0        0       21
15394 gcal-common                        	      21        0        0        0       21
15395 gcc-6-doc                          	      21        0        0        0       21
15396 geotiff-bin                        	      21        0       21        0        0
15397 gfortran-13-x86-64-linux-gnu       	      21        1       19        1        0
15398 gkrellm-hdplop                     	      21        3       17        1        0
15399 glibc-source                       	      21        0        0        0       21
15400 gnash                              	      21        2       19        0        0
15401 gnash-common                       	      21        2       19        0        0
15402 gnome-dictionary                   	      21        0       20        1        0
15403 gnome-online-accounts-gtk          	      21        0       18        3        0
15404 gtkterm                            	      21        0       21        0        0
15405 gtypist                            	      21        1       20        0        0
15406 gwenview-doc                       	      21        0        0        0       21
15407 hcxtools                           	      21        1       19        1        0
15408 ike-scan                           	      21        2       19        0        0
15409 imwheel                            	      21        0       21        0        0
15410 ink                                	      21        0       21        0        0
15411 kde-style-breeze-qt4               	      21        1       20        0        0
15412 kde-style-breeze-qt5               	      21        1        1        0       19
15413 kig-trinity                        	      21        2       17        2        0
15414 konqueror-doc                      	      21        0        0        0       21
15415 krb5-doc                           	      21        0        0        0       21
15416 kwin-addons                        	      21        0        0        0       21
15417 lib32ncurses-dev                   	      21        0       21        0        0
15418 lib32ncursesw6                     	      21        0        0        0       21
15419 libavc1394-dev                     	      21        0       21        0        0
15420 libavifile-0.7-common              	      21        0        0        0       21
15421 libbind9-80                        	      21        0        0        0       21
15422 libcache-cache-perl                	      21        0       21        0        0
15423 libclang1-6.0                      	      21        0       21        0        0
15424 libcmocka-dev                      	      21        2       19        0        0
15425 libcommons-configuration2-java     	      21        0        0        0       21
15426 libconfig-model-backend-yaml-perl  	      21        0       20        1        0
15427 libcontrolsfx-java                 	      21        0        0        0       21
15428 libcpg4                            	      21        0        2        0       19
15429 libdbusmenu-glib-dev               	      21        0       21        0        0
15430 libdns88                           	      21        0        0        0       21
15431 libenchant-2-dev                   	      21        1       20        0        0
15432 libfdt-dev                         	      21        0       21        0        0
15433 libfreecad-python3-0.19            	      21        0       21        0        0
15434 libfreerdp-server3-3               	      21        0        0        0       21
15435 libgcc-s1-armel-cross              	      21        0        0        0       21
15436 libgconf2-dev                      	      21        0       21        0        0
15437 libgconf2-doc                      	      21        0        0        0       21
15438 libgegl-dev                        	      21        0       21        0        0
15439 libgflags-dev                      	      21        0       21        0        0
15440 libghc-regex-base-dev              	      21        1       20        0        0
15441 libghc-transformers-compat-dev     	      21        1       20        0        0
15442 libgles1-mesa                      	      21        0        0        0       21
15443 libgmtk1-data                      	      21        0        0        0       21
15444 libgoogle-glog-dev                 	      21        0       21        0        0
15445 libgraphblas-dev                   	      21        0       20        1        0
15446 libgraphblas7                      	      21        0        0        0       21
15447 libgringotts2                      	      21        1        0        0       20
15448 libgtkhex-3-0                      	      21        0        0        0       21
15449 libguess1                          	      21        0        0        0       21
15450 libid3-tools                       	      21        0       21        0        0
15451 libisccfg82                        	      21        0        0        0       21
15452 libjemalloc-dev                    	      21        0       21        0        0
15453 libjeuclid-core-java               	      21        0        0        0       21
15454 libjide-oss-java                   	      21        0        0        0       21
15455 libkadm5clnt7-heimdal              	      21        0        0        0       21
15456 libkafs0-heimdal                   	      21        0        0        0       21
15457 libkf5attica-doc                   	      21        0        0        0       21
15458 libkf5iconthemes-doc               	      21        0        0        0       21
15459 libkf5kdegamesprivate1             	      21        0        2        0       19
15460 libkf5libkdepimakonadi5            	      21        1        1        0       19
15461 libkf5xmlgui-doc                   	      21        0        0        0       21
15462 libkf6konq7                        	      21        1        1        0       19
15463 libkf6konqsettings7                	      21        1        1        0       19
15464 libldns3                           	      21        0        1        0       20
15465 libmagickwand-dev                  	      21        0        0        0       21
15466 libmapnik3.1                       	      21        0       21        0        0
15467 libminiupnpc-dev                   	      21        0       21        0        0
15468 libmodule-refresh-perl             	      21        2       19        0        0
15469 libmono-addins-gui0.2-cil          	      21        0       21        0        0
15470 libmpcdec-dev                      	      21        0       21        0        0
15471 libmpeg3-2                         	      21        0        0        0       21
15472 libmsgpack-c2                      	      21        1        1        0       19
15473 libnetfilter-log1                  	      21        3        9        0        9
15474 libnppc11                          	      21        0        0        0       21
15475 libnppicc11                        	      21        0        0        0       21
15476 libnppidei11                       	      21        0        0        0       21
15477 libnppif11                         	      21        0        0        0       21
15478 libnppig11                         	      21        0        0        0       21
15479 libopencv-core410                  	      21        1        0        0       20
15480 libopencv-imgproc410               	      21        1        0        0       20
15481 libosmesa6-dev                     	      21        0       20        1        0
15482 libotp0-heimdal                    	      21        0        0        0       21
15483 libphysfs-dev                      	      21        0       21        0        0
15484 libproxychains3                    	      21        1       20        0        0
15485 libqgsttools-p1                    	      21        0        0        0       21
15486 libqt5gstreamer-1.0-0              	      21        0        0        0       21
15487 libqt6websockets6                  	      21        1        1        0       19
15488 libreoffice-evolution              	      21        1       19        1        0
15489 libreoffice-qt6                    	      21        2       13        6        0
15490 librpmbuild10                      	      21        0        0        0       21
15491 libruby3.0                         	      21        3       18        0        0
15492 libsl0-heimdal                     	      21        0        0        0       21
15493 libslv2-9                          	      21        0        0        0       21
15494 libsss-sudo                        	      21        0        1        0       20
15495 libtag-extras1                     	      21        0        0        0       21
15496 libtesseract-data                  	      21        0        0        0       21
15497 libtk-pod-perl                     	      21        2       18        1        0
15498 libtoml-tiny-perl                  	      21        0       20        1        0
15499 libtsk13                           	      21        0        0        0       21
15500 libtss2-esys0                      	      21        0        0        0       21
15501 libturbojpeg1                      	      21        0        0        0       21
15502 libupnp-dev                        	      21        0       20        0        1
15503 libvirt-daemon-driver-storage-iscsi	      21       10        9        2        0
15504 libvserver0                        	      21        0        0        0       21
15505 libxdp1                            	      21        3        8        0       10
15506 libxen-4.4                         	      21        0        0        0       21
15507 libxpresent-dev                    	      21        0       21        0        0
15508 libyaz5                            	      21        0        2        0       19
15509 lilypond-doc-html                  	      21        0        0        0       21
15510 linux-compiler-gcc-4.9-x86         	      21        0        0        0       21
15511 linux-headers-6.1.0-11-amd64       	      21        1       20        0        0
15512 linux-headers-6.1.0-11-common      	      21        1       20        0        0
15513 linux-image-4.9.0-15-amd64         	      21        1       18        0        2
15514 linux-image-6.1.0-25-686           	      21        0       21        0        0
15515 lsd                                	      21        2       18        1        0
15516 mboxgrep                           	      21        3       17        1        0
15517 mdk3                               	      21        0       21        0        0
15518 mdk4                               	      21        0       21        0        0
15519 mediastreamer2-plugin-openh264     	      21        0        1        0       20
15520 metadata-cleaner                   	      21        0       20        1        0
15521 mongodb-org-shell                  	      21        0       16        0        5
15522 mono-roslyn                        	      21        1       20        0        0
15523 mp3check                           	      21        2       19        0        0
15524 musescore-soundfont-gm             	      21        0        0        0       21
15525 myspell-uk                         	      21        0        0        0       21
15526 ncurses-hexedit                    	      21        2       19        0        0
15527 network-manager-dbgsym             	      21        0       21        0        0
15528 nlohmann-json3-dev                 	      21        1        0        0       20
15529 notepadqq                          	      21        4       16        1        0
15530 nvidia-visual-profiler             	      21        0       20        1        0
15531 open-vm-tools-desktop              	      21        3       17        1        0
15532 openclipart                        	      21        0        0        0       21
15533 owncloud-client                    	      21        4       17        0        0
15534 patator                            	      21        1       20        0        0
15535 php-mcrypt                         	      21        0        0        0       21
15536 php7.0                             	      21        0        0        0       21
15537 php7.0-mysql                       	      21        9       12        0        0
15538 php8.2-pspell                      	      21        8       13        0        0
15539 pipewire-doc                       	      21        0        0        0       21
15540 postgis                            	      21        2       19        0        0
15541 postgis-doc                        	      21        0        0        0       21
15542 pqiv                               	      21        0       21        0        0
15543 proxychains                        	      21        2       19        0        0
15544 puppet                             	      21        1       13        0        7
15545 puredata-core                      	      21        0       21        0        0
15546 pysolfc                            	      21        5       16        0        0
15547 python-automat                     	      21        1       20        0        0
15548 python-hyperlink                   	      21        0       21        0        0
15549 python-libvirt                     	      21        5       16        0        0
15550 python-repoze.lru                  	      21        2       19        0        0
15551 python3-aiofiles                   	      21        0       21        0        0
15552 python3-ajpy                       	      21        0       21        0        0
15553 python3-blosc                      	      21        0       19        2        0
15554 python3-dask                       	      21        0       21        0        0
15555 python3-gridfs                     	      21        2       18        1        0
15556 python3-isoduration                	      21        1       17        3        0
15557 python3-locket                     	      21        1       20        0        0
15558 python3-mpi4py                     	      21        1       20        0        0
15559 python3-partd                      	      21        0       21        0        0
15560 python3-pymongo                    	      21        2       18        1        0
15561 python3-pymongo-ext                	      21        2       18        1        0
15562 python3-rfc3986-validator          	      21        1       17        3        0
15563 python3-snappy                     	      21        0       21        0        0
15564 python3-termcolor                  	      21        1       20        0        0
15565 python3-textile                    	      21        2       19        0        0
15566 python3-ttystatus                  	      21        1       20        0        0
15567 pyzor                              	      21        5       16        0        0
15568 qemu-system-riscv                  	      21        2       12        7        0
15569 qemu-system-s390x                  	      21        2       12        7        0
15570 qml-module-qtlocation              	      21        0        0        0       21
15571 qml-module-qtpositioning           	      21        0        0        0       21
15572 qml6-module-qt-labs-settings       	      21        0        0        0       21
15573 rdnssd                             	      21        6       14        1        0
15574 reglookup-doc                      	      21        0        0        0       21
15575 ruby-diffy                         	      21        1       20        0        0
15576 ruby-eventmachine                  	      21        2       18        1        0
15577 ruby-xml-simple                    	      21        0        0        0       21
15578 screenie                           	      21        0       20        1        0
15579 screenruler                        	      21        3       18        0        0
15580 shiki-colors-xfwm-theme            	      21        0        0        0       21
15581 sndiod                             	      21        3       18        0        0
15582 sni-qt                             	      21        0        0        0       21
15583 snowdrop                           	      21        1       20        0        0
15584 spice-vdagent                      	      21        7       12        2        0
15585 stopwatch                          	      21        1       20        0        0
15586 subnetcalc                         	      21        1       20        0        0
15587 syslog-ng-mod-http                 	      21        7       14        0        0
15588 syslog-ng-mod-rdkafka              	      21        7       14        0        0
15589 syslog-ng-mod-slog                 	      21        7       14        0        0
15590 syslog-ng-mod-snmp                 	      21        7       14        0        0
15591 syslog-ng-mod-stardate             	      21        7       14        0        0
15592 syslog-ng-mod-xml-parser           	      21        7       14        0        0
15593 tecla                              	      21        0       17        4        0
15594 tesseract-ocr-rus                  	      21        0        0        0       21
15595 thunar-vcs-plugin                  	      21        2        9        0       10
15596 tkcon                              	      21        1       19        1        0
15597 ttf-staypuft                       	      21        0        0        0       21
15598 user-manager                       	      21        0        0        0       21
15599 util-vserver                       	      21        7       14        0        0
15600 util-vserver-build                 	      21        1       20        0        0
15601 util-vserver-core                  	      21        7       14        0        0
15602 util-vserver-sysv                  	      21        0        0        0       21
15603 virtualbox                         	      21        5       16        0        0
15604 virtualbox-qt                      	      21        3       18        0        0
15605 vnc4server                         	      21        0        2        0       19
15606 vulkan-utils                       	      21        0        1        0       20
15607 wbritish-large                     	      21        3       17        1        0
15608 wbritish-small                     	      21        3       17        1        0
15609 wmclock                            	      21        3       18        0        0
15610 writer2latex                       	      21        1       20        0        0
15611 xaos                               	      21        1       20        0        0
15612 xcb-proto                          	      21        0        0        0       21
15613 xfonts-efont-unicode               	      21        0        0        0       21
15614 xfonts-efont-unicode-ib            	      21        0        0        0       21
15615 xindy-rules                        	      21        0        0        0       21
15616 xpad                               	      21        4       17        0        0
15617 zathura-cb                         	      21        0        2        0       19
15618 amb-plugins                        	      20        0       20        0        0
15619 apt-forktracer                     	      20        0       20        0        0
15620 aufs-dkms                          	      20        0       19        0        1
15621 beneath-a-steel-sky                	      20        0       20        0        0
15622 bibletime                          	      20        0       20        0        0
15623 bibletime-data                     	      20        0        0        0       20
15624 bless                              	      20        1       19        0        0
15625 blktool                            	      20        1       19        0        0
15626 blockout2                          	      20        1       19        0        0
15627 bookworm                           	      20        1       18        1        0
15628 bruteforce-luks                    	      20        3       16        1        0
15629 bruteforce-wallet                  	      20        1       19        0        0
15630 bsdtar                             	      20        0        0        0       20
15631 bumblebee                          	      20        5       15        0        0
15632 caja-actions-common                	      20        0        0        0       20
15633 ccal                               	      20        2       17        1        0
15634 cdrkit-doc                         	      20        0        0        0       20
15635 chromium-bsu                       	      20        1       19        0        0
15636 chromium-bsu-data                  	      20        0        0        0       20
15637 clearlooks-phenix-lightpurpy-theme 	      20        0        0        0       20
15638 cmatrix-xfont                      	      20        0        0        0       20
15639 cmocka-doc                         	      20        0        0        0       20
15640 cmospwd                            	      20        3       16        1        0
15641 comprez                            	      20        4       15        1        0
15642 cpp-12-aarch64-linux-gnu           	      20        0       20        0        0
15643 cruft-ng                           	      20        2       16        2        0
15644 crunch                             	      20        3       16        1        0
15645 csvtool                            	      20        1       19        0        0
15646 cuneiform-common                   	      20        0        0        0       20
15647 dateutils                          	      20        2       18        0        0
15648 ddclient                           	      20        3       16        1        0
15649 dde-qt5integration                 	      20        2        9        0        9
15650 developers-reference               	      20        0       17        2        1
15651 dhcping                            	      20        2       18        0        0
15652 dia-rib-network                    	      20        0        0        0       20
15653 dict-jargon                        	      20        1        1        0       18
15654 dict-wn                            	      20        1        0        0       19
15655 dirdiff                            	      20        2       18        0        0
15656 dnstracer                          	      20        3       17        0        0
15657 doc-linux-text                     	      20        0        0        0       20
15658 dolphin-nextcloud                  	      20        3        8        0        9
15659 doxygen-doc                        	      20        0        0        0       20
15660 drascula-music                     	      20        0        0        0       20
15661 elementary-icon-theme              	      20        0        0        0       20
15662 elpa-org                           	      20        2        4        0       14
15663 endless-sky-data                   	      20        0        0        0       20
15664 erlang-dev                         	      20        0       19        1        0
15665 fbpanel                            	      20        4       16        0        0
15666 fceux                              	      20        0       20        0        0
15667 fcitx                              	      20        0        0        0       20
15668 fcitx-config-common                	      20        0        0        0       20
15669 fcitx-config-gtk                   	      20        0       20        0        0
15670 fcitx-data                         	      20        0        4        0       16
15671 fcitx-frontend-all                 	      20        0        0        0       20
15672 fcitx-ui-classic                   	      20        3        7        0       10
15673 fcitx5-data                        	      20        1        8        0       11
15674 fcitx5-frontend-qt5                	      20        2        8        0       10
15675 fcitx5-modules                     	      20        1       13        0        6
15676 ffmpeg2theora                      	      20        0       20        0        0
15677 firefox-esr-l10n-el                	      20        1       19        0        0
15678 flatpak-xdg-utils                  	      20        0        0        0       20
15679 fonts-cabinsketch                  	      20        0        0        0       20
15680 fonts-dejima-mincho                	      20        2        0        0       18
15681 fonts-fanwood                      	      20        0        0        0       20
15682 fonts-hack-web                     	      20        0        0        0       20
15683 fonts-ipaexfont                    	      20        0        0        0       20
15684 fonts-larabie-deco                 	      20        0        1        0       19
15685 fonts-material-design-icons-iconfont	      20        1        0        0       19
15686 fonts-oflb-euterpe                 	      20        0        0        0       20
15687 fonts-rufscript                    	      20        0        0        0       20
15688 fonts-sil-doulos-compact           	      20        0        0        0       20
15689 fonts-tlwg-sawasdee-ttf            	      20        0        0        0       20
15690 fonts-tlwg-typewriter-ttf          	      20        0        0        0       20
15691 fonts-tlwg-typist-ttf              	      20        0        0        0       20
15692 fonts-tlwg-typo-ttf                	      20        1        0        0       19
15693 fonts-tlwg-umpush-ttf              	      20        0        0        0       20
15694 fonts-yanone-kaffeesatz            	      20        0        1        0       19
15695 fvwm-icons                         	      20        0        0        0       20
15696 gambas3-gb-gtk3                    	      20        1       17        2        0
15697 gcal                               	      20        1       19        0        0
15698 gcc-12-aarch64-linux-gnu-base      	      20        0        0        0       20
15699 gconf-gsettings-backend            	      20        0        0        0       20
15700 geomview                           	      20        2       18        0        0
15701 gir1.2-colord-1.0                  	      20        0        0        0       20
15702 gir1.2-spice-client-glib-2.0       	      20        3       17        0        0
15703 git-flow                           	      20        1       19        0        0
15704 glmark2-data                       	      20        0        0        0       20
15705 gnome-icon-theme-gartoon           	      20        0        0        0       20
15706 gnome-todo                         	      20        0        9        0       11
15707 gnubg                              	      20        1       18        1        0
15708 gnubg-data                         	      20        0        0        1       19
15709 gnucap-common                      	      20        1       19        0        0
15710 golang-1.15-go                     	      20        0       20        0        0
15711 golang-1.15-src                    	      20        0       20        0        0
15712 gpsprune                           	      20        1       18        1        0
15713 grub-efi-ia32-unsigned             	      20        0       19        1        0
15714 gstreamer0.10-gconf                	      20        1        0        0       19
15715 gtick                              	      20        0       18        2        0
15716 gtk2-engines-aurora                	      20        0        0        0       20
15717 gtk3-automnemonics                 	      20        5        9        0        6
15718 hexer                              	      20        1       19        0        0
15719 incron                             	      20        7       13        0        0
15720 irssi-scripts                      	      20        2       18        0        0
15721 itk3                               	      20        0        0        0       20
15722 josm                               	      20        3       16        1        0
15723 kde-icons-mono                     	      20        0        0        0       20
15724 khal                               	      20        0       19        1        0
15725 kolourpaint4                       	      20        0        1        0       19
15726 lazarus-2.2                        	      20        0        0        0       20
15727 lazarus-doc-2.2                    	      20        0        0        0       20
15728 lbreakout2                         	      20        1       19        0        0
15729 lbreakout2-data                    	      20        0        0        0       20
15730 lcov                               	      20        1       19        0        0
15731 lemonbar                           	      20        0       20        0        0
15732 libadwaitaqt6-1                    	      20        0        0        0       20
15733 libadwaitaqt6priv1                 	      20        0        0        0       20
15734 libagg2                            	      20        0        0        0       20
15735 libapache2-mod-php7.0              	      20        5       15        0        0
15736 libapache2-mod-security2           	      20        8       12        0        0
15737 libaudiofile-dev                   	      20        1       18        1        0
15738 libauthen-ntlm-perl                	      20        0       20        0        0
15739 libboost-iostreams1.42.0           	      20        0        0        0       20
15740 libboost-log1.67.0                 	      20        0        0        0       20
15741 libcarp-assert-perl                	      20        1       19        0        0
15742 libcharon-extra-plugins            	      20        7       13        0        0
15743 libchromaprint-dev                 	      20        0       20        0        0
15744 libcolord-gtk1t64                  	      20        0        0        0       20
15745 libcolord-gtk4-1t64                	      20        0        0        0       20
15746 libcom-err2t64                     	      20        0        1        0       19
15747 libcommons-dbcp2-java              	      20        0        0        0       20
15748 libconfig-model-approx-perl        	      20        1       18        1        0
15749 libconfig-model-openssh-perl       	      20        1       18        1        0
15750 libconfig-model-systemd-perl       	      20        1       18        1        0
15751 libconfig-model-tkui-perl          	      20        1       18        1        0
15752 libcrypto++8t64                    	      20        0        2        0       18
15753 libcsv3                            	      20        0        0        0       20
15754 libcufft10                         	      20        0        0        0       20
15755 libcuneiform0                      	      20        0        0        0       20
15756 libdevil1c2                        	      20        0       20        0        0
15757 libevent-extra-2.1-7t64            	      20        0        1        0       19
15758 libevent-openssl-2.1-7t64          	      20        0        1        0       19
15759 libfelix-main-java                 	      20        0        0        0       20
15760 libfilezilla0                      	      20        0        0        0       20
15761 libfm4t64                          	      20        2        2        0       16
15762 libfsntfs-utils                    	      20        1       19        0        0
15763 libfuse-perl                       	      20        0        0        0       20
15764 libgegl-0.2-0                      	      20        0        0        0       20
15765 libgeronimo-jms-1.1-spec-java      	      20        0        0        0       20
15766 libghc-dlist-dev                   	      20        1       19        0        0
15767 libghc-split-dev                   	      20        1       19        0        0
15768 libghc-zlib-dev                    	      20        1       19        0        0
15769 libgluegen2-jni                    	      20        0       20        0        0
15770 libgluegen2-rt-java                	      20        0        0        0       20
15771 libgnome-bg-4-2t64                 	      20        0        4        1       15
15772 libgnupg-interface-perl            	      20        3       15        2        0
15773 libgnustep-gui0.29                 	      20        0        1        0       19
15774 libgoffice-0.10-10t64              	      20        2       18        0        0
15775 libgraphicsmagick++-q16-12t64      	      20        0        0        0       20
15776 libgraphicsmagick1-dev             	      20        0       19        1        0
15777 libicu48                           	      20        1        0        0       19
15778 libio-socket-timeout-perl          	      20        3       16        1        0
15779 libjchart2d-java                   	      20        0        0        0       20
15780 libjiconfont-font-awesome-java     	      20        0        0        0       20
15781 libjiconfont-java                  	      20        0        0        0       20
15782 libjiconfont-swing-java            	      20        0        0        0       20
15783 libjogl2-java                      	      20        0        0        0       20
15784 libjogl2-jni                       	      20        0       20        0        0
15785 libjs-angularjs                    	      20        0        0        0       20
15786 libkdb5-9                          	      20        0        0        0       20
15787 libkdecorations2-6                 	      20        2       14        0        4
15788 libkexiv2-data                     	      20        0        0        0       20
15789 libksane-data                      	      20        0        0        0       20
15790 libkvazaar6                        	      20        5       12        0        3
15791 liblaf-plugin-java                 	      20        0        0        0       20
15792 liblwres80                         	      20        0        0        0       20
15793 libmbassador-java                  	      20        0        0        0       20
15794 libmnl-dev                         	      20        0       20        0        0
15795 libmoox-late-perl                  	      20        3       17        0        0
15796 libmozjs-60-0                      	      20        0        1        0       19
15797 libmpdclient2t64                   	      20        4        4        0       12
15798 libnb-org-openide-modules-java     	      20        0        0        0       20
15799 libnppial11                        	      20        0        0        0       20
15800 libnppim11                         	      20        0        0        0       20
15801 libnppist11                        	      20        0        0        0       20
15802 libnppisu11                        	      20        0        0        0       20
15803 libnppitc11                        	      20        0        0        0       20
15804 libnpps11                          	      20        0        0        0       20
15805 libntl-dev                         	      20        0       20        0        0
15806 libnvidia-ml-dev                   	      20        0       19        1        0
15807 libnvtt2                           	      20        0        0        0       20
15808 libobjc-8-dev                      	      20        0        0        0       20
15809 libopencsd0                        	      20        0        0        0       20
15810 libopencv-dnn4.5                   	      20        0        0        0       20
15811 liborcus-0.8-0                     	      20        0        0        0       20
15812 libperlio-via-timeout-perl         	      20        3       16        1        0
15813 libplacebo120                      	      20        0        0        0       20
15814 libpoppler-cpp0t64                 	      20        0        0        0       20
15815 libppl14                           	      20        0        0        0       20
15816 libpurple-dev                      	      20        1       19        0        0
15817 libpython3.4                       	      20        0        0        0       20
15818 libpython3.5-dev                   	      20        0       20        0        0
15819 libqb100                           	      20        1        7        0       12
15820 libqt4-dev-bin                     	      20        0       20        0        0
15821 libr0                              	      20        0        4        0       16
15822 libraw-dev                         	      20        0       19        1        0
15823 libredis-perl                      	      20        3       16        1        0
15824 librtmidi5                         	      20        0        0        0       20
15825 libsocket-getaddrinfo-perl         	      20        0       20        0        0
15826 libstax2-api-java                  	      20        0        0        0       20
15827 libsubid5                          	      20        0        0        0       20
15828 libsysprof-4-dev                   	      20        0       20        0        0
15829 libtag1-vanilla                    	      20        1        0        0       19
15830 libtag1c2a                         	      20        0        0        0       20
15831 libtest-warn-perl                  	      20        0       20        0        0
15832 libticables2-8                     	      20        6       14        0        0
15833 libticalcs2-13                     	      20        0        0        0       20
15834 libticonv9                         	      20        0        0        0       20
15835 libtifiles2-11                     	      20        0        0        0       20
15836 libtk-dirselect-perl               	      20        1       18        1        0
15837 libtk-doubleclick-perl             	      20        1       18        1        0
15838 libtk-fontdialog-perl              	      20        1       18        1        0
15839 libtk-histentry-perl               	      20        1       18        1        0
15840 libtss2-rc0t64                     	      20        0        0        0       20
15841 libusbmuxd-dev                     	      20        0       20        0        0
15842 libwavpack-dev                     	      20        0       20        0        0
15843 libwoodstox-java                   	      20        0        0        0       20
15844 libzvbi-dev                        	      20        0       18        2        0
15845 linux-doc                          	      20        0        0        0       20
15846 linux-headers-5.10.0-10-common     	      20        0       19        0        1
15847 linux-headers-5.10.0-19-common     	      20        0       20        0        0
15848 linux-headers-5.10.0-27-common     	      20        0       20        0        0
15849 linux-headers-5.10.0-30-amd64      	      20        0       20        0        0
15850 linux-image-6.1.0-26-686           	      20        2       18        0        0
15851 linux-image-6.1.0-31-686           	      20        0       11        9        0
15852 linux-image-6.11.5-amd64           	      20        0       20        0        0
15853 linux-image-6.12.9-amd64           	      20        2       18        0        0
15854 linux-image-6.7.9-amd64            	      20        1       19        0        0
15855 llvm-7-runtime                     	      20        0       20        0        0
15856 lmemory                            	      20        2       18        0        0
15857 love                               	      20        0       20        0        0
15858 ltris                              	      20        0       20        0        0
15859 lua-bit32                          	      20        0        0        0       20
15860 luarocks                           	      20        0       20        0        0
15861 makepasswd                         	      20        4       16        0        0
15862 mate-window-applets-common         	      20        0        0        0       20
15863 materia-kde                        	      20        0        0        0       20
15864 miniupnpc                          	      20        1       19        0        0
15865 mktemp                             	      20        0        0        0       20
15866 mugshot                            	      20        2       16        2        0
15867 network-manager-sstp               	      20        6       14        0        0
15868 network-manager-sstp-gnome         	      20        0       19        0        1
15869 node-require-from-string           	      20        0        0        0       20
15870 numptyphysics                      	      20        1       19        0        0
15871 nvidia-cuda-dev                    	      20        1       18        1        0
15872 nvidia-cuda-toolkit                	      20        2       18        0        0
15873 ocrmypdf-doc                       	      20        0        0        0       20
15874 openbox-menu                       	      20        0       20        0        0
15875 pcapfix                            	      20        5       14        1        0
15876 php-gettext                        	      20        1       19        0        0
15877 php7.4-imap                        	      20        3       17        0        0
15878 php7.4-sqlite3                     	      20        4       16        0        0
15879 playerctl                          	      20        1       19        0        0
15880 posterazor                         	      20        3       17        0        0
15881 postgresql-17                      	      20        8        9        3        0
15882 postgresql-doc-15                  	      20        0        0        0       20
15883 primus-libs                        	      20        0        0        0       20
15884 psi-l10n                           	      20        0        1        0       19
15885 pterm                              	      20        3       17        0        0
15886 puredata                           	      20        0        0        0       20
15887 puredata-dev                       	      20        0       20        0        0
15888 puredata-doc                       	      20        0        0        0       20
15889 puredata-extra                     	      20        0       20        0        0
15890 puredata-gui                       	      20        0       20        0        0
15891 puredata-utils                     	      20        0       20        0        0
15892 pxelinux                           	      20        0       20        0        0
15893 python-apsw                        	      20        0       20        0        0
15894 python-cherrypy3                   	      20        0       20        0        0
15895 python-oauthlib                    	      20        2       18        0        0
15896 python-pbr                         	      20        0       20        0        0
15897 python-routes                      	      20        0       20        0        0
15898 python-setuptools-doc              	      20        0        0        0       20
15899 python3-autobahn                   	      20        0       20        0        0
15900 python3-awscrt                     	      20        0       20        0        0
15901 python3-base58                     	      20        0       20        0        0
15902 python3-fsspec                     	      20        0       18        2        0
15903 python3-h5py-serial                	      20        0       19        1        0
15904 python3-mygpoclient                	      20        0       20        0        0
15905 python3-nose2                      	      20        0       20        0        0
15906 python3-peewee                     	      20        1       18        1        0
15907 python3-polib                      	      20        0       20        0        0
15908 python3-pygit2                     	      20        0       20        0        0
15909 python3-random2                    	      20        0       19        1        0
15910 python3-tldextract                 	      20        1       14        5        0
15911 python3-trie                       	      20        0       20        0        0
15912 python3-vdf                        	      20        0       20        0        0
15913 qtkeychain-qt5-dev                 	      20        0       19        1        0
15914 renameutils                        	      20        3       15        2        0
15915 rev-plugins                        	      20        1       19        0        0
15916 ri-li                              	      20        2       18        0        0
15917 ri-li-data                         	      20        0        0        0       20
15918 ricks-amdgpu-utils                 	      20        0        3        0       17
15919 rmlint-gui                         	      20        0       20        0        0
15920 rsh-client                         	      20        1       18        1        0
15921 scdoc                              	      20        0       20        0        0
15922 shorewall                          	      20        6       14        0        0
15923 shorewall-core                     	      20        6       11        0        3
15924 slrn                               	      20        2       18        0        0
15925 stalonetray                        	      20        1       19        0        0
15926 tcpreplay                          	      20        3       16        1        0
15927 tde-trinity                        	      20        0        0        0       20
15928 tdeedu-trinity                     	      20        0        0        0       20
15929 tesseract-ocr-ita                  	      20        0        0        0       20
15930 tilp2                              	      20        1       19        0        0
15931 trickle                            	      20        1       19        0        0
15932 uchardet                           	      20        2       17        1        0
15933 velocity                           	      20        0        0        0       20
15934 viking                             	      20        1       19        0        0
15935 vmpk                               	      20        0       20        0        0
15936 warzone2100                        	      20        3       16        1        0
15937 warzone2100-music                  	      20        0        0        0       20
15938 wireshark-gtk                      	      20        0        3        0       17
15939 wmmoonclock                        	      20        1       19        0        0
15940 wportuguese                        	      20        2       17        1        0
15941 xautomation                        	      20        0       19        1        0
15942 xbomb                              	      20        1       19        0        0
15943 xca                                	      20        1       19        0        0
15944 xcolorsel                          	      20        0       20        0        0
15945 xfce4-artwork                      	      20        0        0        0       20
15946 xfishtank                          	      20        0       20        0        0
15947 xfonts-intl-phonetic               	      20        0        0        0       20
15948 xoscope                            	      20        0       20        0        0
15949 xprintidle                         	      20        0       18        2        0
15950 xsettings-kde                      	      20        0       19        1        0
15951 xwaylandvideobridge                	      20        6       12        2        0
15952 zenmap                             	      20        1       19        0        0
15953 2048-qt                            	      19        1       18        0        0
15954 ant-doc                            	      19        0        0        0       19
15955 apache2-dev                        	      19        0       19        0        0
15956 apparmor-notify                    	      19        4       15        0        0
15957 aspell-doc                         	      19        0        0        0       19
15958 autojump                           	      19        2       16        1        0
15959 awstats                            	      19        3       16        0        0
15960 bluemon                            	      19        3       16        0        0
15961 boinc-manager                      	      19        2       15        2        0
15962 bvi                                	      19        3       15        1        0
15963 bzflag-client                      	      19        0       19        0        0
15964 bzflag-data                        	      19        0        0        0       19
15965 caja-actions                       	      19        7       12        0        0
15966 caja-share                         	      19        5        7        0        7
15967 chocolate-doom                     	      19        0       19        0        0
15968 clang-tools                        	      19        0       18        1        0
15969 clearlooks-phenix-theme            	      19        0        0        0       19
15970 cloud-guest-utils                  	      19        1       17        1        0
15971 cmdtest                            	      19        1       18        0        0
15972 cpp-doc                            	      19        0        0        0       19
15973 cuneiform                          	      19        1       18        0        0
15974 ddccontrol                         	      19        3       16        0        0
15975 ddccontrol-db                      	      19        0        0        0       19
15976 de4dot                             	      19        1       18        0        0
15977 debian-refcard                     	      19        0        0        0       19
15978 dh-dkms                            	      19        3       15        1        0
15979 dnscrypt-proxy                     	      19        6       13        0        0
15980 docbook-xsl-doc-html               	      19        0        0        0       19
15981 dpatch                             	      19        1       18        0        0
15982 dupeguru                           	      19        4       15        0        0
15983 elpa-git-commit                    	      19        3       16        0        0
15984 elpa-magit                         	      19        3       16        0        0
15985 elpa-s                             	      19        2       17        0        0
15986 enjarify                           	      19        3       15        1        0
15987 erlang-mode                        	      19        1       17        1        0
15988 escputil                           	      19        1       18        0        0
15989 fatsort                            	      19        2       17        0        0
15990 fcitx5                             	      19        1       17        1        0
15991 firebird-utils                     	      19        3        9        7        0
15992 firebird2.5-common                 	      19        1       18        0        0
15993 firebird4.0-common                 	      19        0        0        0       19
15994 firebird4.0-common-doc             	      19        0        0        0       19
15995 firebird4.0-server-core            	      19        0        0        0       19
15996 firmware-ivtv                      	      19        1       18        0        0
15997 fonts-bpg-georgian                 	      19        2        2        0       15
15998 fonts-dancingscript                	      19        0        0        0       19
15999 fonts-dkg-handwriting              	      19        2        0        0       17
16000 fonts-gnutypewriter                	      19        0        0        0       19
16001 fonts-larabie-straight             	      19        1        2        0       16
16002 fonts-materialdesignicons-webfont  	      19        0        0        0       19
16003 freeciv-client-extras              	      19        0       18        1        0
16004 fs-uae                             	      19        0       19        0        0
16005 gcc-12-aarch64-linux-gnu           	      19        1       18        0        0
16006 getmail4                           	      19        1        5        0       13
16007 getmail6                           	      19        2       16        1        0
16008 gfortran-doc                       	      19        0        0        0       19
16009 gir1.2-champlain-0.12              	      19        0        0        0       19
16010 gir1.2-gtkchamplain-0.12           	      19        0        0        0       19
16011 gir1.2-nautilus-3.0                	      19        0        0        0       19
16012 gir1.2-spice-client-gtk-3.0        	      19        3       16        0        0
16013 glmark2-x11                        	      19        3       16        0        0
16014 gnome-mplayer                      	      19        0       19        0        0
16015 gnustep-back0.29                   	      19        0        0        0       19
16016 gnustep-back0.29-cairo             	      19        0        0        0       19
16017 gnutls-doc                         	      19        0       15        4        0
16018 gstreamer0.10-plugins-bad          	      19        1        2        0       16
16019 gzdoom                             	      19        0       19        0        0
16020 heirloom-mailx                     	      19        3       15        0        1
16021 httpie                             	      19        1       18        0        0
16022 ijsgutenprint                      	      19        0       19        0        0
16023 imv                                	      19        1       16        2        0
16024 inkscape-textext                   	      19        0        0        0       19
16025 ipgrab                             	      19        2       16        1        0
16026 iwidgets4                          	      19        0        0        0       19
16027 k3b-data-trinity                   	      19        0        0        0       19
16028 k3b-trinity                        	      19        1       18        0        0
16029 kde-baseapps-data                  	      19        0        0        0       19
16030 kernel-package                     	      19        1       18        0        0
16031 kexec-tools                        	      19        1       18        0        0
16032 libalglib3.19                      	      19        0        0        0       19
16033 libapache2-mod-python              	      19        1       18        0        0
16034 libapparmor-perl                   	      19        1        0        0       18
16035 libauparse0t64                     	      19        3        1        0       15
16036 libavahi-compat-libdnssd-dev       	      19        0       19        0        0
16037 libavifile-0.7c2                   	      19        0        0        0       19
16038 libbox2d2.3.0                      	      19        0        0        0       19
16039 libcairo2-doc                      	      19        0        0        0       19
16040 libcanberra0t64                    	      19        3        5        0       11
16041 libcgal-dev                        	      19        0       19        0        0
16042 libclamunrar                       	      19        0        0        0       19
16043 libcminpack1                       	      19        0        0        0       19
16044 libconfig-model-dpkg-perl          	      19        1       16        2        0
16045 libconfig11                        	      19        3        2        1       13
16046 libcu++-dev                        	      19        0       18        1        0
16047 libcublas11                        	      19        0        0        0       19
16048 libcublaslt11                      	      19        0        0        0       19
16049 libcudart11.0                      	      19        0        0        0       19
16050 libcufftw10                        	      19        0        0        0       19
16051 libcusparse11                      	      19        0        0        0       19
16052 libdcmtk14                         	      19        0        0        0       19
16053 libddccontrol0                     	      19        5        9        0        5
16054 libdnlib2.1-cil                    	      19        0       19        0        0
16055 libengine-gost-openssl1.1          	      19        0        0        0       19
16056 libevent-1.4-2                     	      19        0        0        0       19
16057 libfm-gtk3-4t64                    	      19        2        2        0       15
16058 libfolks-eds25                     	      19        0        0        0       19
16059 libgcc-12-dev-arm64-cross          	      19        0        0        0       19
16060 libghc-x11-dev                     	      19        0       19        0        0
16061 libgimp2.0-dev                     	      19        0       19        0        0
16062 libgl2ps-dev                       	      19        1       18        0        0
16063 libgmlib1                          	      19        0        0        0       19
16064 libgmtk1                           	      19        0        0        0       19
16065 libgtkmm-2.4-dev                   	      19        0       19        0        0
16066 libiw-dev                          	      19        0       19        0        0
16067 libk3b3-trinity                    	      19        1       18        0        0
16068 libkf5sonnet-dev                   	      19        0       18        1        0
16069 libkf5sonnet-dev-bin               	      19        0       18        1        0
16070 liblensfun-data                    	      19        0        0        0       19
16071 liblinux-lvm-perl                  	      19        1       18        0        0
16072 liblouis2                          	      19        0        0        0       19
16073 libmcrypt-dev                      	      19        1       18        0        0
16074 libmecab2                          	      19        0        0        0       19
16075 libmyguiengine3debian1v5           	      19        0        0        0       19
16076 libmysqlclient-dev                 	      19        0       18        1        0
16077 libnb-platform18-java              	      19        0        0        0       19
16078 libnova-0.16-0t64                  	      19        0        0        0       19
16079 libnvblas11                        	      19        0        0        0       19
16080 libnvjpeg11                        	      19        0        0        0       19
16081 libnvrtc11.2                       	      19        0        0        0       19
16082 libogg-vorbis-header-pureperl-perl 	      19        1       18        0        0
16083 libphp-phpmailer                   	      19        3       16        0        0
16084 libpicocli-java                    	      19        0        0        0       19
16085 libpnglite0                        	      19        0        0        0       19
16086 libproc-daemon-perl                	      19        1       18        0        0
16087 libproc-simple-perl                	      19        0       17        2        0
16088 libqpdf30                          	      19        0        0        0       19
16089 libqt5pas-dev                      	      19        0        0        0       19
16090 libquotient0.6                     	      19        0        0        0       19
16091 libreoffice-help-el                	      19        0        0        0       19
16092 librpmbuild8                       	      19        0        0        0       19
16093 librpmsign8                        	      19        0        0        0       19
16094 librtlsdr-dev                      	      19        1       17        1        0
16095 libsass-dev                        	      19        1       17        1        0
16096 libshine-dev                       	      19        0       19        0        0
16097 libspdlog1                         	      19        1        3        0       15
16098 libspring-core-java                	      19        0        0        0       19
16099 libstellarsolver2                  	      19        0        0        0       19
16100 libstrophe0                        	      19        1        1        0       17
16101 libsundials-ida4                   	      19        0        0        0       19
16102 libsundials-nvecserial4            	      19        0        0        0       19
16103 libsundials-sunlinsol2             	      19        0        0        0       19
16104 libsundials-sunmatrix2             	      19        0        0        0       19
16105 libtinyxml2-6a                     	      19        0        0        0       19
16106 libucommon8                        	      19        1        0        0       18
16107 libvirt-bin                        	      19        0        0        0       19
16108 libvmaf3                           	      19        9        6        0        4
16109 libx86emu1                         	      19        0        0        0       19
16110 libxcb-xrm-dev                     	      19        0       19        0        0
16111 libxenstore4t64                    	      19        9       10        0        0
16112 libzeroc-ice3.7                    	      19        3       12        0        4
16113 linux-config-5.10                  	      19        0        0        0       19
16114 linux-headers-3.16.0-4-amd64       	      19        1       18        0        0
16115 linux-headers-4.9.0-11-common      	      19        0       19        0        0
16116 linux-headers-6.12.9+bpo-amd64     	      19        1       17        1        0
16117 linux-headers-6.12.9+bpo-common    	      19        1       17        1        0
16118 linux-kbuild-6.12.9+bpo            	      19        0        0        0       19
16119 linux-source-5.10                  	      19        0        0        0       19
16120 llvm-7                             	      19        0       19        0        0
16121 llvm-7-dev                         	      19        0       19        0        0
16122 lsp-plugins                        	      19        0        2        0       17
16123 maildir-utils                      	      19        4       14        1        0
16124 makeself                           	      19        1       18        0        0
16125 mate-common                        	      19        0       17        2        0
16126 mate-window-buttons-applet         	      19        0        0        0       19
16127 mate-window-title-applet           	      19        0        0        0       19
16128 mlterm                             	      19        3       16        0        0
16129 mlterm-common                      	      19        0        0        0       19
16130 mp3rename                          	      19        1       17        1        0
16131 mpeg2dec                           	      19        2       16        1        0
16132 muon                               	      19        0       19        0        0
16133 muse                               	      19        0       18        1        0
16134 mutter-common-bin                  	      19        0        3        4       12
16135 myspell-tl                         	      19        2       17        0        0
16136 netstat-nat                        	      19        1       18        0        0
16137 nfswatch                           	      19        2       17        0        0
16138 node-envinfo                       	      19        0        0        0       19
16139 node-http-proxy-agent              	      19        0        0        0       19
16140 node-re2                           	      19        0        0        0       19
16141 nrg2iso                            	      19        2       17        0        0
16142 nsight-compute                     	      19        0       18        1        0
16143 nsight-compute-target              	      19        0        0        0       19
16144 nsight-systems                     	      19        0       18        1        0
16145 nsight-systems-target              	      19        0        0        0       19
16146 nslcd-utils                        	      19        3       16        0        0
16147 nstreams                           	      19        3       15        1        0
16148 nvidia-cuda-gdb                    	      19        0       18        1        0
16149 nvidia-cuda-toolkit-doc            	      19        0       18        1        0
16150 nvidia-tesla-470-alternative       	      19        0        0        0       19
16151 obsidian                           	      19        2        2        0       15
16152 ostree                             	      19        0       17        2        0
16153 packit                             	      19        3       15        1        0
16154 pamixer                            	      19        3       15        1        0
16155 pan                                	      19        1       18        0        0
16156 pari-gp                            	      19        1       17        1        0
16157 patool                             	      19        1       17        1        0
16158 php-net-sieve                      	      19        3       16        0        0
16159 pinentry-doc                       	      19        0        0        0       19
16160 pmidi                              	      19        1       18        0        0
16161 pngtools                           	      19        0       19        0        0
16162 protontricks                       	      19        1       18        0        0
16163 pst-utils                          	      19        2       16        1        0
16164 puredata-gui-l10n                  	      19        0       19        0        0
16165 python-cffi                        	      19        1       18        0        0
16166 python-cssselect                   	      19        0       19        0        0
16167 python-cups                        	      19        2       17        0        0
16168 python-opengl                      	      19        0       19        0        0
16169 python3-boto3                      	      19        0       19        0        0
16170 python3-django                     	      19        2       15        2        0
16171 python3-h5py                       	      19        0        2        1       16
16172 python3-mnemonic                   	      19        0       19        0        0
16173 python3-neovim                     	      19        0        1        0       18
16174 python3-podcastparser              	      19        0       19        0        0
16175 python3-python-socks               	      19        0       19        0        0
16176 python3-scapy                      	      19        1       18        0        0
16177 python3-shtab                      	      19        1       18        0        0
16178 python3-simplegeneric              	      19        0       19        0        0
16179 python3-tempita                    	      19        0       19        0        0
16180 python3-tlsh                       	      19        3       13        3        0
16181 python3-u-msgpack                  	      19        2       17        0        0
16182 python3-ubjson                     	      19        0       19        0        0
16183 python3-whoosh                     	      19        1       18        0        0
16184 python3-wsaccel                    	      19        0       19        0        0
16185 qml6-module-qtqml-xmllistmodel     	      19        0        0        0       19
16186 qml6-module-qtquick-localstorage   	      19        0        0        0       19
16187 qml6-module-qtquick-nativestyle    	      19        0        0        0       19
16188 qml6-module-qtquick3d-spatialaudio 	      19        0        0        0       19
16189 qt4-linguist-tools                 	      19        0       19        0        0
16190 rclone-browser                     	      19        0       18        1        0
16191 rep                                	      19        1       18        0        0
16192 rocminfo                           	      19        1       18        0        0
16193 roundcube-mysql                    	      19        0        0        0       19
16194 ruby-mini-mime                     	      19        0       19        0        0
16195 ruby-zeitwerk                      	      19        0       19        0        0
16196 samba-ad-dc                        	      19        2       10        3        4
16197 schism                             	      19        1       18        0        0
16198 scrcpy-server                      	      19        0        0        0       19
16199 slurp                              	      19        1       18        0        0
16200 soapysdr-module-all                	      19        0        0        0       19
16201 solfege                            	      19        2       16        1        0
16202 stumpwm                            	      19        1       18        0        0
16203 telepathy-haze                     	      19        0       19        0        0
16204 thunderbird-l10n-es-es             	      19        1       15        3        0
16205 tk-html3                           	      19        0       19        0        0
16206 tk8.6-doc                          	      19        0        0        0       19
16207 tkblt                              	      19        0        0        0       19
16208 twinkle                            	      19        3       16        0        0
16209 ufoai-common                       	      19        0        0        0       19
16210 ufoai-data                         	      19        0        0        0       19
16211 ufoai-maps                         	      19        0        0        0       19
16212 ufoai-misc                         	      19        0        0        0       19
16213 ufoai-music                        	      19        0        0        0       19
16214 ufoai-sound                        	      19        0        0        0       19
16215 ufoai-textures                     	      19        0        0        0       19
16216 unifi                              	      19        7       12        0        0
16217 upx-ucl                            	      19        2       17        0        0
16218 usbredirect                        	      19        4       15        0        0
16219 vbindiff                           	      19        1       17        1        0
16220 vco-plugins                        	      19        0       19        0        0
16221 vdirsyncer                         	      19        0       17        2        0
16222 vim-latexsuite                     	      19        0        0        0       19
16223 vkeybd                             	      19        0       19        0        0
16224 vlc-nox                            	      19        1       12        1        5
16225 warzone2100-data                   	      19        0        0        0       19
16226 wcanadian-insane                   	      19        2       16        1        0
16227 weplab                             	      19        3       15        1        0
16228 x2x                                	      19        0       18        1        0
16229 xindy                              	      19        0       19        0        0
16230 xserver-xorg-video-modesetting     	      19        1       17        1        0
16231 xzoom                              	      19        1       18        0        0
16232 zsync                              	      19        1       16        2        0
16233 acetoneiso                         	      18        0       18        0        0
16234 adoptium-ca-certificates           	      18        0        0        0       18
16235 adwaita-qt6                        	      18        0        0        0       18
16236 apt-mirror                         	      18        1       16        1        0
16237 archivemount                       	      18        2       16        0        0
16238 autokey-gtk                        	      18        1       17        0        0
16239 automake1.11                       	      18        1       17        0        0
16240 bfbtester                          	      18        3       14        1        0
16241 blockattack                        	      18        0       18        0        0
16242 bluez-source                       	      18        0        0        0       18
16243 bsdiff                             	      18        4       14        0        0
16244 cairo-dock-plug-in-data            	      18        1        1        0       16
16245 cava                               	      18        2       16        0        0
16246 certmonger                         	      18        0       18        0        0
16247 cherrytree                         	      18        2       16        0        0
16248 clusterssh                         	      18        0       18        0        0
16249 codeblocks-contrib                 	      18        0       18        0        0
16250 copyq-plugins                      	      18        5       13        0        0
16251 coreboot-utils-doc                 	      18        0       17        1        0
16252 cpp-arm-linux-gnueabi              	      18        0       18        0        0
16253 crack-attack                       	      18        1       17        0        0
16254 crystalcursors                     	      18        0        0        0       18
16255 ctorrent                           	      18        1       16        1        0
16256 cuyo                               	      18        0       18        0        0
16257 cuyo-data                          	      18        0        0        0       18
16258 denemo-data                        	      18        0       17        1        0
16259 denemo-doc                         	      18        0        0        0       18
16260 dia2code                           	      18        1       17        0        0
16261 dictconv                           	      18        3       14        1        0
16262 ditaa                              	      18        0       17        1        0
16263 drascula                           	      18        0       18        0        0
16264 duff                               	      18        2       15        1        0
16265 ecasound                           	      18        1       17        0        0
16266 editorconfig                       	      18        1       17        0        0
16267 einstein                           	      18        4       14        0        0
16268 elpa-let-alist                     	      18        5       13        0        0
16269 endless-sky                        	      18        0       18        0        0
16270 erlang-cl                          	      18        0       18        0        0
16271 erlang-dialyzer                    	      18        0       17        1        0
16272 erlang-esdl                        	      18        0       18        0        0
16273 evolution-ews                      	      18        4       12        2        0
16274 evolution-ews-core                 	      18        7        6        5        0
16275 fcitx-mozc-data                    	      18        0        0        0       18
16276 fcitx5-config-qt                   	      18        0       17        1        0
16277 fim                                	      18        1       17        0        0
16278 flake                              	      18        0       18        0        0
16279 fonts-arundina                     	      18        2        0        0       16
16280 fonts-essays1743                   	      18        1        0        0       17
16281 fonts-junction                     	      18        0        0        0       18
16282 fonts-kristi                       	      18        0        1        0       17
16283 fonts-larabie-uncommon             	      18        0        2        0       16
16284 fonts-play                         	      18        0        0        0       18
16285 freeipmi-ipmidetect                	      18        1       17        0        0
16286 gambas3-gb-desktop                 	      18        0       16        2        0
16287 gambas3-gb-desktop-x11             	      18        0       16        2        0
16288 gambas3-gb-gui                     	      18        1       16        1        0
16289 gambas3-gb-net                     	      18        1       15        2        0
16290 gambas3-gb-opengl                  	      18        0       16        2        0
16291 gaupol                             	      18        0       18        0        0
16292 gcc-10-cross-base                  	      18        0        0        0       18
16293 gcc-arm-linux-gnueabi              	      18        0       18        0        0
16294 gdb-multiarch                      	      18        0       18        0        0
16295 geoipupdate                        	      18        2       16        0        0
16296 ghostscript-doc                    	      18        0        0        0       18
16297 gimp-cbmplugs                      	      18        0       18        0        0
16298 gir1.2-flatpak-1.0                 	      18        0        0        0       18
16299 gir1.2-javascriptcoregtk-3.0       	      18        0        0        0       18
16300 git-filter-repo                    	      18        0       18        0        0
16301 gnome-common                       	      18        0       18        0        0
16302 gnome-packagekit                   	      18        0       18        0        0
16303 goodvibes                          	      18        4       14        0        0
16304 gpodder                            	      18        0       18        0        0
16305 gromit-mpx                         	      18        3       15        0        0
16306 grpn                               	      18        1       17        0        0
16307 gtkam-gimp                         	      18        0       18        0        0
16308 guile-3.0-dev                      	      18        0       17        1        0
16309 hamradio-files                     	      18        0        0        0       18
16310 heroic                             	      18        1       15        2        0
16311 hunspell-en-med                    	      18        0       17        1        0
16312 ihungarian                         	      18        0       18        0        0
16313 inetutils-traceroute               	      18        1       17        0        0
16314 ipe                                	      18        0       18        0        0
16315 ircii                              	      18        0       18        0        0
16316 jigdo-file                         	      18        1       17        0        0
16317 julia-common                       	      18        0       17        0        1
16318 kodi-inputstream-adaptive          	      18        0        0        0       18
16319 l3afpad                            	      18        1       16        1        0
16320 latex2rtf                          	      18        2       16        0        0
16321 lib32asan5                         	      18        0        0        0       18
16322 lib32gcc-13-dev                    	      18        0        0        0       18
16323 libapache2-mod-wsgi-py3            	      18        3       15        0        0
16324 libapm1                            	      18        0        0        0       18
16325 libasan8-armhf-cross               	      18        0        0        0       18
16326 libavcodec-extra60                 	      18        1        1        0       16
16327 libavcodec53                       	      18        0        0        0       18
16328 libavresample-dev                  	      18        0       17        1        0
16329 libbenchmark1debian                	      18        0        0        0       18
16330 libbind9-60                        	      18        0        0        0       18
16331 libboost-random1.83.0              	      18        0        0        0       18
16332 libboost-regex1.83-dev             	      18        0        0        0       18
16333 libboost-regex1.83.0               	      18        0        0        0       18
16334 libboost-serialization1.83-dev     	      18        0        0        0       18
16335 libboost-system1.83-dev            	      18        0        0        0       18
16336 libboost-system1.83.0              	      18        0        0        0       18
16337 libbs2b-dev                        	      18        0       17        1        0
16338 libbt0                             	      18        0        0        0       18
16339 libbtrfs0                          	      18        0        0        0       18
16340 libbullet3.24                      	      18        0        0        0       18
16341 libc-client2007e-dev               	      18        0       18        0        0
16342 libc6-amd64                        	      18        0        0        0       18
16343 libc6-i386-cross                   	      18        0        0        0       18
16344 libcamera-ipa                      	      18        0        0        0       18
16345 libcgroup1                         	      18        0        0        0       18
16346 libclang-common-7-dev              	      18        0       18        0        0
16347 libclojure-java                    	      18        0        0        0       18
16348 libcmark0.29.0                     	      18        0        0        0       18
16349 libcore-specs-alpha-clojure        	      18        0        0        0       18
16350 libcortado-java                    	      18        0        0        0       18
16351 libdbi1t64                         	      18        0        1        0       17
16352 libddcutil4                        	      18        1        5        0       12
16353 libdevel-mat-dumper-perl           	      18        0        0        0       18
16354 libdirac-decoder0                  	      18        0        0        0       18
16355 libdns69                           	      18        0        0        0       18
16356 libdrumstick-rt2                   	      18        0        0        0       18
16357 libdrumstick-widgets2              	      18        0        0        0       18
16358 libecl-dev                         	      18        0       18        0        0
16359 libecl21.2                         	      18        0        0        0       18
16360 libecm1                            	      18        0        0        0       18
16361 libfcitx5gclient2                  	      18        1       10        0        7
16362 libfile-libmagic-perl              	      18        0        0        0       18
16363 libfuse3-dev                       	      18        0       16        2        0
16364 libgd2-xpm                         	      18        0        0        0       18
16365 libghc-blaze-builder-dev           	      18        1       17        0        0
16366 libghc-setlocale-dev               	      18        0       18        0        0
16367 libghc-x11-xft-dev                 	      18        0       18        0        0
16368 libghc-xmonad-dev                  	      18        0       18        0        0
16369 libglib3.0-cil-dev                 	      18        2       16        0        0
16370 libgnome-rr-4-2t64                 	      18        0        0        0       18
16371 libgupnp-1.2-1                     	      18        0        0        0       18
16372 libguvcview-2.0-2                  	      18        0        0        0       18
16373 libhash-multivalue-perl            	      18        2       16        0        0
16374 libheif-examples                   	      18        0       18        0        0
16375 libhttp-cache-transparent-perl     	      18        4       14        0        0
16376 libime-data                        	      18        0        0        0       18
16377 libime-data-language-model         	      18        1        3        0       14
16378 libimecore0                        	      18        1        5        0       12
16379 libimepinyin0                      	      18        1        4        0       13
16380 libimetable0                       	      18        0        1        0       17
16381 libirrlicht1.8                     	      18        0        1        0       17
16382 libisc62                           	      18        0        0        0       18
16383 libisccc60                         	      18        0        0        0       18
16384 libisccfg62                        	      18        0        0        0       18
16385 libjaxrs-api-java                  	      18        0        0        0       18
16386 libjericho-html-java               	      18        0        0        0       18
16387 libkcddb6-5                        	      18        0        0        0       18
16388 libkdsoap-qt6-2                    	      18        0        0        0       18
16389 libkdsoapwsdiscoveryclient0        	      18        0        0        0       18
16390 libkf5completion-doc               	      18        0        0        0       18
16391 libkf5doctools-dev                 	      18        1       17        0        0
16392 libkf5notifications-dev            	      18        0       17        1        0
16393 libleveldb-dev                     	      18        0       18        0        0
16394 libliquid1                         	      18        0        0        0       18
16395 liblmdb-dev                        	      18        1       17        0        0
16396 liblua5.4-dev                      	      18        0       18        0        0
16397 liblwres60                         	      18        0        0        0       18
16398 libmagick++-6.q16-9t64             	      18        0        0        0       18
16399 libmpd1                            	      18        0        0        0       18
16400 libmsi0                            	      18        0        0        0       18
16401 libnet-smtp-tls-perl               	      18        3       14        1        0
16402 libnet-xwhois-perl                 	      18        1       17        0        0
16403 libnm-dev                          	      18        0       16        2        0
16404 libnvidia-tesla-470-ml1            	      18        0        0        0       18
16405 libpam-krb5                        	      18        5       11        0        2
16406 libpam-mount                       	      18        4        9        0        5
16407 libplexus-container-default1.5-java	      18        0        0        0       18
16408 libportmidi-dev                    	      18        0       18        0        0
16409 libppl-c4                          	      18        0        0        0       18
16410 libprimus-vk1                      	      18        0        0        0       18
16411 libprojectm3                       	      18        1        1        0       16
16412 libqt5charts5-dev                  	      18        0       18        0        0
16413 libqt6labsplatform6                	      18        5        0        0       13
16414 libqt6pdf6                         	      18        1        2        0       15
16415 libqtspell-qt5-1                   	      18        0        0        0       18
16416 libqtspell-qt5-data                	      18        0        0        0       18
16417 libreoffice-kf6                    	      18        2       11        5        0
16418 libreoffice-l10n-nl                	      18        1       15        2        0
16419 librep16                           	      18        0        2        0       16
16420 librust-libc-dev                   	      18        0        0        0       18
16421 libspec-alpha-clojure              	      18        0        0        0       18
16422 libspring-beans-java               	      18        0        0        0       18
16423 libsrtp2-dev                       	      18        0       18        0        0
16424 libsub-handlesvia-perl             	      18        3       15        0        0
16425 libsvga1                           	      18        0        0        0       18
16426 libsystemd-login0                  	      18        0        0        0       18
16427 libterm-readline-perl-perl         	      18        1       17        0        0
16428 libtime-piece-mysql-perl           	      18        1       17        0        0
16429 libtracefs1                        	      18        0        0        0       18
16430 liburcu6                           	      18        0        0        0       18
16431 libutfcpp-dev                      	      18        0       18        0        0
16432 libwayland-client++0               	      18        0        0        0       18
16433 libwayland-cursor++0               	      18        0        0        0       18
16434 libwhereami0                       	      18        0        0        0       18
16435 libx32gcc-13-dev                   	      18        0        0        0       18
16436 libxcb-doc                         	      18        0        0        0       18
16437 libxcb-res0-dev                    	      18        1       16        1        0
16438 libzimg-dev                        	      18        0       18        0        0
16439 libznz3                            	      18        0        0        0       18
16440 linux-doc-6.1                      	      18        0        0        0       18
16441 linux-headers-5.10.0-10-amd64      	      18        0       17        0        1
16442 linux-headers-5.10.0-27-amd64      	      18        0       18        0        0
16443 linux-image-4.19.0-10-amd64        	      18        0       17        0        1
16444 linux-image-6.10.11-amd64          	      18        0       18        0        0
16445 linux-image-6.10.6+bpo-amd64       	      18        0       18        0        0
16446 linux-image-6.10.6-amd64           	      18        1       16        0        1
16447 linux-image-6.11.5+bpo-amd64       	      18        1       17        0        0
16448 lsp-plugins-vst                    	      18        0       14        0        4
16449 maildrop                           	      18        3       14        1        0
16450 manpages-hu                        	      18        0        0        0       18
16451 mate-window-menu-applet            	      18        0        0        0       18
16452 mb2md                              	      18        2       16        0        0
16453 mda-lv2                            	      18        5       12        0        1
16454 mg                                 	      18        4       13        1        0
16455 microcom                           	      18        5       13        0        0
16456 mlterm-tools                       	      18        2       16        0        0
16457 mongodb-org-tools                  	      18        0        3        0       15
16458 mpgtx                              	      18        0       18        0        0
16459 musl-dev                           	      18        1       17        0        0
16460 nmh                                	      18        3       14        1        0
16461 node-less                          	      18        1       17        0        0
16462 nordvpn-release                    	      18        0        0        0       18
16463 nvidia-opencl-dev                  	      18        0        0        0       18
16464 obex-data-server                   	      18        1       17        0        0
16465 openjdk-21-jdk-headless            	      18        0        0        0       18
16466 orchis-gtk-theme                   	      18        0        0        0       18
16467 pev                                	      18        3       13        1        1
16468 php7.4-bcmath                      	      18        5       13        0        0
16469 php8.0-cli                         	      18        3       15        0        0
16470 php8.0-common                      	      18        6       12        0        0
16471 php8.0-opcache                     	      18        6       12        0        0
16472 php8.0-readline                    	      18        6       12        0        0
16473 php8.1-common                      	      18        4       14        0        0
16474 php8.2-msgpack                     	      18        7       11        0        0
16475 pinball                            	      18        1       17        0        0
16476 pinball-data                       	      18        0        0        0       18
16477 pipenv                             	      18        1       17        0        0
16478 pipewire-libcamera                 	      18        0        9        0        9
16479 postgresql-client-16               	      18        4       14        0        0
16480 psrip                              	      18        3       14        1        0
16481 puppet-agent                       	      18        0       18        0        0
16482 pydf                               	      18        4       14        0        0
16483 python-cssutils                    	      18        0       18        0        0
16484 python-gmpy2-common                	      18        0        0        0       18
16485 python-kiwisolver                  	      18        0       18        0        0
16486 python-libtorrent                  	      18        0       18        0        0
16487 python-mechanize                   	      18        0       18        0        0
16488 python-mock                        	      18        0       17        0        1
16489 python-nacl                        	      18        0       18        0        0
16490 python-webob                       	      18        0       18        0        0
16491 python-xlib                        	      18        0       18        0        0
16492 python3-bitstring                  	      18        0       18        0        0
16493 python3-bluez                      	      18        0       16        2        0
16494 python3-dropbox                    	      18        0       18        0        0
16495 python3-fitz                       	      18        0       16        2        0
16496 python3-gattlib                    	      18        0       16        2        0
16497 python3-gmpy2                      	      18        1       16        1        0
16498 python3-langdetect                 	      18        2       16        0        0
16499 python3-mediainfodll               	      18        2       14        2        0
16500 python3-mpd                        	      18        0       16        2        0
16501 python3-pymediainfo                	      18        0       17        1        0
16502 python3-stone                      	      18        0       18        0        0
16503 python3-uvicorn                    	      18        0       16        2        0
16504 python3-x2go                       	      18        0       17        1        0
16505 python3-xattr                      	      18        0       18        0        0
16506 qml6-module-qt-labs-animation      	      18        0        0        0       18
16507 qml6-module-qt-labs-sharedimage    	      18        0        0        0       18
16508 qml6-module-qt-labs-wavefrontmesh  	      18        0        0        0       18
16509 qprint                             	      18        1       17        0        0
16510 qtractor                           	      18        0       18        0        0
16511 quiterss                           	      18        1       17        0        0
16512 r-cran-coda                        	      18        1       17        0        0
16513 r-mathlib                          	      18        0       17        1        0
16514 racket-doc                         	      18        0        0        0       18
16515 ratpoison                          	      18        3       15        0        0
16516 rep-gtk                            	      18        1       17        0        0
16517 roundcube                          	      18        0        0        0       18
16518 roundcube-core                     	      18        4       14        0        0
16519 ruby-ipaddress                     	      18        2       15        1        0
16520 ruby-rbtree                        	      18        0        0        0       18
16521 ruby-rchardet                      	      18        2       15        1        0
16522 ruby-selinux                       	      18        0        1        0       17
16523 ruby-sorted-set                    	      18        0        0        0       18
16524 rustfmt                            	      18        0       17        1        0
16525 sawfish                            	      18        1       17        0        0
16526 sawfish-data                       	      18        0       16        0        2
16527 scid                               	      18        0       18        0        0
16528 scid-data                          	      18        0        0        0       18
16529 searchmonkey                       	      18        2       16        0        0
16530 shutter                            	      18        2       13        3        0
16531 sipcrack                           	      18        3       14        1        0
16532 slop                               	      18        0       17        1        0
16533 snapper                            	      18        1       17        0        0
16534 sqlite3-tools                      	      18        1       16        1        0
16535 squashfuse                         	      18        1       16        1        0
16536 swisswatch                         	      18        3       15        0        0
16537 sylpheed-plugins                   	      18        0        2        0       16
16538 systemtap-sdt-dev                  	      18        0       17        1        0
16539 tar-doc                            	      18        0        0        0       18
16540 task-dutch                         	      18        0        0        0       18
16541 task-greek-desktop                 	      18        0        0        0       18
16542 task-hungarian                     	      18        0        0        0       18
16543 task-italian-kde-desktop           	      18        0        0        0       18
16544 tcl-ttkthemes                      	      18        0        0        0       18
16545 tdsodbc                            	      18        1        0        0       17
16546 tortoisehg                         	      18        0       18        0        0
16547 ubuntu-keyring                     	      18        0        0        0       18
16548 ufoai                              	      18        0       17        1        0
16549 vim-motif                          	      18        2       15        1        0
16550 virt-install                       	      18        2       15        1        0
16551 wah-plugins                        	      18        1       17        0        0
16552 wcanadian                          	      18        2       15        1        0
16553 wcanadian-huge                     	      18        2       15        1        0
16554 wcanadian-large                    	      18        2       15        1        0
16555 wcanadian-small                    	      18        2       15        1        0
16556 wget2                              	      18        1       15        2        0
16557 wirish                             	      18        2       15        1        0
16558 xbindkeys-config                   	      18        0       18        0        0
16559 xcowsay                            	      18        0       18        0        0
16560 xdemineur                          	      18        0       18        0        0
16561 xfonts-tipa                        	      18        0        0        0       18
16562 xmlbeans                           	      18        3       14        1        0
16563 xrestop                            	      18        1       17        0        0
16564 xserver-xorg-input-vmmouse         	      18        0       17        1        0
16565 zhcon                              	      18        0       17        1        0
16566 zhcon-data                         	      18        0        0        0       18
16567 zutils                             	      18        3       15        0        0
16568 9menu                              	      17        0       16        1        0
16569 alsaplayer-jack                    	      17        0        0        0       17
16570 alsaplayer-text                    	      17        0        0        0       17
16571 ancient                            	      17        3       13        1        0
16572 aspell-hu                          	      17        0       17        0        0
16573 base58                             	      17        0       17        0        0
16574 bibata-cursor-theme                	      17        0        0        0       17
16575 breeze-icon-theme-rcc              	      17        0        0        0       17
16576 bzip3                              	      17        1       16        0        0
16577 cargo-doc                          	      17        0        0        0       17
16578 cd-paranoia                        	      17        0       17        0        0
16579 clang-tools-19                     	      17        1       11        5        0
16580 claws-mail-bsfilter-plugin         	      17        0        0        0       17
16581 claws-mail-python-plugin           	      17        0        0        0       17
16582 connman-ui                         	      17        0       17        0        0
16583 connman-vpn                        	      17        3       14        0        0
16584 containers-storage                 	      17        1       13        3        0
16585 cpp-12-doc                         	      17        0        0        0       17
16586 cpp-i686-linux-gnu                 	      17        1       16        0        0
16587 cppcheck-gui                       	      17        1       13        3        0
16588 cue2toc                            	      17        0       17        0        0
16589 cupp                               	      17        3       13        1        0
16590 devuan-lintian-profile             	      17        1       12        0        4
16591 dfu-programmer                     	      17        0       17        0        0
16592 direnv                             	      17        2       14        1        0
16593 dmitry                             	      17        3       13        1        0
16594 docker-cli                         	      17        1       10        6        0
16595 drawing                            	      17        2       15        0        0
16596 eboard                             	      17        0       17        0        0
16597 ecj                                	      17        0       17        0        0
16598 electrum                           	      17        0       16        1        0
16599 emerald                            	      17        4       10        3        0
16600 emerald-themes                     	      17        0        0        0       17
16601 erlang-diameter                    	      17        0       16        1        0
16602 erlang-edoc                        	      17        0       16        1        0
16603 erlang-src                         	      17        0       16        1        0
16604 erlang-ssh                         	      17        0       16        1        0
16605 firebird2.5-server-common          	      17        0       17        0        0
16606 firebird4.0-utils                  	      17        2        4       11        0
16607 flobopuyo                          	      17        0       16        1        0
16608 fonts-arabeyes                     	      17        1        0        0       16
16609 fonts-karla                        	      17        0        0        0       17
16610 fonts-klaudia-berenika             	      17        0        1        0       16
16611 fonts-levien-museum                	      17        0        0        0       17
16612 fonts-sil-scheherazade             	      17        0        0        0       17
16613 foomatic-db-gutenprint             	      17        0        0        0       17
16614 freeipa-client                     	      17        0       17        0        0
16615 freeipa-common                     	      17        0        0        0       17
16616 fusefat                            	      17        1       16        0        0
16617 fwupd-i386-signed                  	      17        0        0        0       17
16618 gambas3-gb-form                    	      17        0       15        2        0
16619 gambas3-gb-net-curl                	      17        0       15        2        0
16620 gambas3-gb-settings                	      17        0       15        2        0
16621 gcc-13-multilib                    	      17        0        0        0       17
16622 genius                             	      17        2       14        1        0
16623 getmail                            	      17        0       10        0        7
16624 gfortran-multilib                  	      17        0        0        0       17
16625 gifshuffle                         	      17        3       13        1        0
16626 gir1.2-gsf-1                       	      17        0        0        0       17
16627 gir1.2-libxfce4panel-2.0           	      17        0        0        0       17
16628 gir1.2-vte-2.90                    	      17        0       17        0        0
16629 gkrellm-leds                       	      17        4       13        0        0
16630 gkrellmwireless                    	      17        3       13        1        0
16631 gnome-bluetooth-common             	      17        0        0        0       17
16632 gnome-commander-data               	      17        1        0        0       16
16633 gnome-multi-writer                 	      17        0       17        0        0
16634 gnupg-curl                         	      17        0       17        0        0
16635 gnustep-multiarch                  	      17        0        0        0       17
16636 gocr-tk                            	      17        2       15        0        0
16637 gsfonts-other                      	      17        0        0        0       17
16638 gtk2-engines-nodoka                	      17        0       17        0        0
16639 gummi                              	      17        0       17        0        0
16640 handbrake-gtk                      	      17        2       14        1        0
16641 hdf4-tools                         	      17        0       17        0        0
16642 hollywood                          	      17        1       16        0        0
16643 httrack-doc                        	      17        0        0        0       17
16644 i7z                                	      17        1       15        1        0
16645 iat                                	      17        1       16        0        0
16646 ibritish-insane                    	      17        0       17        0        0
16647 ifplugd                            	      17        7       10        0        0
16648 imview                             	      17        2       15        0        0
16649 ipcalc-ng                          	      17        1       16        0        0
16650 isoquery                           	      17        1       16        0        0
16651 julia                              	      17        0       17        0        0
16652 kaccessible                        	      17        1       16        0        0
16653 kicad-doc-de                       	      17        0        0        0       17
16654 kio-gdrive                         	      17        3        4        0       10
16655 ldb-tools                          	      17        0       17        0        0
16656 libapache-logformat-compiler-perl  	      17        1       16        0        0
16657 libarray-unique-perl               	      17        0       17        0        0
16658 libart-2.0-dev                     	      17        0       17        0        0
16659 libasound2-doc                     	      17        0        0        0       17
16660 libass4                            	      17        0        0        0       17
16661 libaudio-scan-perl                 	      17        0        0        0       17
16662 libbfb0                            	      17        0        0        0       17
16663 libboost-atomic1.83-dev            	      17        0        0        0       17
16664 libboost-atomic1.83.0              	      17        0        0        0       17
16665 libboost-date-time1.55.0           	      17        0        0        0       17
16666 libboost-date-time1.83-dev         	      17        0        0        0       17
16667 libboost-date-time1.83.0           	      17        0        0        0       17
16668 libboost-filesystem1.83-dev        	      17        0        0        0       17
16669 libbzip3-0                         	      17        0        0        0       17
16670 libcache-memcached-perl            	      17        1       16        0        0
16671 libcanberra-gtk-common-dev         	      17        0       17        0        0
16672 libccrtp2v5                        	      17        1        0        0       16
16673 libcdb1                            	      17        1        0        0       16
16674 libclamunrar11                     	      17        5       10        0        2
16675 libconfig-doc                      	      17        0        0        0       17
16676 libconfig-grammar-perl             	      17        5       12        0        0
16677 libcupti11.8                       	      17        0        0        0       17
16678 libdar64-6000                      	      17        0        0        0       17
16679 libdatetime-format-sqlite-perl     	      17        3       14        0        0
16680 libdb4.7                           	      17        0        0        0       17
16681 libdbus2.0-cil                     	      17        0        0        0       17
16682 libdebian-installer-extra4         	      17        0        0        0       17
16683 libdevel-stacktrace-ashtml-perl    	      17        1       16        0        0
16684 libdigest-md4-perl                 	      17        0        0        0       17
16685 libdirectfb-dev                    	      17        0       17        0        0
16686 libdoxia-core-java                 	      17        0        0        0       17
16687 libdrm-amdgpu-amdgpu1              	      17        2       11        0        4
16688 libdrm-amdgpu-common               	      17        0        0        0       17
16689 libdrm2-amdgpu                     	      17        2       15        0        0
16690 libdsfmt-19937-1                   	      17        0        0        0       17
16691 libdwarf1                          	      17        0        0        0       17
16692 libengine-pkcs11-openssl           	      17        0        2        0       15
16693 libevemu3t64                       	      17        0        0        0       17
16694 libevent-core-2.0-5                	      17        0        0        0       17
16695 libffmpeg-nvenc-dev                	      17        0       17        0        0
16696 libfile-chmod-perl                 	      17        0       17        0        0
16697 libfile-nfslock-perl               	      17        0       17        0        0
16698 libfilehandle-unget-perl           	      17        1       15        1        0
16699 libfilesys-notify-simple-perl      	      17        1       16        0        0
16700 libflac++11                        	      17        0        0        0       17
16701 libfreerdp-shadow-subsystem2-2     	      17        1        0        0       16
16702 libfreerdp-shadow2-2               	      17        1        0        0       16
16703 libgccjit-12-dev                   	      17        0        0        0       17
16704 libgconf2.0-cil                    	      17        0        0        0       17
16705 libgcrypt11-dev                    	      17        0        0        0       17
16706 libgeomview-1.9.5                  	      17        0        0        0       17
16707 libghc-base-orphans-dev            	      17        1       16        0        0
16708 libghc-network-dev                 	      17        0       17        0        0
16709 libghc-random-doc                  	      17        0       17        0        0
16710 libghc-regex-tdfa-dev              	      17        1       16        0        0
16711 libghc-xmonad-contrib-dev          	      17        0       17        0        0
16712 libgio3.0-cil-dev                  	      17        2       15        0        0
16713 libglpk-dev                        	      17        1       16        0        0
16714 libglx-nvidia-tesla-470-0          	      17        5        6        0        6
16715 libgmime-3.0-0t64                  	      17        3        1        0       13
16716 libgnome-autoar-common             	      17        0        0        0       17
16717 libgoocanvas2-cairotypes-perl      	      17        0        0        0       17
16718 libgphoto2-port0                   	      17        0        0        0       17
16719 libgsoap-2.8.124                   	      17        0        0        0       17
16720 libgtkmm-2.4-1t64                  	      17        0        0        0       17
16721 libgumbo3                          	      17        0        0        0       17
16722 libhdf5-openmpi-dev                	      17        0       17        0        0
16723 libhttp-cookiejar-perl             	      17        1       16        0        0
16724 libi2c-dev                         	      17        0       17        0        0
16725 libime-bin                         	      17        0       16        1        0
16726 libinsane1                         	      17        0        0        0       17
16727 libiscsi2                          	      17        0        0        0       17
16728 libiso9660-dev                     	      17        0       17        0        0
16729 libjgraphx-java                    	      17        0        0        0       17
16730 libjsonp-java                      	      17        0        0        0       17
16731 libjxl0.11                         	      17        8        0        4        5
16732 libkcolorpicker-qt5-0              	      17        0        0        0       17
16733 libkf5archive-doc                  	      17        0        0        0       17
16734 libkf5itemmodels-dev               	      17        1       16        0        0
16735 libkf5jobwidgets-doc               	      17        0        0        0       17
16736 libkf5kio-doc                      	      17        0        0        0       17
16737 libkimageannotator-qt5-0           	      17        0        0        0       17
16738 libkonq-common                     	      17        1       16        0        0
16739 libkonq5abi1                       	      17        1        0        0       16
16740 libkpimkdav-data                   	      17        0        0        0       17
16741 libkprintutils4                    	      17        1        0        0       16
16742 liblavfile-2.2-0                   	      17        0        0        0       17
16743 liblavjpeg-2.2-0                   	      17        0        0        0       17
16744 liblavplay-2.2-0                   	      17        0        0        0       17
16745 liblingot0                         	      17        0        0        0       17
16746 liblingua-preferred-perl           	      17        4       13        0        0
16747 liblivemedia94                     	      17        0        0        0       17
16748 liblnk1                            	      17        0        0        0       17
16749 liblockfile-simple-perl            	      17        2       14        1        0
16750 liblog-agent-perl                  	      17        7       10        0        0
16751 liblog-tracemessages-perl          	      17        4       13        0        0
16752 liblttng-ust-common1t64            	      17        3        3        0       11
16753 liblttng-ust-ctl5t64               	      17        0        0        0       17
16754 liblttng-ust1t64                   	      17        3        3        0       11
16755 liblz-dev                          	      17        1       15        1        0
16756 libmagickcore5                     	      17        0        0        0       17
16757 libmagickwand5                     	      17        0        0        0       17
16758 libmail-mbox-messageparser-perl    	      17        1       15        1        0
16759 libmath-round-perl                 	      17        3       14        0        0
16760 libmpeg3-dev                       	      17        0       17        0        0
16761 libmulticobex1                     	      17        0        0        0       17
16762 libnet-ident-perl                  	      17        3       14        0        0
16763 libnetcdf22                        	      17        0        0        0       17
16764 libnfs-dev                         	      17        0       17        0        0
16765 libniftiio2                        	      17        0        0        0       17
16766 libnvidia-tesla-470-eglcore        	      17        6        6        0        5
16767 libnvidia-tesla-470-glcore         	      17        6        6        0        5
16768 libnvrtc-builtins11.8              	      17        0        0        0       17
16769 libobexftp0                        	      17        0        0        0       17
16770 libobjc-6-dev                      	      17        0        0        0       17
16771 libobs0t64                         	      17        0        0        1       16
16772 libomxil-bellagio-dev              	      17        0       16        1        0
16773 libopencsd-dev                     	      17        1       15        1        0
16774 libpisock9                         	      17        4       13        0        0
16775 libplack-perl                      	      17        2       15        0        0
16776 libposix-strftime-compiler-perl    	      17        1       16        0        0
16777 libproj12                          	      17        0        0        0       17
16778 libprotoc32t64                     	      17        0        0        0       17
16779 libqalculate5-data                 	      17        0        0        0       17
16780 libqt4-dev                         	      17        0       17        0        0
16781 libqt5gstreamerquick-1.0-0         	      17        0        0        0       17
16782 libqt6sql6-mysql                   	      17        3        0        0       14
16783 libqwt-qt5-dev                     	      17        2       15        0        0
16784 libraw23                           	      17        1        3        0       13
16785 libre0                             	      17        0        0        0       17
16786 libre2-10                          	      17        2        2        0       13
16787 libreadstat1                       	      17        0        0        0       17
16788 libreoffice-kde5                   	      17        0       12        0        5
16789 libroman-perl                      	      17        0       17        0        0
16790 libruby1.8                         	      17        2       15        0        0
16791 librust-pkg-config-dev             	      17        0        0        0       17
16792 librygel-renderer-gst-2.6-2        	      17        0        0        0       17
16793 libshout-dev                       	      17        1       16        0        0
16794 libshout3-dev                      	      17        0        2        0       15
16795 libspa-0.2-jack                    	      17        0        0        0       17
16796 libspiro0                          	      17        0        0        0       17
16797 libstream-buffered-perl            	      17        2       15        0        0
16798 libsystemd-shared                  	      17        0        1        0       16
16799 libtest-sharedfork-perl            	      17        1       16        0        0
16800 libtest-tcp-perl                   	      17        1       16        0        0
16801 libtime-period-perl                	      17        4       13        0        0
16802 libunittest++-dev                  	      17        0       16        1        0
16803 libunittest++2                     	      17        0        0        0       17
16804 libuuid-tiny-perl                  	      17        1       16        0        0
16805 libv4l2rds0t64                     	      17        0        0        0       17
16806 libwayland-egl++0                  	      17        0        0        0       17
16807 libwebinject-perl                  	      17        3       13        1        0
16808 libwww-form-urlencoded-perl        	      17        2       15        0        0
16809 libwxsmithlib0                     	      17        0        1        0       16
16810 libx32asan5                        	      17        0        0        0       17
16811 libx32gcc1                         	      17        0        0        0       17
16812 libxapian-dev                      	      17        1       16        0        0
16813 libxcb-dpms0-dev                   	      17        1       16        0        0
16814 libxcb-errors0                     	      17        0        3        0       14
16815 libxfce4panel-2.0-dev              	      17        0       16        1        0
16816 libxmltv-perl                      	      17        3       14        0        0
16817 libykpiv2                          	      17        0        0        0       17
16818 lingot                             	      17        1       16        0        0
16819 linux-headers-4.9.0-11-amd64       	      17        0       17        0        0
16820 linux-headers-5.10.0-14-common     	      17        0       17        0        0
16821 linux-headers-5.10.0-16-amd64      	      17        0       17        0        0
16822 linux-headers-5.10.0-16-common     	      17        0       17        0        0
16823 linux-headers-5.10.0-19-amd64      	      17        0       17        0        0
16824 linux-headers-6.1.0-16-common      	      17        0       17        0        0
16825 linux-headers-6.12.17-amd64        	      17        2        0       15        0
16826 linux-headers-6.12.17-common       	      17        2        0       15        0
16827 linux-headers-686-pae              	      17        0        0        0       17
16828 linux-kbuild-6.12.17               	      17        0        0        0       17
16829 luckybackup                        	      17        1       16        0        0
16830 luckybackup-data                   	      17        0        0        0       17
16831 lxctl                              	      17        1       16        0        0
16832 maxima-src                         	      17        0        0        0       17
16833 mbrola                             	      17        3       14        0        0
16834 mdf2iso                            	      17        1       16        0        0
16835 megatools                          	      17        2       15        0        0
16836 mint-y-icons                       	      17        0        0        0       17
16837 mktorrent                          	      17        1       16        0        0
16838 modsecurity-crs                    	      17        1       16        0        0
16839 mongodb-org-mongos                 	      17        0       16        1        0
16840 moon-buggy                         	      17        0       16        1        0
16841 morse                              	      17        0       17        0        0
16842 mp3val                             	      17        0       17        0        0
16843 msitools                           	      17        0       17        0        0
16844 nestopia                           	      17        0       17        0        0
16845 netris                             	      17        0       17        0        0
16846 neverputt                          	      17        0       17        0        0
16847 neverputt-data                     	      17        0        0        0       17
16848 node-co                            	      17        1        6        0       10
16849 node-proto-list                    	      17        1        7        0        9
16850 nsxiv                              	      17        0       14        3        0
16851 nted                               	      17        1       15        1        0
16852 obexftp                            	      17        1       16        0        0
16853 odbc-postgresql                    	      17        0        0        0       17
16854 okular-backend-odt                 	      17        0        1        0       16
16855 open-invaders                      	      17        0       17        0        0
16856 open-invaders-data                 	      17        0        0        0       17
16857 osmo                               	      17        2       15        0        0
16858 otpclient                          	      17        2       14        1        0
16859 pari-doc                           	      17        1       15        1        0
16860 pari-galdata                       	      17        0        0        0       17
16861 pari-seadata                       	      17        0        0        0       17
16862 pecomato                           	      17        3       13        1        0
16863 petris                             	      17        0       17        0        0
16864 php7.0-zip                         	      17        6       11        0        0
16865 php7.4-xmlrpc                      	      17        4       13        0        0
16866 pidgin-extprefs                    	      17        3       14        0        0
16867 pidgin-themes                      	      17        0        0        0       17
16868 pokerth-data                       	      17        0        0        0       17
16869 postgresql-common-dev              	      17        3        7        7        0
16870 powerline                          	      17        1       16        0        0
16871 powerstat                          	      17        0       16        1        0
16872 python-bcrypt                      	      17        0       17        0        0
16873 python-future                      	      17        1       16        0        0
16874 python-pil.imagetk                 	      17        0       17        0        0
16875 python-psutil                      	      17        1       15        0        1
16876 python-pyxattr                     	      17        0       17        0        0
16877 python-regex                       	      17        0       17        0        0
16878 python3-flatbuffers                	      17        0       17        0        0
16879 python3-google-auth                	      17        1       16        0        0
16880 python3-guestfs                    	      17        2       13        2        0
16881 python3-ipaclient                  	      17        0       17        0        0
16882 python3-ipalib                     	      17        0       17        0        0
16883 python3-libipa-hbac                	      17        0       17        0        0
16884 python3-libsmbios                  	      17        1       15        1        0
16885 python3-pychromecast               	      17        0       17        0        0
16886 python3-pyodbc                     	      17        3       13        1        0
16887 python3-tblib                      	      17        0       17        0        0
16888 python3-testpath                   	      17        2       15        0        0
16889 python3.5-dev                      	      17        0       17        0        0
16890 qml6-module-qtqml-statemachine     	      17        0        0        0       17
16891 qsstv                              	      17        1       16        0        0
16892 qstat                              	      17        1       16        0        0
16893 qt5keychain-dev                    	      17        0        2        0       15
16894 qt6-base-private-dev               	      17        0       16        1        0
16895 racket                             	      17        0       17        0        0
16896 racket-common                      	      17        0       17        0        0
16897 raincat                            	      17        0       17        0        0
16898 raincat-data                       	      17        0        0        0       17
16899 rapidjson-dev                      	      17        1       16        0        0
16900 redir                              	      17        1       16        0        0
16901 repo                               	      17        0       16        1        0
16902 rtl-433                            	      17        2       15        0        0
16903 ruby-activemodel                   	      17        0       16        1        0
16904 ruby-redcarpet                     	      17        1       15        1        0
16905 ruby-sassc                         	      17        2       15        0        0
16906 scilab-cli                         	      17        0       17        0        0
16907 scilab-data                        	      17        0       17        0        0
16908 scilab-include                     	      17        0       17        0        0
16909 scilab-minimal-bin                 	      17        0       17        0        0
16910 scrcpy                             	      17        2       15        0        0
16911 signify-openbsd                    	      17        0       17        0        0
16912 sigrok-firmware-fx2lafw            	      17        0        0        0       17
16913 sipgrep                            	      17        3       13        1        0
16914 sipvicious                         	      17        3       13        1        0
16915 slay                               	      17        2       15        0        0
16916 smbios-utils                       	      17        1       15        1        0
16917 solfege-doc                        	      17        0        0        0       17
16918 tcl-awthemes                       	      17        0        0        0       17
16919 terminology-data                   	      17        1        2        0       14
16920 tesseract-ocr-pol                  	      17        0        0        0       17
16921 tetrinet-client                    	      17        0       17        0        0
16922 tkgate-data                        	      17        0        0        0       17
16923 tkgate-doc                         	      17        0        0        0       17
16924 tkinfo                             	      17        0       17        0        0
16925 tomb                               	      17        0       16        1        0
16926 tpb                                	      17        1       16        0        0
16927 tsconf                             	      17        0        0        0       17
16928 ttf-anonymous-pro                  	      17        0        0        0       17
16929 twinkle-common                     	      17        0        0        0       17
16930 unadf                              	      17        1       16        0        0
16931 usbtop                             	      17        0       17        0        0
16932 vim-asciidoc                       	      17        0        0        0       17
16933 webext-ublock-origin               	      17        0        0        0       17
16934 whatweb                            	      17        3       13        1        0
16935 wm-icons                           	      17        2       14        1        0
16936 wput                               	      17        1       16        0        0
16937 wsjtx-data                         	      17        0        0        0       17
16938 wzip                               	      17        3       13        1        0
16939 xcwcp                              	      17        1       16        0        0
16940 xfce4-volumed                      	      17        0       17        0        0
16941 xfce4-windowck-plugin              	      17        1        1        0       15
16942 xjed                               	      17        3       14        0        0
16943 xmp                                	      17        1       16        0        0
16944 xserver-xorg-input-joystick        	      17        0       17        0        0
16945 xskat                              	      17        0       17        0        0
16946 xtermcontrol                       	      17        1       16        0        0
16947 xtermset                           	      17        1       16        0        0
16948 yoshimi                            	      17        3       13        1        0
16949 yoshimi-data                       	      17        0        0        0       17
16950 adapta-gtk-theme                   	      16        1        0        0       15
16951 aldo                               	      16        0       16        0        0
16952 android-tools-fastboot             	      16        0        0        0       16
16953 angband                            	      16        0       16        0        0
16954 angband-data                       	      16        0        0        0       16
16955 anjuta-common                      	      16        0       16        0        0
16956 ansifilter                         	      16        1       15        0        0
16957 apt-show-source                    	      16        0       16        0        0
16958 aspell-de-alt                      	      16        0        1        0       15
16959 atftp                              	      16        2       14        0        0
16960 autocutsel                         	      16        2       14        0        0
16961 autopsy                            	      16        1       15        0        0
16962 baresip-core                       	      16        1       15        0        0
16963 bgconf                             	      16        0       15        1        0
16964 bgscripts-core                     	      16        4       11        1        0
16965 blt-demo                           	      16        0        0        0       16
16966 bochsbios                          	      16        0        0        0       16
16967 brainparty                         	      16        1       15        0        0
16968 brainparty-data                    	      16        0        0        0       16
16969 brutalchess                        	      16        0       16        0        0
16970 caja-mediainfo                     	      16        0        0        0       16
16971 camlp4                             	      16        0       16        0        0
16972 cataclysm-dda-data                 	      16        1        0        0       15
16973 ccd2iso                            	      16        0       16        0        0
16974 cdebootstrap                       	      16        0       14        2        0
16975 chameleon-cursor-theme             	      16        0        0        0       16
16976 cisco7crack                        	      16        1       15        0        0
16977 clang-17                           	      16        0       12        4        0
16978 clawsker                           	      16        0       16        0        0
16979 codelite-plugins                   	      16        1       14        1        0
16980 colobot                            	      16        1       15        0        0
16981 colobot-common                     	      16        0        0        0       16
16982 colobot-common-sounds              	      16        0        0        0       16
16983 colobot-common-textures            	      16        0        0        0       16
16984 comgr                              	      16        0       15        0        1
16985 courier-imap                       	      16        3       13        0        0
16986 cpp-4.4                            	      16        1       15        0        0
16987 cpupower-gui                       	      16        3       13        0        0
16988 creddump7                          	      16        1       15        0        0
16989 cwp                                	      16        8        6        2        0
16990 ddgr                               	      16        0       16        0        0
16991 debiandoc-sgml                     	      16        0       16        0        0
16992 debsig-verify                      	      16        2       12        2        0
16993 denemo                             	      16        0       15        1        0
16994 docker-doc                         	      16        0        0        0       16
16995 docker-scan-plugin                 	      16        0        0        0       16
16996 dosemu                             	      16        1       15        0        0
16997 dot2tex                            	      16        0       16        0        0
16998 elpa-haskell-mode                  	      16        2       14        0        0
16999 emacs-lucid                        	      16        2       13        1        0
17000 erlang                             	      16        0        0        0       16
17001 erlang-common-test                 	      16        0       15        1        0
17002 erlang-debugger                    	      16        0       15        1        0
17003 erlang-erl-docgen                  	      16        0       16        0        0
17004 erlang-et                          	      16        0       15        1        0
17005 erlang-examples                    	      16        0       15        1        0
17006 erlang-megaco                      	      16        0       15        1        0
17007 erlang-observer                    	      16        0       15        1        0
17008 erlang-reltool                     	      16        0       15        1        0
17009 erofs-utils                        	      16        3       12        1        0
17010 extract                            	      16        2       14        0        0
17011 firebird-dev                       	      16        0       15        1        0
17012 firetools                          	      16        0       16        0        0
17013 fonts-adf-solothurn                	      16        1        0        0       15
17014 fonts-averia-sans-gwf              	      16        0        1        0       15
17015 fonts-averia-serif-gwf             	      16        0        1        0       15
17016 fonts-blankenburg                  	      16        0        0        0       16
17017 fonts-cardo                        	      16        1        0        0       15
17018 fonts-hanazono                     	      16        2        2        0       12
17019 fonts-khmeros                      	      16        2        2        0       12
17020 fonts-league-mono                  	      16        0        0        0       16
17021 fonts-lindenhill                   	      16        0        0        0       16
17022 fonts-monoid-halftight             	      16        0        0        0       16
17023 fonts-ricty-diminished             	      16        1        1        0       14
17024 fonts-takao                        	      16        0        0        0       16
17025 fortune-anarchism                  	      16        0        0        0       16
17026 freeorion                          	      16        1       15        0        0
17027 freeorion-data                     	      16        0        0        0       16
17028 frescobaldi                        	      16        0       15        1        0
17029 fswatch                            	      16        3       13        0        0
17030 funcoeszz                          	      16        3       12        1        0
17031 fuseiso9660                        	      16        0       14        0        2
17032 fusion-icon                        	      16        6        7        3        0
17033 g++-14-multilib                    	      16        0        0        0       16
17034 g++-aarch64-linux-gnu              	      16        1       15        0        0
17035 gambas3-gb-clipper                 	      16        0       14        2        0
17036 gambas3-gb-crypt                   	      16        0       14        2        0
17037 gambas3-gb-db                      	      16        0       14        2        0
17038 gambas3-gb-db-form                 	      16        0       14        2        0
17039 gambas3-gb-form-dialog             	      16        0       14        2        0
17040 gambas3-gb-form-editor             	      16        0       14        2        0
17041 gambas3-gb-form-mdi                	      16        0       14        2        0
17042 gambas3-gb-form-print              	      16        0       14        2        0
17043 gambas3-gb-form-stock              	      16        0       14        2        0
17044 gambas3-gb-form-terminal           	      16        0       14        2        0
17045 gambas3-gb-gtk3-webview            	      16        0       14        2        0
17046 gambas3-gb-image-io                	      16        0       14        2        0
17047 gambas3-gb-markdown                	      16        0       14        2        0
17048 gambas3-gb-net-smtp                	      16        1       13        2        0
17049 gambas3-gb-openal                  	      16        0       14        2        0
17050 gambas3-gb-opengl-sge              	      16        0       14        2        0
17051 gambas3-gb-pcre                    	      16        0       14        2        0
17052 gambas3-gb-scanner                 	      16        0       14        2        0
17053 gambas3-gb-sdl2                    	      16        0       14        2        0
17054 gambas3-gb-sdl2-audio              	      16        0       14        2        0
17055 gambas3-gb-signal                  	      16        0       14        2        0
17056 gambas3-gb-term                    	      16        0       14        2        0
17057 gambas3-gb-util                    	      16        0       14        2        0
17058 gambas3-gb-util-web                	      16        0       14        2        0
17059 gambas3-ide                        	      16        0       14        2        0
17060 gcc-4.4                            	      16        1       15        0        0
17061 gcc-i686-linux-gnu                 	      16        0       15        1        0
17062 geda-doc                           	      16        0        0        0       16
17063 gem                                	      16        0       16        0        0
17064 gem-extra                          	      16        0       15        1        0
17065 genext2fs                          	      16        1       15        0        0
17066 giggle                             	      16        0       16        0        0
17067 gir1.2-ebookcontacts-1.2           	      16        0        1        0       15
17068 gkrellm-volume                     	      16        4       11        1        0
17069 gnome-commander                    	      16        3       13        0        0
17070 gnome-genius                       	      16        3       12        1        0
17071 gnome-nettool                      	      16        1       15        0        0
17072 gnome-packagekit-data              	      16        0        0        0       16
17073 goaccess                           	      16        2       14        0        0
17074 golang-1.23-go                     	      16        0       14        2        0
17075 golang-1.23-src                    	      16        0       14        2        0
17076 grc                                	      16        1       14        1        0
17077 grub-xen-bin                       	      16        0       16        0        0
17078 gst123                             	      16        0       16        0        0
17079 gweled                             	      16        0       16        0        0
17080 hcxkeys                            	      16        1       15        0        0
17081 heartbleeder                       	      16        3       12        1        0
17082 heimdal-clients                    	      16        0       15        1        0
17083 hexcurse                           	      16        1       14        1        0
17084 homebank                           	      16        2       13        1        0
17085 homebank-data                      	      16        0        0        0       16
17086 hspell                             	      16        0       16        0        0
17087 hunspell-uk                        	      16        0        0        0       16
17088 hv3                                	      16        0       16        0        0
17089 ibam                               	      16        1       15        0        0
17090 iconx                              	      16        2       14        0        0
17091 ifupdown2                          	      16        1       14        1        0
17092 imageindex                         	      16        3       12        1        0
17093 jackmeter                          	      16        0       16        0        0
17094 kbuild                             	      16        0       15        1        0
17095 ldnsutils                          	      16        0       16        0        0
17096 lesstif2                           	      16        0        0        0       16
17097 lib32stdc++-14-dev                 	      16        1        9        6        0
17098 libapache2-mod-evasive             	      16        7        9        0        0
17099 libarmadillo12                     	      16        0        1        0       15
17100 libaudio-dev                       	      16        1       15        0        0
17101 libavformat53                      	      16        0        0        0       16
17102 libax25                            	      16        0        0        0       16
17103 libbde1                            	      16        0        0        0       16
17104 libboost-test1.67.0                	      16        0        0        0       16
17105 libbulletml0v5                     	      16        0        0        0       16
17106 libc-ares-dev                      	      16        0       16        0        0
17107 libcalendar-simple-perl            	      16        0       16        0        0
17108 libcamlp4-ocaml-dev                	      16        0       16        0        0
17109 libcapstone-dev                    	      16        1       14        1        0
17110 libcddb-perl                       	      16        0       16        0        0
17111 libcharls-dev                      	      16        0       16        0        0
17112 libclang-common-17-dev             	      16        0       12        4        0
17113 libclang-cpp17t64                  	      16        1       11        4        0
17114 libclucene-core1                   	      16        0        0        0       16
17115 libconfig-any-perl                 	      16        3       13        0        0
17116 libcookie-baker-perl               	      16        2       14        0        0
17117 libcue1                            	      16        0        0        0       16
17118 libdap-dev                         	      16        0       16        0        0
17119 libdatetime-format-flexible-perl   	      16        0       16        0        0
17120 libdbus-glib2.0-cil                	      16        0        0        0       16
17121 libdtkcore5                        	      16        2        9        0        5
17122 libdtkgui5                         	      16        2        9        0        5
17123 libdtkwidget5                      	      16        1        1        0       14
17124 libdvbcsa1                         	      16        3        4        0        9
17125 libei1                             	      16        1        0        0       15
17126 libelogind-dev                     	      16        0       15        1        0
17127 libesedb1                          	      16        0        0        0       16
17128 libestools2.4                      	      16        0        0        0       16
17129 libevt1                            	      16        0        0        0       16
17130 libevtx1                           	      16        0        0        0       16
17131 libexec-maven-plugin-java          	      16        0        0        0       16
17132 libexiv2-12                        	      16        0        0        0       16
17133 libfbembed2.5                      	      16        0        0        0       16
17134 libfcgi-procmanager-perl           	      16        1       15        0        0
17135 libfcitx5-qt6-1                    	      16        0        0        0       16
17136 libflexdock-java                   	      16        0        0        0       16
17137 libfsapfs1                         	      16        0        0        0       16
17138 libfsxfs1                          	      16        0        0        0       16
17139 libftdi1-dev                       	      16        0       15        1        0
17140 libgdk3.0-cil-dev                  	      16        2       14        0        0
17141 libghc-async-dev                   	      16        1       15        0        0
17142 libghc-blaze-html-dev              	      16        1       15        0        0
17143 libghc-blaze-markup-dev            	      16        1       15        0        0
17144 libghc-old-locale-dev              	      16        0       16        0        0
17145 libghc-statevar-dev                	      16        1       15        0        0
17146 libghc-tagged-dev                  	      16        1       15        0        0
17147 libghc-th-abstraction-dev          	      16        1       15        0        0
17148 libghc-x11-doc                     	      16        0        3        0       13
17149 libghc-xmonad-contrib-doc          	      16        0       16        0        0
17150 libghc-xmonad-doc                  	      16        0       16        0        0
17151 libgl1-nvidia-tesla-470-glvnd-glx  	      16        0        0        0       16
17152 libgmp10-doc                       	      16        0       16        0        0
17153 libgps22                           	      16        0        0        0       16
17154 libgraphicsmagick++1-dev           	      16        0       15        1        0
17155 libgstreamer-opencv1.0-0           	      16        0        0        0       16
17156 libgtk3.0-cil-dev                  	      16        2       14        0        0
17157 libhdf5-100                        	      16        0        0        0       16
17158 libhdf5-mpi-dev                    	      16        0        0        0       16
17159 libirs141                          	      16        0        0        0       16
17160 libjim0.82                         	      16        0        0        0       16
17161 libjlatexmath-fop-java             	      16        0        0        0       16
17162 libjrosetta-java                   	      16        0        0        0       16
17163 libjson0                           	      16        0        0        0       16
17164 libjulia1                          	      16        0        0        0       16
17165 libk3b3-extracodecs-trinity        	      16        1       15        0        0
17166 libkexiv2-11                       	      16        0        0        0       16
17167 libkf5bookmarks-doc                	      16        0        0        0       16
17168 libkf5crash-dev                    	      16        1       15        0        0
17169 libkf5solid-doc                    	      16        0        0        0       16
17170 libkf5textwidgets-dev              	      16        0       15        1        0
17171 libkpimkdav5abi2                   	      16        0        0        0       16
17172 libksanecore6-1                    	      16        1        0        0       15
17173 libksanewidgets6-6                 	      16        1        0        0       15
17174 libktoblzcheck1v5                  	      16        0        8        0        8
17175 liblaszip8                         	      16        0        0        0       16
17176 liblensfun0                        	      16        0        0        0       16
17177 liblockfile-dev                    	      16        0       16        0        0
17178 liblog4cplus-2.0.5t64              	      16        0        2        0       14
17179 libmagickcore-7-10                 	      16        0        0        0       16
17180 libmagickwand-7-10                 	      16        0        0        0       16
17181 libmikmod-config                   	      16        0        7        0        9
17182 libmono-profiler-gui-thread-check  	      16        0        0        0       16
17183 libmoosex-role-parameterized-perl  	      16        2       14        0        0
17184 libmpich12                         	      16        0        0        0       16
17185 libmupen64plus2                    	      16        0        0        0       16
17186 libnet-dbus-glib-perl              	      16        0        0        0       16
17187 libnet-sftp-foreign-perl           	      16        0       16        0        0
17188 libnet-smtpauth-perl               	      16        3       12        1        0
17189 libnfs-utils                       	      16        0       15        1        0
17190 libngtcp2-dev                      	      16        1       12        3        0
17191 libnvidia-tesla-470-cfg1           	      16        2        2        0       12
17192 libnvidia-tesla-470-glvkspirv      	      16        0        1        0       15
17193 libomp-7-dev                       	      16        0       16        0        0
17194 libomp5-7                          	      16        0       16        0        0
17195 libonig4                           	      16        0        0        0       16
17196 libopencv-calib3d410               	      16        0        0        0       16
17197 libopencv-contrib2.4v5             	      16        0        0        0       16
17198 libopencv-dnn410                   	      16        0        0        0       16
17199 libopencv-features2d410            	      16        0        0        0       16
17200 libopencv-flann410                 	      16        0        0        0       16
17201 libopencv-ml2.4v5                  	      16        0        0        0       16
17202 libopencv-ml3.2                    	      16        0        0        0       16
17203 libopencv-objdetect410             	      16        0        0        0       16
17204 libortp9                           	      16        0        0        0       16
17205 libpam-radius-auth                 	      16        0        0        0       16
17206 libpam0t64                         	      16        8        8        0        0
17207 libparse-win32registry-perl        	      16        0       16        0        0
17208 libpath-utils1t64                  	      16        3       11        0        2
17209 libphobos2-ldc-shared94            	      16        0        1        0       15
17210 libpng++-dev                       	      16        0        0        0       16
17211 libpod-coverage-perl               	      16        1       15        0        0
17212 libpython3-dbg                     	      16        0       16        0        0
17213 libqgpgme15t64                     	      16        0        1        0       15
17214 libqmobipocket1                    	      16        0        0        0       16
17215 libqt5bluetooth5                   	      16        0        0        0       16
17216 libqt5bluetooth5-bin               	      16        0       16        0        0
17217 libqt5hunspellinputmethod5         	      16        0        1        0       15
17218 libqt5multimediaquick-p5           	      16        0        0        0       16
17219 libqt6xdg4                         	      16        1        4        2        9
17220 libqt6xdgiconloader4               	      16        1        4        2        9
17221 libqtav1                           	      16        0        0        0       16
17222 libqtavwidgets1                    	      16        0        0        0       16
17223 librem0                            	      16        0        0        0       16
17224 libreoffice-l10n-en-za             	      16        2       13        1        0
17225 libreoffice-lightproof-ru-ru       	      16        2       14        0        0
17226 libruby1.9.1                       	      16        2       14        0        0
17227 libsdl-gfx1.2-dev                  	      16        0       16        0        0
17228 libsdl-sge                         	      16        0        0        0       16
17229 libsdl2-doc                        	      16        0        0        0       16
17230 libskinlf-java                     	      16        0        0        0       16
17231 libsnack-oss                       	      16        0       16        0        0
17232 libstatgrab10t64                   	      16        0        2        0       14
17233 libstlink1                         	      16        0        0        0       16
17234 libstrongswan-extra-plugins        	      16        6       10        0        0
17235 libtaglibs-standard-impl-java      	      16        0        0        0       16
17236 libtaglibs-standard-spec-java      	      16        0        0        0       16
17237 libtdb-dev                         	      16        1       13        2        0
17238 libtiff-opengl                     	      16        0       16        0        0
17239 libts-0.0-0                        	      16        0        0        0       16
17240 libvisualvm-jni                    	      16        0       16        0        0
17241 libweston-10-0                     	      16        0        0        0       16
17242 libwlroots-0.18                    	      16        0        1        0       15
17243 libx32stdc++-14-dev                	      16        1        9        6        0
17244 libxine2-console                   	      16        0        1        0       15
17245 libxml++2.6-dev                    	      16        0       16        0        0
17246 libxmlrpc-core-c3t64               	      16        0        0        0       16
17247 libxmlrpc-util4                    	      16        0        0        0       16
17248 libxpa1                            	      16        0        0        0       16
17249 libyara3                           	      16        0        0        0       16
17250 libzim8                            	      16        0        0        0       16
17251 lincity-ng                         	      16        1       15        0        0
17252 lincity-ng-data                    	      16        0        0        0       16
17253 linux-headers-3.2.0-4-common       	      16        1       14        0        1
17254 linux-headers-4.9.0-12-common      	      16        1       15        0        0
17255 linux-headers-5.10.0-11-common     	      16        0       16        0        0
17256 linux-headers-5.10.0-14-amd64      	      16        0       16        0        0
17257 linux-headers-5.10.0-29-common     	      16        0       15        1        0
17258 linux-headers-6.1.0-16-amd64       	      16        0       16        0        0
17259 linux-image-4.9.0-17-amd64         	      16        1       15        0        0
17260 linux-image-4.9.0-9-amd64          	      16        1       14        0        1
17261 linux-image-5.10.0-12-amd64        	      16        0       15        0        1
17262 linux-image-5.10.0-8-amd64         	      16        0       16        0        0
17263 linux-image-6.1.0-28-686           	      16        0       16        0        0
17264 linux-image-6.1.0-9-amd64          	      16        0       16        0        0
17265 linux-image-6.11.4-amd64           	      16        0       16        0        0
17266 linux-image-6.12.10-amd64          	      16        3       13        0        0
17267 linux-image-6.7.12-amd64           	      16        2       14        0        0
17268 linuxinfo                          	      16        1       15        0        0
17269 live-manual-html                   	      16        0        0        0       16
17270 lld-19                             	      16        0       14        2        0
17271 llvm-17-linker-tools               	      16        2       11        3        0
17272 lmms-vst-server                    	      16        0        0        0       16
17273 luajit                             	      16        0       16        0        0
17274 lure-of-the-temptress              	      16        0       16        0        0
17275 lziprecover                        	      16        2       12        2        0
17276 lzma-dev                           	      16        0       16        0        0
17277 maxima-test                        	      16        0        0        0       16
17278 mcelog                             	      16        1       15        0        0
17279 mp3blaster                         	      16        2       14        0        0
17280 multiboot                          	      16        1       15        0        0
17281 mupen64plus-audio-sdl              	      16        0        0        0       16
17282 mupen64plus-data                   	      16        0        0        0       16
17283 mupen64plus-input-sdl              	      16        0        0        0       16
17284 myspell-en-gb                      	      16        0        0        0       16
17285 neovim-qt                          	      16        2       12        2        0
17286 nexuiz                             	      16        1       15        0        0
17287 nexuiz-data                        	      16        0        0        0       16
17288 nexuiz-music                       	      16        0        0        0       16
17289 nexuiz-textures                    	      16        0        0        0       16
17290 node-config-chain                  	      16        1        5        0       10
17291 node-detect-newline                	      16        1        5        0       10
17292 node-is-object                     	      16        1        6        0        9
17293 node-unpipe                        	      16        1        9        0        6
17294 nvidia-cuda-mps                    	      16        1       14        1        0
17295 nvidia-tesla-470-kernel-dkms       	      16        2       14        0        0
17296 nvidia-tesla-470-kernel-support    	      16        0       15        1        0
17297 nvidia-tesla-470-vdpau-driver      	      16        1        0        0       15
17298 okular-backend-odp                 	      16        0        0        0       16
17299 opam                               	      16        1       15        0        0
17300 opam-installer                     	      16        0       16        0        0
17301 openbabel                          	      16        2       14        0        0
17302 openjdk-11-doc                     	      16        0        0        0       16
17303 openjdk-21-jdk                     	      16        0        0        1       15
17304 openjdk-7-jre                      	      16        0        0        0       16
17305 openjdk-7-jre-headless             	      16        0       16        0        0
17306 openmw-data                        	      16        0        0        0       16
17307 opl3-soundfont                     	      16        0        0        0       16
17308 oregano                            	      16        0       16        0        0
17309 paman                              	      16        0       16        0        0
17310 pamix                              	      16        2       14        0        0
17311 pari-elldata                       	      16        0        0        0       16
17312 pcb-rnd                            	      16        0        1        0       15
17313 pcb-rnd-doc                        	      16        0       10        0        6
17314 pdfshuffler                        	      16        0        1        0       15
17315 perl-modules-5.34                  	      16        0       16        0        0
17316 php7.4-cgi                         	      16        1       15        0        0
17317 php8.2-mcrypt                      	      16        8        8        0        0
17318 php8.2-memcached                   	      16        7        9        0        0
17319 php8.4-common                      	      16        9        6        1        0
17320 pipewire-audio-client-libraries    	      16        0        2        0       14
17321 playmidi                           	      16        1       15        0        0
17322 pokerth                            	      16        0       16        0        0
17323 policykit-1-doc                    	      16        0        0        0       16
17324 povray-examples                    	      16        0        0        0       16
17325 pppconfig                          	      16        2       14        0        0
17326 prosody                            	      16        8        8        0        0
17327 prusa-slicer                       	      16        1       15        0        0
17328 psi-plus-common                    	      16        0        0        0       16
17329 psi-plus-plugins                   	      16        0       16        0        0
17330 pyhoca-cli                         	      16        3       12        1        0
17331 python-cddb                        	      16        0       16        0        0
17332 python-lockfile                    	      16        1       15        0        0
17333 python-markdown                    	      16        1       15        0        0
17334 python-pyqt5.qtsvg                 	      16        0       16        0        0
17335 python-pyqt5.qtwebkit              	      16        0       16        0        0
17336 python3-aiorpcx                    	      16        0       16        0        0
17337 python3-cachecontrol               	      16        0       15        1        0
17338 python3-cwcwidth                   	      16        0       13        3        0
17339 python3-defcon                     	      16        0       16        0        0
17340 python3-distributed                	      16        1       15        0        0
17341 python3-distutils-extra            	      16        0       16        0        0
17342 python3-ephem                      	      16        4       12        0        0
17343 python3-fontforge                  	      16        0       16        0        0
17344 python3-fusepy                     	      16        0       16        0        0
17345 python3-gpxpy                      	      16        0       16        0        0
17346 python3-hkdf                       	      16        0       16        0        0
17347 python3-jsonpatch                  	      16        2       14        0        0
17348 python3-ly                         	      16        0       15        1        0
17349 python3-memcache                   	      16        0       16        0        0
17350 python3-paste                      	      16        0       16        0        0
17351 python3-powerline                  	      16        0       16        0        0
17352 python3-proton-core                	      16        2       12        2        0
17353 python3-proton-keyring-linux       	      16        2       12        2        0
17354 python3-pyocr                      	      16        1       15        0        0
17355 python3-rapidfuzz                  	      16        0        9        7        0
17356 python3-retrying                   	      16        0       16        0        0
17357 python3-zict                       	      16        0       16        0        0
17358 qelectrotech                       	      16        0       15        1        0
17359 qelectrotech-data                  	      16        0        0        0       16
17360 qelectrotech-examples              	      16        0        0        0       16
17361 qmapshack                          	      16        2       14        0        0
17362 qml-module-qtgstreamer             	      16        0        0        0       16
17363 qt4-designer                       	      16        0       16        0        0
17364 qtvirtualkeyboard-plugin           	      16        0        1        0       15
17365 qwinff                             	      16        1       14        1        0
17366 realpath                           	      16        0        0        0       16
17367 ripit                              	      16        0       16        0        0
17368 rocm-core                          	      16        0       16        0        0
17369 roundcube-plugins                  	      16        3       13        0        0
17370 rspamd                             	      16        7        9        0        0
17371 ruby-activerecord                  	      16        0       15        1        0
17372 ruby-atomic                        	      16        0       15        0        1
17373 ruby-net-http-persistent           	      16        0       16        0        0
17374 ruby-pygments.rb                   	      16        1       14        1        0
17375 ruby-rack-test                     	      16        0       16        0        0
17376 ruby-semantic-puppet               	      16        0       16        0        0
17377 samba-doc                          	      16        1       15        0        0
17378 scanmem                            	      16        1       15        0        0
17379 shiki-colors-metacity-theme        	      16        0        0        0       16
17380 shiki-dust-theme                   	      16        0        0        0       16
17381 shiki-wine-theme                   	      16        0        0        0       16
17382 sidplayfp                          	      16        0       14        2        0
17383 sigrok-cli                         	      16        0       16        0        0
17384 simulide                           	      16        0       16        0        0
17385 smokeping                          	      16        5       11        0        0
17386 ssh-askpass-gnome                  	      16        0       16        0        0
17387 sshuttle                           	      16        1       14        1        0
17388 stepic                             	      16        3       12        1        0
17389 stlink-tools                       	      16        1       15        0        0
17390 sudo-ldap                          	      16        5       11        0        0
17391 sunclock                           	      16        1       15        0        0
17392 sunclock-maps                      	      16        0        0        0       16
17393 sux                                	      16        3       13        0        0
17394 svn-buildpackage                   	      16        0       16        0        0
17395 sweethome3d-furniture-editor       	      16        1       15        0        0
17396 sweethome3d-textures-editor        	      16        0       16        0        0
17397 task-french-kde-desktop            	      16        0        0        0       16
17398 teams-for-linux                    	      16        2        3        0       11
17399 terminology                        	      16        2       13        1        0
17400 tesseract-ocr-jpn                  	      16        0        0        0       16
17401 tesseract-ocr-nld                  	      16        0        0        0       16
17402 tesseract-ocr-script-latn          	      16        0        0        0       16
17403 thinkfan                           	      16        1       15        0        0
17404 tre-agrep                          	      16        0       16        0        0
17405 ttf-aenigma                        	      16        1        2        0       13
17406 ttf-liberation                     	      16        0        0        0       16
17407 ttf-summersby                      	      16        0        0        0       16
17408 tzdata-java                        	      16        0        0        0       16
17409 ucspi-tcp                          	      16        4       12        0        0
17410 udfclient                          	      16        0       15        1        0
17411 udisks                             	      16        3       13        0        0
17412 unmo3                              	      16        1       15        0        0
17413 unscd                              	      16        6       10        0        0
17414 uwsgi-core                         	      16        3       13        0        0
17415 vim-pathogen                       	      16        0        0        0       16
17416 visualvm                           	      16        0       16        0        0
17417 watchdog                           	      16        8        8        0        0
17418 wcalc                              	      16        2       14        0        0
17419 wesperanto                         	      16        0       12        0        4
17420 wine64-development                 	      16        1       15        0        0
17421 wings3d                            	      16        0       16        0        0
17422 wireplumber-doc                    	      16        0        0        0       16
17423 wmcalclock                         	      16        3       13        0        0
17424 wogerman                           	      16        1       15        0        0
17425 wordgrinder                        	      16        0        0        0       16
17426 wordgrinder-doc                    	      16        0        0        0       16
17427 wordgrinder-ncurses                	      16        2       13        1        0
17428 wordnet-gui                        	      16        1       15        0        0
17429 workrave                           	      16        3       11        2        0
17430 workrave-data                      	      16        0        0        0       16
17431 wsjtx                              	      16        1       15        0        0
17432 x11proto-xf86dri-dev               	      16        0        4        0       12
17433 xdemorse                           	      16        2       14        0        0
17434 xenstore-utils                     	      16        1       15        0        0
17435 xfce4-session-dbgsym               	      16        0       14        2        0
17436 xfonts-intl-japanese               	      16        0        0        0       16
17437 xmltv-util                         	      16        4       12        0        0
17438 xmms2-plugin-flac                  	      16        1       15        0        0
17439 xosd-bin                           	      16        1       15        0        0
17440 xserver-xorg-video-nvidia-tesla-470	      16        6       10        0        0
17441 yamllint                           	      16        1       14        1        0
17442 yoshimi-doc                        	      16        0        0        0       16
17443 yudit                              	      16        1       14        1        0
17444 zeitgeist-datahub                  	      16        0       16        0        0
17445 zfs-initramfs                      	      16        0        0        0       16
17446 zipcmp                             	      16        2       14        0        0
17447 zipmerge                           	      16        2       14        0        0
17448 ziptool                            	      16        1       15        0        0
17449 zulumount-cli                      	      16        0       16        0        0
17450 acpitail                           	      15        1       14        0        0
17451 aegisub                            	      15        0       15        0        0
17452 amanda-client                      	      15        2       13        0        0
17453 amanda-common                      	      15        2       13        0        0
17454 amule-utils-gui                    	      15        0       14        1        0
17455 apache-netbeans                    	      15        1       13        1        0
17456 apt-config-auto-update             	      15        0        0        0       15
17457 asciinema                          	      15        0       15        0        0
17458 audiotools                         	      15        1       14        0        0
17459 autoconf2.64                       	      15        1       14        0        0
17460 avogadro                           	      15        1       13        1        0
17461 basez                              	      15        1       14        0        0
17462 berusky                            	      15        0       15        0        0
17463 berusky-data                       	      15        0        0        0       15
17464 biniax2                            	      15        0       15        0        0
17465 biniax2-data                       	      15        0        0        0       15
17466 blackbox                           	      15        2       13        0        0
17467 boinc                              	      15        0        0        0       15
17468 bookletimposer                     	      15        2       13        0        0
17469 boomaga                            	      15        1       14        0        0
17470 borgmatic                          	      15        0       15        0        0
17471 btrfsmaintenance                   	      15        0       15        0        0
17472 cabal-install                      	      15        1       14        0        0
17473 cadaver                            	      15        2       13        0        0
17474 cdw                                	      15        1       13        1        0
17475 chkconfig                          	      15        1       14        0        0
17476 clamav-unofficial-sigs             	      15        7        7        1        0
17477 clang-7                            	      15        0       15        0        0
17478 clang-tidy-19                      	      15        1       11        3        0
17479 claws-mail-keyword-warner          	      15        0        1        0       14
17480 cnijfilter2                        	      15        2       13        0        0
17481 colorized-logs                     	      15        1       14        0        0
17482 coturn                             	      15        4       11        0        0
17483 crawl-tiles                        	      15        0       15        0        0
17484 crawl-tiles-data                   	      15        0        0        0       15
17485 cronutils                          	      15        1       14        0        0
17486 cruft                              	      15        0        3        0       12
17487 culmus-fancy                       	      15        1        0        0       14
17488 cwcp                               	      15        1       14        0        0
17489 deb.torproject.org-keyring         	      15        0        0        0       15
17490 debian-security-support            	      15        4       11        0        0
17491 debmirror                          	      15        3       11        1        0
17492 debsuryorg-archive-keyring         	      15        0        0        0       15
17493 deluge-console                     	      15        1       14        0        0
17494 deluged                            	      15        1       13        1        0
17495 diff                               	      15        0        0        0       15
17496 dnstop                             	      15        2       13        0        0
17497 dolphin-emu                        	      15        2       13        0        0
17498 dolphin-emu-data                   	      15        0        0        0       15
17499 dotnet-hostfxr-8.0                 	      15        0        0        1       14
17500 dotnet-runtime-8.0                 	      15        0        0        1       14
17501 dotnet-runtime-deps-8.0            	      15        0        0        0       15
17502 doublecmd-help-en                  	      15        0        0        0       15
17503 duc                                	      15        0       15        0        0
17504 dvb-tools                          	      15        0       15        0        0
17505 echoping                           	      15        6        9        0        0
17506 electronics-tasks                  	      15        0        0        0       15
17507 epsonscan2                         	      15        1       14        0        0
17508 epsonscan2-non-free-plugin         	      15        0        0        0       15
17509 erlang-jinterface                  	      15        0       14        1        0
17510 eskatos-monitoring-plugins         	      15        5       10        0        0
17511 eskatos-reboot-notifier            	      15        5       10        0        0
17512 fcgiwrap                           	      15        4       11        0        0
17513 fcitx5-frontend-gtk4               	      15        0        2        0       13
17514 fcitx5-frontend-qt6                	      15        0        1        1       13
17515 flatpak-builder                    	      15        0       13        2        0
17516 fldiff                             	      15        3       12        0        0
17517 flight-of-the-amazon-queen         	      15        0       15        0        0
17518 flite1-dev                         	      15        0       14        1        0
17519 flrig                              	      15        1       14        0        0
17520 fonts-averia-gwf                   	      15        0        1        0       14
17521 fonts-circos-symbols               	      15        0        0        0       15
17522 fonts-eurofurence                  	      15        0        0        0       15
17523 fonts-hermit                       	      15        0        0        0       15
17524 fonts-horai-umefont                	      15        0        1        0       14
17525 fonts-leckerli-one                 	      15        0        0        0       15
17526 fonts-mona                         	      15        1        0        0       14
17527 fonts-monoid-halfloose             	      15        0        0        0       15
17528 fonts-monoid-loose                 	      15        0        0        0       15
17529 fonts-motoya-l-cedar               	      15        0        0        0       15
17530 fonts-sil-ezra                     	      15        0        0        0       15
17531 fonts-sil-galatia                  	      15        0        0        0       15
17532 forensics-all                      	      15        0        0        0       15
17533 forensics-extra                    	      15        0        0        0       15
17534 fp-docs-3.2.0                      	      15        0        0        0       15
17535 fpc-source                         	      15        0        0        0       15
17536 freealchemist                      	      15        0       15        0        0
17537 freecol                            	      15        1       14        0        0
17538 freeipmi                           	      15        0        0        0       15
17539 freeipmi-bmc-watchdog              	      15        1       14        0        0
17540 fuse-zip                           	      15        0       14        1        0
17541 gambas3-devel                      	      15        0       14        1        0
17542 gambas3-examples                   	      15        0        0        0       15
17543 gambas3-gb-args                    	      15        0       13        2        0
17544 gambas3-gb-cairo                   	      15        0       13        2        0
17545 gambas3-gb-chart                   	      15        0       13        2        0
17546 gambas3-gb-complex                 	      15        0       13        2        0
17547 gambas3-gb-compress                	      15        0       13        2        0
17548 gambas3-gb-compress-bzlib2         	      15        0       13        2        0
17549 gambas3-gb-compress-zlib           	      15        0       13        2        0
17550 gambas3-gb-data                    	      15        0       13        2        0
17551 gambas3-gb-db-mysql                	      15        0       13        2        0
17552 gambas3-gb-db-odbc                 	      15        0       13        2        0
17553 gambas3-gb-db-postgresql           	      15        0       13        2        0
17554 gambas3-gb-db-sqlite3              	      15        0       13        2        0
17555 gambas3-gb-dbus                    	      15        0       13        2        0
17556 gambas3-gb-dbus-trayicon           	      15        0       13        2        0
17557 gambas3-gb-form-htmlview           	      15        0       13        2        0
17558 gambas3-gb-gmp                     	      15        0       13        2        0
17559 gambas3-gb-gsl                     	      15        0       13        2        0
17560 gambas3-gb-gtk3-x11                	      15        0       14        1        0
17561 gambas3-gb-httpd                   	      15        0       13        2        0
17562 gambas3-gb-image-effect            	      15        0       13        2        0
17563 gambas3-gb-image-imlib             	      15        0       13        2        0
17564 gambas3-gb-jit                     	      15        0       14        1        0
17565 gambas3-gb-logging                 	      15        0       13        2        0
17566 gambas3-gb-map                     	      15        0       13        2        0
17567 gambas3-gb-media                   	      15        0       13        2        0
17568 gambas3-gb-media-form              	      15        0       13        2        0
17569 gambas3-gb-memcached               	      15        0       13        2        0
17570 gambas3-gb-mime                    	      15        0       13        2        0
17571 gambas3-gb-mysql                   	      15        0       13        2        0
17572 gambas3-gb-ncurses                 	      15        0       13        2        0
17573 gambas3-gb-net-pop3                	      15        0       13        2        0
17574 gambas3-gb-opengl-glsl             	      15        0       13        2        0
17575 gambas3-gb-opengl-glu              	      15        0       13        2        0
17576 gambas3-gb-openssl                 	      15        0       13        2        0
17577 gambas3-gb-poppler                 	      15        0       13        2        0
17578 gambas3-gb-report2                 	      15        0       13        2        0
17579 gambas3-gb-term-form               	      15        0       13        2        0
17580 gambas3-gb-vb                      	      15        0       13        2        0
17581 gambas3-gb-web                     	      15        0       13        2        0
17582 gambas3-gb-web-feed                	      15        0       13        2        0
17583 gambas3-gb-web-gui                 	      15        0       13        2        0
17584 gambas3-gb-xml                     	      15        0       13        2        0
17585 gambas3-gb-xml-html                	      15        0       13        2        0
17586 gambas3-gb-xml-rpc                 	      15        0       13        2        0
17587 gambas3-gb-xml-xslt                	      15        0       13        2        0
17588 gambas3-scripter                   	      15        0       13        2        0
17589 gcolor3                            	      15        2       13        0        0
17590 geda-symbols                       	      15        0        0        0       15
17591 gem-doc                            	      15        0        0        0       15
17592 gem-plugin-assimp                  	      15        0       15        0        0
17593 gem-plugin-gmerlin                 	      15        0       15        0        0
17594 gem-plugin-magick                  	      15        0       15        0        0
17595 gfortran-12-multilib               	      15        0        0        0       15
17596 gimp-ufraw                         	      15        0       15        0        0
17597 gir1.2-colordgtk-1.0               	      15        0        0        0       15
17598 git-extras                         	      15        2       13        0        0
17599 glimpse                            	      15        0       15        0        0
17600 glpeces-data                       	      15        0        0        0       15
17601 glslang-dev                        	      15        0       14        1        0
17602 gnome-firmware                     	      15        1       14        0        0
17603 gnome-icon-theme-yasis             	      15        0        0        0       15
17604 gnu-efi                            	      15        0       15        0        0
17605 gnulib                             	      15        0       15        0        0
17606 gnunet                             	      15        2       13        0        0
17607 gobject-introspection-bin          	      15        0        9        6        0
17608 golang-1.15-doc                    	      15        0       15        0        0
17609 gprename                           	      15        2       13        0        0
17610 gpxviewer                          	      15        1       14        0        0
17611 grun                               	      15        0       15        0        0
17612 gsimplecal                         	      15        5        9        1        0
17613 gstreamer1.0-plugins-base-apps     	      15        0       14        1        0
17614 haproxy                            	      15        5       10        0        0
17615 hfsutils-tcltk                     	      15        0       15        0        0
17616 hsa-rocr                           	      15        0        5        0       10
17617 hunspell-ca                        	      15        0       15        0        0
17618 hydra-gtk                          	      15        1       14        0        0
17619 hydrogen-drumkits-effects          	      15        0        0        0       15
17620 hyphen-uk                          	      15        0        0        0       15
17621 hyphen-zu                          	      15        0        0        0       15
17622 icaclient                          	      15        2       11        0        2
17623 icinga-archive-keyring             	      15        0        0        0       15
17624 ideviceinstaller                   	      15        0       15        0        0
17625 iogerman                           	      15        0       15        0        0
17626 iozone3                            	      15        2       11        2        0
17627 iptstate                           	      15        1       14        0        0
17628 iscan                              	      15        2       13        0        0
17629 iscan-data                         	      15        0       15        0        0
17630 jaaa                               	      15        0       15        0        0
17631 jackd1                             	      15        1       14        0        0
17632 kbibtex                            	      15        0       14        1        0
17633 kde-wallpapers-default             	      15        0        0        0       15
17634 kdeartwork-emoticons               	      15        0        0        0       15
17635 kdevelop-l10n                      	      15        0        0        0       15
17636 kipi-plugins-common                	      15        0        0        0       15
17637 kodi-inputstream-ffmpegdirect      	      15        0        0        0       15
17638 kodi-inputstream-rtmp              	      15        0        0        0       15
17639 krb5-auth-dialog                   	      15        1       14        0        0
17640 lib32gfortran-12-dev               	      15        0        0        0       15
17641 lib32tinfo5                        	      15        0        0        0       15
17642 lib64stdc++6                       	      15        0        0        0       15
17643 libaccinj64-11.8                   	      15        0        0        0       15
17644 libakonadi-data                    	      15        3        0        0       12
17645 libanjuta-3-0                      	      15        0        0        0       15
17646 libapache2-mod-passenger           	      15        2       13        0        0
17647 libbaseencode1                     	      15        1        0        0       14
17648 libboost-program-options1.83-dev   	      15        0        0        0       15
17649 libboost-signals1.62.0             	      15        0        0        0       15
17650 libboost-test1.83-dev              	      15        0        0        0       15
17651 libboost-test1.83.0                	      15        0        0        0       15
17652 libboost-timer1.67.0               	      15        0        0        0       15
17653 libboost1.67-dev                   	      15        1       14        0        0
17654 libcache-perl                      	      15        0       15        0        0
17655 libcamel-1.2-59                    	      15        0        0        0       15
17656 libcdd0d                           	      15        0        0        0       15
17657 libcddb2-dev                       	      15        0       15        0        0
17658 libcgi-compile-perl                	      15        1       14        0        0
17659 libcgi-emulate-psgi-perl           	      15        1       14        0        0
17660 libclamav12                        	      15        9        5        0        1
17661 libclang1-17t64                    	      15        1       10        4        0
17662 libclc-dev                         	      15        0       15        0        0
17663 libcld2-0                          	      15        0        1        0       14
17664 libcommons-csv-java                	      15        0        0        0       15
17665 libcotp12                          	      15        1        0        0       14
17666 libcreg1                           	      15        0        0        0       15
17667 libcrypt-des-perl                  	      15        0        0        0       15
17668 libcubeb0                          	      15        0        0        0       15
17669 libcuinj64-11.8                    	      15        0        0        0       15
17670 libdata-dumper-concise-perl        	      15        1       14        0        0
17671 libdatetime-format-natural-perl    	      15        0       15        0        0
17672 libdb-je-java                      	      15        0        0        0       15
17673 libdebian-source-perl              	      15        0       14        1        0
17674 libedataserver-1.2-22              	      15        0        0        0       15
17675 libegl-nvidia-tesla-470-0          	      15        3        5        0        7
17676 libengine-gost-openssl             	      15        0        0        0       15
17677 libetpan20t64                      	      15        1        4        0       10
17678 libevent-pthreads-2.0-5            	      15        0        0        0       15
17679 libf2c2                            	      15        0        0        0       15
17680 libfilter-perl                     	      15        0       15        0        0
17681 libfvde1                           	      15        0        0        0       15
17682 libgarmin0                         	      15        0        0        0       15
17683 libgeoip-dev                       	      15        1       14        0        0
17684 libghc-bifunctors-dev              	      15        1       14        0        0
17685 libghc-comonad-dev                 	      15        1       14        0        0
17686 libghc-contravariant-dev           	      15        1       14        0        0
17687 libghc-data-default-class-doc      	      15        0       15        0        0
17688 libghc-distributive-dev            	      15        1       14        0        0
17689 libghc-semigroupoids-dev           	      15        1       14        0        0
17690 libgjs0e                           	      15        0       15        0        0
17691 libgl2ps1                          	      15        0        0        0       15
17692 libgphoto2-2                       	      15        2       13        0        0
17693 libgraphviz-perl                   	      15        1       14        0        0
17694 libgsf-1-dev                       	      15        1       14        0        0
17695 libgstrtspserver-1.0-0             	      15        0        0        0       15
17696 libgtkextra-3.0                    	      15        0        0        0       15
17697 libhdf5-openmpi-cpp-103-1          	      15        0        0        0       15
17698 libhdf5-openmpi-hl-cpp-100         	      15        0        0        0       15
17699 libhttp-entity-parser-perl         	      15        2       13        0        0
17700 libhttp-headers-fast-perl          	      15        2       13        0        0
17701 libhttp-lite-perl                  	      15        0       15        0        0
17702 libhttp-multipartparser-perl       	      15        2       13        0        0
17703 libjing-java                       	      15        0        0        0       15
17704 libjmol-java                       	      15        0        0        0       15
17705 libjs-jquery-ui-theme-smoothness   	      15        0        0        0       15
17706 libjs-jstimezonedetect             	      15        0        0        0       15
17707 libkcddb4                          	      15        0        0        0       15
17708 libkcompactdisc4                   	      15        0        0        0       15
17709 libkf5notifications-doc            	      15        0        0        0       15
17710 libkf5torrent6abi1                 	      15        0        0        0       15
17711 libkgapi-data                      	      15        1        0        0       14
17712 libkpim6akonadiprivate6            	      15        8        2        0        5
17713 libkpimgapidrive5                  	      15        0        0        0       15
17714 libktorrent6-6                     	      15        1        0        0       14
17715 libllvm14t64                       	      15        0        0        0       15
17716 libllvm3.8                         	      15        0        0        0       15
17717 libluksde1                         	      15        0        0        0       15
17718 libmagickcore-6.q16-2              	      15        1        0        0       14
17719 libmedc11t64                       	      15        0        0        0       15
17720 libmediastreamer-base10            	      15        0        0        0       15
17721 libmediastreamer-base3             	      15        0        0        0       15
17722 libmediastreamer-voip10            	      15        0        0        0       15
17723 libmng-dev                         	      15        0       15        0        0
17724 libmoosex-types-perl               	      15        1       14        0        0
17725 libmozjs185-1.0                    	      15        0        0        0       15
17726 libmsiecf1                         	      15        0        0        0       15
17727 libnaga-java                       	      15        0        0        0       15
17728 libngtcp2-crypto-gnutls-dev        	      15        0       12        3        0
17729 libnlopt-cxx0                      	      15        0        0        0       15
17730 libnvidia-legacy-390xx-eglcore     	      15        3        0        0       12
17731 libnvidia-legacy-390xx-glcore      	      15        3        1        0       11
17732 libnvidia-opticalflow1             	      15        0        0        0       15
17733 libnvidia-tesla-470-cbl            	      15        0        0        0       15
17734 libnvoptix1                        	      15        0        0        0       15
17735 liboctave8                         	      15        0        0        0       15
17736 libonnxruntime1.21                 	      15        0        0        0       15
17737 libopenblas-base                   	      15        0        2        0       13
17738 libopencv-calib3d4.5               	      15        0        0        0       15
17739 libopencv-features2d4.5            	      15        0        0        0       15
17740 libopencv-flann4.5                 	      15        0        0        0       15
17741 libopencv-highgui3.2               	      15        0        0        0       15
17742 libopencv-imgcodecs410             	      15        0        0        0       15
17743 libopencv-objdetect3.2             	      15        0        0        0       15
17744 libopencv-objdetect4.5             	      15        0        0        0       15
17745 libopencv-video3.2                 	      15        0        0        0       15
17746 libopenmpi3t64                     	      15        0        0        0       15
17747 libopenmpt-dev                     	      15        0       14        1        0
17748 libopenxr-loader1                  	      15        0        0        0       15
17749 libortp13                          	      15        0        0        0       15
17750 libpari-gmp-tls8                   	      15        0        0        0       15
17751 libparted-dev                      	      15        0       14        1        0
17752 libparted0debian1                  	      15        0        0        0       15
17753 libperl5.34                        	      15        1       14        0        0
17754 libpwquality-tools                 	      15        0       15        0        0
17755 libpyside2-py3-5.15t64             	      15        0       14        1        0
17756 libqb0                             	      15        0        0        0       15
17757 libqgpgmeqt6-15                    	      15        7        2        0        6
17758 libqscintilla2-qt6-15              	      15        0        0        0       15
17759 libqscintilla2-qt6-l10n            	      15        0        0        0       15
17760 libqt6labsfolderlistmodel6         	      15        0        0        0       15
17761 libqt6labssettings6                	      15        0        0        0       15
17762 libqt6qmlxmllistmodel6             	      15        0        0        0       15
17763 librecast1                         	      15        0        0        0       15
17764 libreoffice-help-nl                	      15        0        0        0       15
17765 libreoffice-l10n-hu                	      15        1       14        0        0
17766 libreoffice-l10n-sv                	      15        0       15        0        0
17767 librpmsign3                        	      15        0        0        0       15
17768 librrd4                            	      15        1        0        0       14
17769 librubberband3                     	      15        0        1        0       14
17770 libscca1                           	      15        0        0        0       15
17771 libshiboken2-py3-5.15t64           	      15        1       13        1        0
17772 libsixel-dev                       	      15        0       15        0        0
17773 libsleef3                          	      15        0        0        0       15
17774 libsoqt520t64                      	      15        0        0        0       15
17775 libsp1c2                           	      15        0        0        0       15
17776 libspandsp-dev                     	      15        0       15        0        0
17777 libspectrum8                       	      15        0        0        0       15
17778 libspice-protocol-dev              	      15        0       15        0        0
17779 libsql-statement-perl              	      15        0       15        0        0
17780 libstk-4.6.1                       	      15        0        0        0       15
17781 libstreams0                        	      15        1        0        0       14
17782 libsub-exporter-formethods-perl    	      15        1       14        0        0
17783 libtablelayout-java                	      15        0        0        0       15
17784 libtheora-bin                      	      15        1       13        1        0
17785 libtmglib3                         	      15        0        0        0       15
17786 libtokyocabinet8                   	      15        0        0        0       15
17787 libtsan0-arm64-cross               	      15        0        0        0       15
17788 libturbojpeg0-dev                  	      15        0       13        2        0
17789 libucl1                            	      15        0        0        0       15
17790 libunicode-map8-perl               	      15        2       13        0        0
17791 libverto-libev1                    	      15        2        2        0       11
17792 libverto1                          	      15        2        2        0       11
17793 libvirt-dev                        	      15        1       13        1        0
17794 libvte-2.91-dev                    	      15        0       13        2        0
17795 libvtk9.1-qt                       	      15        0        0        0       15
17796 libwebsocketpp-dev                 	      15        0        0        0       15
17797 libwpd-0.9-9                       	      15        0        0        0       15
17798 libwww-form-urlencoded-xs-perl     	      15        0        2        0       13
17799 libwxsvg3                          	      15        0        0        0       15
17800 libx32gfortran-12-dev              	      15        0        0        0       15
17801 libx86emu2                         	      15        0        0        0       15
17802 libxml-xql-perl                    	      15        1       14        0        0
17803 libzbargtk0                        	      15        0        0        0       15
17804 lighttpd-doc                       	      15        0        0        0       15
17805 linux-headers-5.10.0-11-amd64      	      15        0       15        0        0
17806 linux-image-4.9.0-6-686-pae        	      15        0       14        0        1
17807 linux-image-6.11.9-amd64           	      15        1       14        0        0
17808 linux-image-6.12.5-amd64           	      15        0       15        0        0
17809 linux-image-rt-amd64               	      15        0        0        0       15
17810 linuxfabrik-monitoring-plugins     	      15        0        2        0       13
17811 linuxptp                           	      15        0       15        0        0
17812 liquidwar                          	      15        0       15        0        0
17813 liquidwar-data                     	      15        0        0        0       15
17814 liquidwar-server                   	      15        0       15        0        0
17815 lirc-compat-remotes                	      15        0        0        0       15
17816 lltdscan                           	      15        2       12        1        0
17817 llvm-17                            	      15        0       11        4        0
17818 llvm-17-dev                        	      15        2       10        3        0
17819 llvm-17-runtime                    	      15        0       11        4        0
17820 llvm-17-tools                      	      15        0       11        4        0
17821 locale-en-bs                       	      15        0        0        0       15
17822 lua-event                          	      15        1        0        0       14
17823 lua-posix                          	      15        0        0        0       15
17824 magic-wormhole                     	      15        0       15        0        0
17825 mailutils-doc                      	      15        0        0        0       15
17826 manpages-nl                        	      15        0        0        0       15
17827 maptool                            	      15        0       15        0        0
17828 mariadb-backup                     	      15        1       11        3        0
17829 mate-sensors-applet-nvidia         	      15        3        4        0        8
17830 mdetect                            	      15        3       12        0        0
17831 megaglest-data                     	      15        0        0        0       15
17832 membernator                        	      15        3       11        1        0
17833 micropolis                         	      15        0       15        0        0
17834 micropolis-data                    	      15        0        0        0       15
17835 minitube                           	      15        1       14        0        0
17836 moc-ffmpeg-plugin                  	      15        0        0        0       15
17837 mongodb-database-tools             	      15        1       13        1        0
17838 mongodb-org                        	      15        0        0        0       15
17839 mongodb-org-database-tools-extra   	      15        0       14        1        0
17840 mp3info-gtk                        	      15        1       14        0        0
17841 mumble-server                      	      15        3       12        0        0
17842 mupen64plus-audio-all              	      15        0        0        0       15
17843 mupen64plus-input-all              	      15        0        0        0       15
17844 mupen64plus-rsp-all                	      15        0        0        0       15
17845 mupen64plus-rsp-hle                	      15        0        0        0       15
17846 mupen64plus-ui-console             	      15        0       15        0        0
17847 mupen64plus-video-all              	      15        0        0        0       15
17848 mupen64plus-video-glide64mk2       	      15        0        0        0       15
17849 mupen64plus-video-rice             	      15        0        0        0       15
17850 musl-tools                         	      15        2       13        0        0
17851 muttprint                          	      15        1       14        0        0
17852 myrepos                            	      15        1       14        0        0
17853 nethack-x11                        	      15        0       15        0        0
17854 node-p-finally                     	      15        1        6        0        8
17855 nordvpn                            	      15        3       11        1        0
17856 nted-doc                           	      15        0        0        0       15
17857 nvidia-settings-tesla-470          	      15        3       12        0        0
17858 nvidia-tesla-470-driver            	      15        0        0        0       15
17859 nvidia-tesla-470-driver-bin        	      15        1       13        1        0
17860 nvidia-tesla-470-driver-libs       	      15        0        0        0       15
17861 nvidia-tesla-470-egl-icd           	      15        0        0        0       15
17862 nvidia-tesla-470-smi               	      15        3       12        0        0
17863 nvidia-tesla-470-vulkan-icd        	      15        0        0        0       15
17864 ogdi-bin                           	      15        0       15        0        0
17865 oidentd                            	      15        7        8        0        0
17866 omins                              	      15        0        0        0       15
17867 openmw                             	      15        0       14        1        0
17868 openmw-launcher                    	      15        0       14        1        0
17869 openpace                           	      15        3       11        1        0
17870 openssl-blacklist                  	      15        4       11        0        0
17871 pcb-rnd-auto                       	      15        0       15        0        0
17872 pcb-rnd-cloud                      	      15        0       15        0        0
17873 pcb-rnd-core                       	      15        0       15        0        0
17874 pcb-rnd-export                     	      15        0       15        0        0
17875 pcb-rnd-export-extra               	      15        0       15        0        0
17876 pcb-rnd-export-sim                 	      15        0       15        0        0
17877 pcb-rnd-extra                      	      15        0       15        0        0
17878 pcb-rnd-import-net                 	      15        0       15        0        0
17879 pcb-rnd-io-alien                   	      15        0       15        0        0
17880 pcb-rnd-io-standard                	      15        0       15        0        0
17881 pcb-rnd-lib-gui                    	      15        0       15        0        0
17882 pcb-rnd-lib-io                     	      15        0       15        0        0
17883 pcsxr                              	      15        0       14        1        0
17884 pdfcube                            	      15        0       15        0        0
17885 pdfsandwich                        	      15        0       15        0        0
17886 pencil2d                           	      15        0       15        0        0
17887 phototonic                         	      15        0       15        0        0
17888 php-getid3                         	      15        0       15        0        0
17889 php-pspell                         	      15        0        0        0       15
17890 php5-curl                          	      15        7        8        0        0
17891 php5-mcrypt                        	      15        5        9        0        1
17892 php7.3-pgsql                       	      15        6        9        0        0
17893 php7.4-gmp                         	      15        6        9        0        0
17894 php7.4-ldap                        	      15        6        9        0        0
17895 php7.4-pspell                      	      15        4       11        0        0
17896 php8.0-xml                         	      15        5       10        0        0
17897 php8.2-memcache                    	      15        5       10        0        0
17898 php8.2-tidy                        	      15        6        9        0        0
17899 php8.4-cli                         	      15        9        5        1        0
17900 php8.4-opcache                     	      15        9        5        1        0
17901 php8.4-readline                    	      15        9        5        1        0
17902 pinentry-tqt                       	      15        0       13        2        0
17903 png-definitive-guide               	      15        0        0        0       15
17904 podman-compose                     	      15        2       12        1        0
17905 proton-vpn-gtk-app                 	      15        1       11        3        0
17906 psi-plus                           	      15        1       14        0        0
17907 psi-plus-sounds                    	      15        0        0        0       15
17908 pulseaudio-module-x11              	      15        3       12        0        0
17909 python-concurrent.futures          	      15        1       14        0        0
17910 python-css-parser                  	      15        0       15        0        0
17911 python-gdbm                        	      15        2       13        0        0
17912 python-nose                        	      15        1       14        0        0
17913 python-smbc                        	      15        0       15        0        0
17914 python3-aiohappyeyeballs           	      15        1       10        4        0
17915 python3-alembic                    	      15        0       14        1        0
17916 python3-cherrypy3                  	      15        0       15        0        0
17917 python3-elasticsearch              	      15        0       15        0        0
17918 python3-electrum                   	      15        0       14        1        0
17919 python3-emoji                      	      15        1       14        0        0
17920 python3-extras                     	      15        0       15        0        0
17921 python3-fastapi                    	      15        0       13        2        0
17922 python3-frozendict                 	      15        1       14        0        0
17923 python3-gtts                       	      15        3       12        0        0
17924 python3-libfsntfs                  	      15        0       15        0        0
17925 python3-orjson                     	      15        4       10        1        0
17926 python3-oscrypto                   	      15        0       15        0        0
17927 python3-portend                    	      15        0       15        0        0
17928 python3-proton-vpn-api-core        	      15        1       11        3        0
17929 python3-proton-vpn-network-manager 	      15        1       11        3        0
17930 python3-pyglet                     	      15        0       15        0        0
17931 python3-pyquery                    	      15        0       15        0        0
17932 python3-rpy2                       	      15        0       15        0        0
17933 python3-skimage                    	      15        0       15        0        0
17934 python3-skimage-lib                	      15        0       15        0        0
17935 python3-smartypants                	      15        4       11        0        0
17936 python3-spake2                     	      15        0       15        0        0
17937 python3-starlette                  	      15        0       13        2        0
17938 python3-tempora                    	      15        0       15        0        0
17939 python3-terminaltables             	      15        0       15        0        0
17940 python3-testtools                  	      15        0       13        2        0
17941 python3-trove-classifiers          	      15        0       14        1        0
17942 python3-waitress                   	      15        1       13        1        0
17943 python3-zstandard                  	      15        2       11        2        0
17944 python3.7-venv                     	      15        1       14        0        0
17945 qml-module-qt-labs-calendar        	      15        0        0        0       15
17946 qml-module-qtwebchannel            	      15        0        1        0       14
17947 qt4-doc                            	      15        0        0        0       15
17948 qt6-declarative-dev                	      15        0       13        2        0
17949 qtbase5-doc-html                   	      15        0        0        0       15
17950 qtwayland5-dev-tools               	      15        0       15        0        0
17951 quaternion                         	      15        2       13        0        0
17952 r-doc-info                         	      15        0        0        0       15
17953 regripper                          	      15        1       14        0        0
17954 remmina-plugin-exec                	      15        0        0        0       15
17955 rien-common                        	      15        0       14        1        0
17956 rien-keyring                       	      15        0        0        0       15
17957 rocm-opencl                        	      15        0       15        0        0
17958 ruby-method-source                 	      15        2       13        0        0
17959 ruby-rainbow                       	      15        1       14        0        0
17960 ruby-unicode-display-width         	      15        1       13        1        0
17961 ruby-xdg                           	      15        2       13        0        0
17962 ruby1.8                            	      15        2       13        0        0
17963 ruby1.9.1                          	      15        2       13        0        0
17964 rust-clippy                        	      15        0       13        2        0
17965 scala-library                      	      15        0        0        0       15
17966 scala-parser-combinators           	      15        0        0        0       15
17967 scilab                             	      15        1       14        0        0
17968 scilab-full-bin                    	      15        1       14        0        0
17969 setcd                              	      15        1       14        0        0
17970 sgabios                            	      15        0        0        0       15
17971 sharutils-doc                      	      15        0        0        0       15
17972 shiki-brave-theme                  	      15        0        0        0       15
17973 shiki-human-theme                  	      15        0        0        0       15
17974 shiki-illustrious-theme            	      15        0        0        0       15
17975 shiki-noble-theme                  	      15        0        0        0       15
17976 shiki-wise-theme                   	      15        0        0        0       15
17977 sigrok                             	      15        0        0        0       15
17978 singular                           	      15        0        0        0       15
17979 singular-data                      	      15        0        0        0       15
17980 singular-modules                   	      15        0        0        0       15
17981 singular-ui                        	      15        1       14        0        0
17982 sip-dev                            	      15        0       14        1        0
17983 sp                                 	      15        0       15        0        0
17984 spectrum-roms                      	      15        0        0        0       15
17985 srecord                            	      15        1       14        0        0
17986 ste-plugins                        	      15        1       14        0        0
17987 stella                             	      15        0       15        0        0
17988 sweethome3d-furniture-nonfree      	      15        0        0        0       15
17989 task-ukrainian                     	      15        0        0        0       15
17990 tegaki-zinnia-japanese             	      15        0        0        0       15
17991 tightvnc-java                      	      15        1       14        0        0
17992 time-decode                        	      15        1       14        0        0
17993 tinc                               	      15        3       12        0        0
17994 tk-html1                           	      15        0        0        0       15
17995 tk-mpeg                            	      15        0        0        0       15
17996 tkgate                             	      15        0       15        0        0
17997 tomcat9-common                     	      15        5        9        1        0
17998 torcs                              	      15        0       15        0        0
17999 torcs-data                         	      15        0        0        0       15
18000 translate-shell                    	      15        0       15        0        0
18001 udisks2-btrfs                      	      15        0        0        0       15
18002 udisks2-doc                        	      15        0        0        0       15
18003 unace-nonfree                      	      15        2       13        0        0
18004 uvccapture                         	      15        1       14        0        0
18005 vacation                           	      15        2       13        0        0
18006 vim-snippets                       	      15        0        0        0       15
18007 wfaroese                           	      15        2       12        1        0
18008 wgaelic                            	      15        2       12        1        0
18009 widelands                          	      15        0       15        0        0
18010 widelands-data                     	      15        0        0        0       15
18011 wine-development                   	      15        2       13        0        0
18012 wine-staging                       	      15        2       12        1        0
18013 wine-staging-amd64                 	      15        3       11        1        0
18014 wine-staging-i386                  	      15        2       11        2        0
18015 wmanx                              	      15        2       12        1        0
18016 xcape                              	      15        2       12        1        0
18017 xemacs21                           	      15        0        0        0       15
18018 xemacs21-mule                      	      15        1       14        0        0
18019 xfonts-intl-japanese-big           	      15        0        0        0       15
18020 xgalaga                            	      15        1       14        0        0
18021 xinput-calibrator                  	      15        0       14        1        0
18022 xmaxima                            	      15        0       15        0        0
18023 xsok                               	      15        1       14        0        0
18024 xva-img                            	      15        3       11        1        0
18025 yagf                               	      15        1       14        0        0
18026 yelp-tools                         	      15        0       15        0        0
18027 yubico-piv-tool                    	      15        1       14        0        0
18028 zeitgeist                          	      15        0        0        0       15
18029 zulumount-gui                      	      15        0       15        0        0
18030 2048                               	      14        0       14        0        0
18031 7kaa                               	      14        0       14        0        0
18032 7kaa-data                          	      14        0        0        0       14
18033 abs-guide                          	      14        0        0        0       14
18034 ada-reference-manual-2012          	      14        0        0        0       14
18035 airgraph-ng                        	      14        1       13        0        0
18036 alpine-pico                        	      14        0       13        1        0
18037 android-libf2fs-utils              	      14        0        0        0       14
18038 angrydd                            	      14        0       14        0        0
18039 anki                               	      14        2       12        0        0
18040 ansiweather                        	      14        0       13        1        0
18041 apcupsd-cgi                        	      14        2       12        0        0
18042 apel                               	      14        2       11        1        0
18043 aptdaemon-data                     	      14        0        0        0       14
18044 armagetronad                       	      14        0       14        0        0
18045 armagetronad-common                	      14        0        0        0       14
18046 asciidoc-doc                       	      14        0        0        0       14
18047 aspnetcore-runtime-8.0             	      14        0        0        0       14
18048 aspnetcore-targeting-pack-8.0      	      14        0        0        0       14
18049 astronomical-almanac               	      14        0       14        0        0
18050 authbind                           	      14        2       12        0        0
18051 autoconf2.69                       	      14        0       14        0        0
18052 autorandr                          	      14        4        9        1        0
18053 autotalent                         	      14        0       14        0        0
18054 avahi-ui-utils                     	      14        1       13        0        0
18055 avogadro-utils                     	      14        1       12        1        0
18056 bambam                             	      14        1       13        0        0
18057 bgscripts                          	      14        2       11        1        0
18058 bindfs                             	      14        0       14        0        0
18059 blt-dev                            	      14        0       13        1        0
18060 bochs                              	      14        1       13        0        0
18061 bomberclone                        	      14        1       13        0        0
18062 bomberclone-data                   	      14        0        0        0       14
18063 buku                               	      14        1       13        0        0
18064 burner-common                      	      14        0        0        0       14
18065 cclive                             	      14        1       13        0        0
18066 cdck                               	      14        1       13        0        0
18067 cgdb                               	      14        0       14        0        0
18068 clangd-19                          	      14        0       10        4        0
18069 clazy                              	      14        1       13        0        0
18070 cmark                              	      14        0       14        0        0
18071 color-picker                       	      14        0       14        0        0
18072 cpp-12-arm-linux-gnueabihf         	      14        0       14        0        0
18073 cputool                            	      14        1       13        0        0
18074 create-resources                   	      14        0        0        0       14
18075 cysignals-tools                    	      14        0       14        0        0
18076 ddate                              	      14        1       13        0        0
18077 debian-edu-doc-en                  	      14        0        0        0       14
18078 devede                             	      14        0       14        0        0
18079 dfc                                	      14        0       13        1        0
18080 dh-buildinfo                       	      14        0       14        0        0
18081 dhelp                              	      14        4       10        0        0
18082 disk-manager                       	      14        0       14        0        0
18083 diskscan                           	      14        3       11        0        0
18084 dma                                	      14        0       13        1        0
18085 docbook-dsssl-doc                  	      14        0        0        0       14
18086 dotnet-apphost-pack-8.0            	      14        0       12        2        0
18087 dotnet-sdk-8.0                     	      14        0       12        2        0
18088 dotnet-targeting-pack-8.0          	      14        0        0        0       14
18089 dreamchess                         	      14        0       14        0        0
18090 dreamchess-data                    	      14        0        0        0       14
18091 dropbear-initramfs                 	      14        0        0        0       14
18092 dssi-utils                         	      14        0       14        0        0
18093 dwdiff                             	      14        2       12        0        0
18094 elpa-ess                           	      14        1       13        0        0
18095 elpa-magit-section                 	      14        2       12        0        0
18096 execstack                          	      14        1       13        0        0
18097 f2c                                	      14        0       14        0        0
18098 fatrace                            	      14        0       14        0        0
18099 fftw-dev                           	      14        0       14        0        0
18100 fig2ps                             	      14        0       14        0        0
18101 firefox-esr-l10n-hu                	      14        2       12        0        0
18102 firmware-cavium                    	      14        0       14        0        0
18103 firmware-netronome                 	      14        0       13        1        0
18104 fonts-allerta                      	      14        0        0        0       14
18105 fonts-aoyagi-kouzan-t              	      14        0        0        0       14
18106 fonts-aoyagi-soseki                	      14        0        0        0       14
18107 fonts-bebas-neue                   	      14        0        0        0       14
18108 fonts-dejavu-web                   	      14        0        0        0       14
18109 fonts-dseg                         	      14        0        0        0       14
18110 fonts-dzongkha                     	      14        1        0        0       13
18111 fonts-engadget                     	      14        1        0        0       13
18112 fonts-farsiweb                     	      14        0        0        0       14
18113 fonts-gamaliel                     	      14        0        0        0       14
18114 fonts-glasstty                     	      14        1        0        0       13
18115 fonts-havana                       	      14        0        0        0       14
18116 fonts-ipamj-mincho                 	      14        1        0        0       13
18117 fonts-johnsmith-induni             	      14        0        0        0       14
18118 fonts-kaushanscript                	      14        0        0        0       14
18119 fonts-monoid-tight                 	      14        0        0        0       14
18120 fonts-mph-2b-damase                	      14        1        0        0       13
18121 fonts-sawarabi-mincho              	      14        0        0        0       14
18122 fonts-tibetan-machine              	      14        2        0        0       12
18123 fonty-rg                           	      14        1       13        0        0
18124 foxtrotgps                         	      14        0       14        0        0
18125 freedink                           	      14        0        0        0       14
18126 freedink-data                      	      14        0        0        0       14
18127 freedink-dfarc                     	      14        0       14        0        0
18128 freedink-engine                    	      14        0       14        0        0
18129 freetds-doc                        	      14        0        0        0       14
18130 frei0r-plugins-dev                 	      14        1       12        1        0
18131 fwbuilder                          	      14        3       11        0        0
18132 fwbuilder-common                   	      14        0        0        0       14
18133 fwbuilder-doc                      	      14        0        0        0       14
18134 gajim-pgp                          	      14        0        0        0       14
18135 gambas3                            	      14        0        0        0       14
18136 gambas3-gb-compress-zstd           	      14        0       12        2        0
18137 gambas3-gb-eval-highlight          	      14        0       12        2        0
18138 gambas3-gb-gtk3-opengl             	      14        0       12        2        0
18139 gcc-12-arm-linux-gnueabihf-base    	      14        0        0        0       14
18140 gcc-12-cross-base-ports            	      14        0        0        0       14
18141 gcc-8-cross-base                   	      14        0        0        0       14
18142 gcompris                           	      14        0        2        0       12
18143 gdc                                	      14        0       14        0        0
18144 gddccontrol                        	      14        3       11        0        0
18145 gem-plugin-v4l2                    	      14        0       14        0        0
18146 gfortran-12-doc                    	      14        0        0        0       14
18147 gimp-help-es                       	      14        0        0        0       14
18148 gir1.2-javascriptcoregtk-6.0       	      14        0        0        0       14
18149 gir1.2-libinsane-1.0               	      14        0        0        0       14
18150 gir1.2-nma4-1.0                    	      14        0        4        1        9
18151 gir1.2-webkit-6.0                  	      14        0        0        0       14
18152 gir1.2-zeitgeist-2.0               	      14        0        0        0       14
18153 gkrellweather                      	      14        3       11        0        0
18154 glpeces                            	      14        2       12        0        0
18155 gmp-doc                            	      14        0        0        0       14
18156 gmp-ecm                            	      14        0       14        0        0
18157 gmpc                               	      14        0       13        1        0
18158 gmpc-data                          	      14        0        0        0       14
18159 gnome-photos                       	      14        0       14        0        0
18160 golang-1.22-go                     	      14        0       14        0        0
18161 golang-1.22-src                    	      14        0       14        0        0
18162 golang-1.24-doc                    	      14        0        0        0       14
18163 golang-1.24-go                     	      14        0        1       13        0
18164 golang-1.24-src                    	      14        0        1       13        0
18165 golang-golang-x-tools              	      14        1       11        2        0
18166 gperiodic                          	      14        1       13        0        0
18167 gpgv2                              	      14        3       11        0        0
18168 group-service                      	      14        2        7        5        0
18169 grub-rescue-pc                     	      14        1       13        0        0
18170 grub-xen-host                      	      14        0       14        0        0
18171 grub2-splashimages                 	      14        0        0        0       14
18172 gsl-bin                            	      14        0       14        0        0
18173 gtans                              	      14        1       13        0        0
18174 guile-gnutls                       	      14        0        1        0       13
18175 guile-json                         	      14        0        0        0       14
18176 gwaterfall                         	      14        0       14        0        0
18177 h5utils                            	      14        0       14        0        0
18178 ht                                 	      14        0       14        0        0
18179 hunspell-da                        	      14        0        0        0       14
18180 hunspell-en-ca                     	      14        0       13        0        1
18181 hunspell-ko                        	      14        0       14        0        0
18182 hxtools                            	      14        1       13        0        0
18183 hyphen-hu                          	      14        0        0        0       14
18184 ibus-anthy                         	      14        3       11        0        0
18185 icoextract-thumbnailer             	      14        0       13        1        0
18186 icu-doc                            	      14        0        0        0       14
18187 ifupdown-extra                     	      14        5        9        0        0
18188 inetutils-tools                    	      14        1       12        1        0
18189 invada-studio-plugins-ladspa       	      14        1       13        0        0
18190 iverilog                           	      14        0       14        0        0
18191 jargon                             	      14        0       13        1        0
18192 jlha-utils                         	      14        1       13        0        0
18193 jmol                               	      14        0       14        0        0
18194 jovie                              	      14        0       14        0        0
18195 jsvc                               	      14        2       12        0        0
18196 k4dirstat                          	      14        1       13        0        0
18197 kbibtex-data                       	      14        0        0        0       14
18198 kde-wallpapers                     	      14        0        0        0       14
18199 kdeartwork-wallpapers              	      14        0        0        0       14
18200 kexi-data                          	      14        0        0        0       14
18201 kmetronome                         	      14        0       14        0        0
18202 knockd                             	      14        3       11        0        0
18203 konqueror-nsplugins                	      14        0       14        0        0
18204 lib64atomic1                       	      14        0        0        0       14
18205 libafflib0t64                      	      14        0        0        0       14
18206 liballegro5.2                      	      14        0        0        0       14
18207 libancient2                        	      14        0        0        0       14
18208 libany-moose-perl                  	      14        0       14        0        0
18209 libargon2-dev                      	      14        1       13        0        0
18210 libaribb24-dev                     	      14        0       14        0        0
18211 libasan8-armel-cross               	      14        0        0        0       14
18212 libavahi-glib-dev                  	      14        0       14        0        0
18213 libavcodec-extra57                 	      14        0        0        0       14
18214 libbasicobjects0t64                	      14        3       11        0        0
18215 libboost-chrono1.83-dev            	      14        0        0        0       14
18216 libboost-thread1.83-dev            	      14        0        0        0       14
18217 libc++1-16t64                      	      14        1       13        0        0
18218 libc++abi1-16t64                   	      14        1       13        0        0
18219 libcamera0.4                       	      14        2        1        0       11
18220 libcanberra-gtk3-dev               	      14        0        0        0       14
18221 libcfg6                            	      14        0        0        0       14
18222 libcgif0                           	      14        0        1        0       13
18223 libclang-rt-17-dev                 	      14        0       10        4        0
18224 libclass-errorhandler-perl         	      14        0       14        0        0
18225 libcliquer1                        	      14        0        0        0       14
18226 libcmis-0.4-4                      	      14        0        0        0       14
18227 libcollection4t64                  	      14        3       11        0        0
18228 libcolord1                         	      14        0        0        0       14
18229 libcommons-daemon-java             	      14        0        1        0       13
18230 libcrypt-urandom-perl              	      14        1        9        0        4
18231 libdap23                           	      14        0        0        0       14
18232 libdhash1t64                       	      14        3       11        0        0
18233 libdigest-sha3-perl                	      14        1       13        0        0
18234 libdtkcommon                       	      14        0        0        0       14
18235 libebml-dev                        	      14        0       13        1        0
18236 libebml4                           	      14        1        0        0       13
18237 libecm1-dev                        	      14        0        0        0       14
18238 libecm1-dev-common                 	      14        0       14        0        0
18239 libenca-dev                        	      14        0       14        0        0
18240 libepsilon-dev                     	      14        0       14        0        0
18241 libextractor-plugin-gstreamer      	      14        0        0        0       14
18242 libextractor-plugin-thumbnailgtk   	      14        0        0        0       14
18243 libextractor-plugin-tiff           	      14        0        0        0       14
18244 libf2c2-dev                        	      14        0       14        0        0
18245 libfaac-dev                        	      14        0       14        0        0
18246 libfilezilla46                     	      14        0        0        0       14
18247 libflac++-dev                      	      14        0       12        2        0
18248 libflint17                         	      14        0        0        0       14
18249 libfsext1                          	      14        0        0        0       14
18250 libfshfs1                          	      14        0        0        0       14
18251 libftdi1-doc                       	      14        0        0        0       14
18252 libfuturesql6-0                    	      14        0        0        0       14
18253 libfwnt1                           	      14        0        0        0       14
18254 libfwsi1                           	      14        0        0        0       14
18255 libgeoclue0                        	      14        0        0        0       14
18256 libgfortran-11-dev                 	      14        0        0        0       14
18257 libghc-base-compat-dev             	      14        1       13        0        0
18258 libghc-random-prof                 	      14        0        0        0       14
18259 libghc-transformers-base-dev       	      14        1       13        0        0
18260 libgloo0                           	      14        0        0        0       14
18261 libgltf-0.0-0                      	      14        0        0        0       14
18262 libgmock-dev                       	      14        0       14        0        0
18263 libgoocanvas-common                	      14        0        0        0       14
18264 libgoocanvas3                      	      14        0        0        0       14
18265 libgphobos-dev                     	      14        0        0        0       14
18266 libgps-dev                         	      14        0       14        0        0
18267 libguichan-0.8.1-1v5               	      14        0        0        0       14
18268 libgwenhywfar60                    	      14        0        3        0       11
18269 libhamlib4t64                      	      14        4        9        1        0
18270 libhibernate-validator-java        	      14        0        0        0       14
18271 libhtml-lint-perl                  	      14        1       13        0        0
18272 libimobiledevice-dev               	      14        0       14        0        0
18273 libini-config5t64                  	      14        3       11        0        0
18274 libio-pipely-perl                  	      14        0       14        0        0
18275 libipa-hbac0t64                    	      14        3       11        0        0
18276 libirrlicht-dev                    	      14        0       14        0        0
18277 libjackson-json-java               	      14        0        0        0       14
18278 libjlha-java                       	      14        0        0        0       14
18279 libjni-inchi-java                  	      14        0        0        0       14
18280 libjni-inchi-jni                   	      14        0       14        0        0
18281 libjunixsocket-java                	      14        0        0        0       14
18282 libjunixsocket-jni                 	      14        0        0        0       14
18283 libk3b6                            	      14        0       14        0        0
18284 libk3b6-extracodecs                	      14        0       14        0        0
18285 libkadm5clnt-mit7                  	      14        0        0        0       14
18286 libkadm5srv-mit7                   	      14        0        0        0       14
18287 libkdb5-4                          	      14        0        0        0       14
18288 libkdb5-8                          	      14        0        0        0       14
18289 libkdc2-heimdal                    	      14        0        1        0       13
18290 libkdecorations3-6                 	      14        7        0        1        6
18291 libkf5akonadisearchcore5t64        	      14        0        1        0       13
18292 libkf5akonadisearchpim5t64         	      14        0        2        0       12
18293 libkf5akonadisearchxapian5t64      	      14        0        1        0       13
18294 libkf5gapi-data                    	      14        0        0        0       14
18295 libkf5parts-dev                    	      14        0       13        1        0
18296 libkf5webengineviewer5abi1t64      	      14        0        1        0       13
18297 libkf6calendarcore6                	      14        7        2        0        5
18298 libkf6plotting6                    	      14        0        0        0       14
18299 libkonqsidebarplugin4a             	      14        0        0        0       14
18300 libkpim6akonadicore6               	      14        8        2        0        4
18301 libkpim6akonadiwidgets6            	      14        8        2        0        4
18302 libkrad0                           	      14        0        0        0       14
18303 liblinux-inotify2-perl             	      14        2        2        0       10
18304 liblua50                           	      14        1        0        0       13
18305 liblzma-doc                        	      14        0        0        0       14
18306 libmagickwand-6.q16-2              	      14        1        0        0       13
18307 libmatroska-dev                    	      14        0       14        0        0
18308 libmatroska6                       	      14        1        0        0       13
18309 libmfx0                            	      14        0        0        0       14
18310 libmgba0.10                        	      14        0        0        0       14
18311 libmpdclient-dev                   	      14        0       14        0        0
18312 libmpfrc++-dev                     	      14        0       14        0        0
18313 libmpv-dev                         	      14        0       14        0        0
18314 libnetcdf11                        	      14        0        0        0       14
18315 libnewtonsoft-json5.0-cil          	      14        0       14        0        0
18316 libnl-cli-3-200                    	      14        0        0        0       14
18317 libnl-nf-3-200                     	      14        0        0        0       14
18318 libnormaliz3                       	      14        0        0        0       14
18319 libnumber-format-perl              	      14        0       14        0        0
18320 libnutscan1                        	      14        0        0        0       14
18321 libnvidia-fbc1                     	      14        0        0        0       14
18322 libnvidia-tesla-470-cuda1          	      14        0        0        0       14
18323 libnvidia-tesla-470-ptxjitcompiler1	      14        0        0        0       14
18324 libnvidia-tesla-470-rtcore         	      14        0        0        0       14
18325 libogmrip1                         	      14        0        0        0       14
18326 libolecf1                          	      14        0        0        0       14
18327 libonnx1                           	      14        0        0        0       14
18328 libopencv-contrib4.5               	      14        0        0        0       14
18329 libopencv-flann3.2                 	      14        0        0        0       14
18330 libopencv-highgui4.5               	      14        0        0        0       14
18331 libopencv-ml4.5                    	      14        0        0        0       14
18332 libopencv-photo3.2                 	      14        0        0        0       14
18333 libopencv-shape3.2                 	      14        0        0        0       14
18334 libopencv-video4.5                 	      14        0        0        0       14
18335 libopencv-video410                 	      14        0        0        0       14
18336 libopenlibm3                       	      14        0        0        0       14
18337 libopenvdb10.0t64                  	      14        0        0        0       14
18338 libpam-mount-bin                   	      14        2       12        0        0
18339 libpath-utils1                     	      14        0        7        0        7
18340 libpdal-plugin-faux                	      14        0        0        0       14
18341 libpdal-plugin-icebridge           	      14        0        0        0       14
18342 libpdal-plugin-pgpointcloud        	      14        0        0        0       14
18343 libpdal-plugins                    	      14        0        0        0       14
18344 libpod-plainer-perl                	      14        1       13        0        0
18345 libpoe-perl                        	      14        0       14        0        0
18346 libpoppler123                      	      14        0        1        0       13
18347 libqt4-opengl-dev                  	      14        0       14        0        0
18348 libqt6bodymovin6                   	      14        0        0        0       14
18349 libqt6qmllocalstorage6             	      14        0        0        0       14
18350 libradcli-dev                      	      14        0       14        0        0
18351 libraptor2-dev                     	      14        0       14        0        0
18352 libref-array1t64                   	      14        3       11        0        0
18353 libregf1                           	      14        0        0        0       14
18354 libreoffice-gtk                    	      14        1       11        0        2
18355 libreoffice-help-hu                	      14        0        0        0       14
18356 libreoffice-voikko                 	      14        2       12        0        0
18357 librhash-dev                       	      14        0       14        0        0
18358 librust-bitflags-dev               	      14        0        0        0       14
18359 librust-compiler-builtins-dev      	      14        0        0        0       14
18360 librust-lazy-static-dev            	      14        0        0        0       14
18361 librust-rustc-std-workspace-core-dev	      14        0        0        0       14
18362 librust-winapi-dev                 	      14        0        0        0       14
18363 librust-winapi-i686-pc-windows-gnu-dev	      14        0        0        0       14
18364 librust-winapi-x86-64-pc-windows-gnu-dev	      14        0        0        0       14
18365 libsigscan1                        	      14        0        0        0       14
18366 libsmdev1                          	      14        0        0        0       14
18367 libsmraw1                          	      14        0        0        0       14
18368 libsnapper6                        	      14        0        0        0       14
18369 libsofia-sip-ua0                   	      14        1        1        0       12
18370 libspeechd-dev                     	      14        0       13        1        0
18371 libspirv-cross-c-shared-dev        	      14        0       14        0        0
18372 libspirv-cross-c-shared0           	      14        0        0        0       14
18373 libstarlink-pal0                   	      14        0        0        0       14
18374 libstb0t64                         	      14        0        0        0       14
18375 libstdc++6-i386-cross              	      14        0        0        0       14
18376 libtalloc-dev                      	      14        0       12        2        0
18377 libtensorpipe0                     	      14        0        0        0       14
18378 libtest-mockobject-perl            	      14        1       13        0        0
18379 libtext-hogan-perl                 	      14        0       14        0        0
18380 libtomcat10-java                   	      14        0        0        0       14
18381 libtree-sitter-dev                 	      14        1       12        1        0
18382 libunicode-collate-perl            	      14        0        0        0       14
18383 libuniversal-can-perl              	      14        1       13        0        0
18384 libuniversal-isa-perl              	      14        1       13        0        0
18385 libunwind-16t64                    	      14        1       13        0        0
18386 libvirtodbc0                       	      14        0        0        0       14
18387 libvkd3d-utils1                    	      14        0        0        0       14
18388 libvorbisidec-dev                  	      14        1       13        0        0
18389 libvshadow1                        	      14        0        0        0       14
18390 libvslvm1                          	      14        0        0        0       14
18391 libwcstools1                       	      14        0        0        0       14
18392 libwget0                           	      14        0        0        0       14
18393 libxcb-screensaver0-dev            	      14        0       14        0        0
18394 libxcb-xf86dri0-dev                	      14        1       13        0        0
18395 libxext-doc                        	      14        0        0        0       14
18396 libxnvctrl-dev                     	      14        0       12        2        0
18397 libyaml-cpp0.5v5                   	      14        2        2        0       10
18398 linux-doc-5.10                     	      14        0        0        0       14
18399 linux-headers-3.2.0-4-amd64        	      14        1       12        0        1
18400 linux-headers-4.9.0-12-amd64       	      14        1       13        0        0
18401 linux-headers-5.10.0-31-common     	      14        0       14        0        0
18402 linux-headers-6.1.0-10-common      	      14        0       14        0        0
18403 linux-image-4.19.0-12-amd64        	      14        0       13        0        1
18404 linux-image-4.9.0-7-amd64          	      14        1       13        0        0
18405 lldb                               	      14        1       11        2        0
18406 lsb-security                       	      14        0        0        0       14
18407 lxqt-menu-data                     	      14        0        0        0       14
18408 lzma-alone                         	      14        1       13        0        0
18409 makebootfat                        	      14        0       13        1        0
18410 makepatch                          	      14        1       12        1        0
18411 manaplus                           	      14        0       14        0        0
18412 manaplus-data                      	      14        0        0        0       14
18413 mate-user-admin                    	      14        1        8        5        0
18414 maxima-sage                        	      14        0       14        0        0
18415 maxima-sage-share                  	      14        0        0        0       14
18416 morse2ascii                        	      14        1       13        0        0
18417 mp3splt-gtk                        	      14        0       12        2        0
18418 mupen64plus-rsp-z64                	      14        0        0        0       14
18419 mupen64plus-video-arachnoid        	      14        0        0        0       14
18420 mupen64plus-video-glide64          	      14        0        0        0       14
18421 mupen64plus-video-z64              	      14        0        0        0       14
18422 mycli                              	      14        1       12        1        0
18423 nagios-plugins-contrib             	      14        1        4        0        9
18424 navit                              	      14        4        9        1        0
18425 navit-data                         	      14        0        0        0       14
18426 navit-graphics-gtk-drawing-area    	      14        2       11        1        0
18427 needrestart-session                	      14        2       12        0        0
18428 nepomuk-core-data                  	      14        0        0        0       14
18429 nettoe                             	      14        1       13        0        0
18430 nfstrace                           	      14        1       13        0        0
18431 ngspice-doc                        	      14        0        0        0       14
18432 node-flush-write-stream            	      14        0        0        0       14
18433 node-mem                           	      14        1        7        0        6
18434 node-p-timeout                     	      14        1        6        0        7
18435 node-slide                         	      14        1        7        0        6
18436 nomacs-l10n                        	      14        0        0        0       14
18437 notmuch-mutt                       	      14        0       14        0        0
18438 nut-cgi                            	      14        0       13        1        0
18439 nut-doc                            	      14        0        0        0       14
18440 oddjob                             	      14        2       12        0        0
18441 oddjob-mkhomedir                   	      14        0        0        0       14
18442 openmp-extras-runtime              	      14        0       14        0        0
18443 openoffice                         	      14        1        2        0       11
18444 openoffice-core01                  	      14        1        2        0       11
18445 openoffice-core02                  	      14        1        2        0       11
18446 openoffice-core03                  	      14        1        2        0       11
18447 openoffice-core04                  	      14        1        2        0       11
18448 openoffice-core05                  	      14        1        2        0       11
18449 openoffice-core06                  	      14        0        0        0       14
18450 openoffice-core07                  	      14        0        0        0       14
18451 openoffice-images                  	      14        0        0        0       14
18452 openoffice-ure                     	      14        1        2        0       11
18453 pacpl                              	      14        0       14        0        0
18454 pass-extension-otp                 	      14        0        2        0       12
18455 pdfresurrect                       	      14        2       12        0        0
18456 php-db                             	      14        2       12        0        0
18457 php-memcached                      	      14        2        0        0       12
18458 php7.0-bz2                         	      14        6        8        0        0
18459 php7.0-curl                        	      14        7        7        0        0
18460 php7.0-intl                        	      14        4       10        0        0
18461 php8.1-cli                         	      14        4       10        0        0
18462 php8.1-curl                        	      14        4       10        0        0
18463 php8.1-gd                          	      14        4       10        0        0
18464 php8.1-mbstring                    	      14        4       10        0        0
18465 php8.1-opcache                     	      14        4       10        0        0
18466 php8.1-readline                    	      14        4       10        0        0
18467 pidgin-guifications                	      14        4       10        0        0
18468 pioneers                           	      14        0       14        0        0
18469 pioneers-console                   	      14        0       14        0        0
18470 pioneers-console-data              	      14        0        1        0       13
18471 pioneers-data                      	      14        0        0        0       14
18472 pkg-mozilla-archive-keyring        	      14        0        0        0       14
18473 plaso                              	      14        0        1        0       13
18474 plymouth-theme-breeze              	      14        0        0        0       14
18475 pnp.ids                            	      14        0        0        0       14
18476 poedit                             	      14        0       13        1        0
18477 poedit-common                      	      14        0        0        0       14
18478 polari                             	      14        0       14        0        0
18479 proftpd-basic                      	      14        2        1        0       11
18480 proton-vpn-gnome-desktop           	      14        0        0        0       14
18481 psi-plus-l10n                      	      14        0        0        0       14
18482 purple-discord                     	      14        2        2        0       10
18483 python-dev-is-python2              	      14        0       14        0        0
18484 python-fpconst                     	      14        2        9        0        3
18485 python-gconf                       	      14        0        0        0       14
18486 python-gi-dev                      	      14        0       13        1        0
18487 python-mako-doc                    	      14        0        0        0       14
18488 python-mysqldb                     	      14        2       12        0        0
18489 python-numpy-doc                   	      14        0       13        0        1
18490 python-pathlib2                    	      14        1       13        0        0
18491 python-scandir                     	      14        1       13        0        0
18492 python-sqlalchemy                  	      14        0       13        1        0
18493 python-vobject                     	      14        0       13        0        1
18494 python3-cli-helpers                	      14        0       12        2        0
18495 python3-cov-core                   	      14        3       11        0        0
18496 python3-dbg                        	      14        0       14        0        0
18497 python3-fixtures                   	      14        0       14        0        0
18498 python3-fontpens                   	      14        0       14        0        0
18499 python3-graphviz                   	      14        1       13        0        0
18500 python3-gtkspellcheck              	      14        0       14        0        0
18501 python3-icoextract                 	      14        0       13        1        0
18502 python3-jaraco.collections         	      14        0       14        0        0
18503 python3-libqcow                    	      14        0       14        0        0
18504 python3-libvoikko                  	      14        1       13        0        0
18505 python3-pastedeploy                	      14        0       14        0        0
18506 python3-proton-vpn-local-agent     	      14        1       11        2        0
18507 python3-pydbus                     	      14        0       14        0        0
18508 python3-pyshp                      	      14        0       13        1        0
18509 python3-rdflib                     	      14        0       14        0        0
18510 python3-sh                         	      14        0       14        0        0
18511 python3-sparqlwrapper              	      14        0       14        0        0
18512 python3-torch                      	      14        0       13        1        0
18513 python3-tsk                        	      14        0       14        0        0
18514 python3-txtorcon                   	      14        0       14        0        0
18515 python3-zc.lockfile                	      14        4       10        0        0
18516 qbittorrent-nox                    	      14        3       11        0        0
18517 qjoypad                            	      14        1       13        0        0
18518 qpxtool                            	      14        1       13        0        0
18519 qt6-multimedia-dev                 	      14        1       11        2        0
18520 qtspeech5-flite-plugin             	      14        0        0        0       14
18521 raptor2-utils                      	      14        0       14        0        0
18522 remmina-plugin-www                 	      14        0        0        0       14
18523 rkward                             	      14        0       13        1        0
18524 rkward-data                        	      14        0        0        0       14
18525 rocm-language-runtime              	      14        0        0        0       14
18526 rocm-opencl-runtime                	      14        0        0        0       14
18527 rpm-i18n                           	      14        0        0        0       14
18528 rssh                               	      14        2       12        0        0
18529 ruby-actionmailer                  	      14        0       13        1        0
18530 ruby-actionpack                    	      14        0       13        1        0
18531 ruby-actionview                    	      14        0       13        1        0
18532 ruby-activejob                     	      14        0       13        1        0
18533 ruby-dbm                           	      14        0        0        0       14
18534 ruby-execjs                        	      14        0       14        0        0
18535 ruby-globalid                      	      14        0       13        1        0
18536 ruby-hike                          	      14        0       14        0        0
18537 ruby-kramdown-parser-gfm           	      14        2       12        0        0
18538 ruby-loofah                        	      14        0       13        1        0
18539 ruby-polyglot                      	      14        0       13        1        0
18540 ruby-rails                         	      14        0        0        0       14
18541 ruby-rails-deprecated-sanitizer    	      14        0       14        0        0
18542 ruby-rails-dom-testing             	      14        0       14        0        0
18543 ruby-rails-html-sanitizer          	      14        0       13        1        0
18544 ruby-railties                      	      14        0       13        1        0
18545 ruby-safe-yaml                     	      14        1       13        0        0
18546 ruby-sprockets                     	      14        0       14        0        0
18547 ruby-sprockets-rails               	      14        0       14        0        0
18548 ruby-sys-filesystem                	      14        0       14        0        0
18549 ruby-thread-safe                   	      14        0       14        0        0
18550 ruby-treetop                       	      14        0       13        1        0
18551 ruby2.7-dev                        	      14        0       14        0        0
18552 rust-all                           	      14        0        0        0       14
18553 scorched3d-data                    	      14        0        0        0       14
18554 softflowd                          	      14        6        8        0        0
18555 sonata                             	      14        0       13        1        0
18556 ssh-tools                          	      14        1       10        3        0
18557 sylpheed-doc                       	      14        0        0        0       14
18558 t1-xfree86-nonfree                 	      14        2        2        0       10
18559 tailscale                          	      14        7        6        1        0
18560 tailscale-archive-keyring          	      14        0        0        0       14
18561 task-south-african-english-desktop 	      14        0        0        0       14
18562 tcl-xpa                            	      14        0        0        0       14
18563 tclxml                             	      14        0        1        0       13
18564 tcplay                             	      14        2       12        0        0
18565 tesseract-ocr-ces                  	      14        0        0        0       14
18566 tesseract-ocr-lat                  	      14        0        0        0       14
18567 tesseract-ocr-spa-old              	      14        0        0        0       14
18568 texlive-lang-all                   	      14        0        0        0       14
18569 texworks                           	      14        0       13        1        0
18570 tmuxinator                         	      14        2       12        0        0
18571 tomcat9                            	      14        1       12        1        0
18572 toot                               	      14        0       14        0        0
18573 tpm2-tools                         	      14        0       14        0        0
18574 tsocks                             	      14        2       12        0        0
18575 ttf-adf-gillius                    	      14        0        0        0       14
18576 ttf-adf-universalis                	      14        0        0        0       14
18577 ttf-ancient-fonts-symbola          	      14        0        0        0       14
18578 ttf-marvosym                       	      14        0        0        0       14
18579 tty-clock                          	      14        1       13        0        0
18580 txt2man                            	      14        0       13        1        0
18581 txt2tags                           	      14        0       13        1        0
18582 uwsgi                              	      14        0        0        0       14
18583 vim-youcompleteme                  	      14        0        0        0       14
18584 webcam                             	      14        1       12        1        0
18585 wofi                               	      14        2       12        0        0
18586 wx3.0-doc                          	      14        0        0        0       14
18587 x11proto-present-dev               	      14        0        4        0       10
18588 x2go-keyring                       	      14        0        0        0       14
18589 x42-plugins                        	      14        1       13        0        0
18590 xattr                              	      14        0       14        0        0
18591 xboxdrv                            	      14        0       14        0        0
18592 xbubble                            	      14        2       12        0        0
18593 xbubble-data                       	      14        0        0        0       14
18594 xcas                               	      14        0       14        0        0
18595 xcfa                               	      14        1       12        1        0
18596 xdg-themes-stackrpms               	      14        0        0        0       14
18597 xen-utils-common                   	      14        1       13        0        0
18598 xfonts-baekmuk                     	      14        0        0        0       14
18599 xfonts-biznet-100dpi               	      14        0        0        0       14
18600 xfonts-intl-chinese                	      14        0        0        0       14
18601 xfonts-mplus                       	      14        0        0        0       14
18602 xine-console                       	      14        0       14        0        0
18603 xwallpaper                         	      14        1       12        1        0
18604 xzip                               	      14        0       14        0        0
18605 ycmd                               	      14        2       11        1        0
18606 znc                                	      14        3       11        0        0
18607 4ti2                               	      13        2       11        0        0
18608 aconnectgui                        	      13        1       12        0        0
18609 aeolus                             	      13        0       13        0        0
18610 aide                               	      13        2       10        1        0
18611 aide-common                        	      13        6        7        0        0
18612 aj-snapshot                        	      13        0       13        0        0
18613 ambdec                             	      13        0       13        0        0
18614 amsynth                            	      13        0       13        0        0
18615 androguard                         	      13        1       10        2        0
18616 apksigcopier                       	      13        1       12        0        0
18617 app-install-data                   	      13        0        0        0       13
18618 appstream-util                     	      13        0       13        0        0
18619 asclock-themes                     	      13        0        0        0       13
18620 aspell-ro                          	      13        0       13        0        0
18621 atom                               	      13        0       13        0        0
18622 aview                              	      13        1       12        0        0
18623 azure-cli                          	      13        0       13        0        0
18624 beets                              	      13        0       13        0        0
18625 bibtool                            	      13        0       13        0        0
18626 billard-gl                         	      13        0       13        0        0
18627 billard-gl-data                    	      13        0        0        0       13
18628 birdtray                           	      13        2       10        1        0
18629 black-box                          	      13        0       12        1        0
18630 browser-plugin-gnash               	      13        1       12        0        0
18631 bsh-doc                            	      13        0        0        0       13
18632 bximage                            	      13        1       12        0        0
18633 bzflag-server                      	      13        0       13        0        0
18634 calf-ladspa                        	      13        0       12        0        1
18635 cbios                              	      13        0        0        0       13
18636 cbm                                	      13        1       12        0        0
18637 cflow                              	      13        0       13        0        0
18638 cgpt                               	      13        1       12        0        0
18639 chemtool                           	      13        2       11        0        0
18640 chromium-inspector                 	      13        0        0        0       13
18641 cinnamon-screensaver-x-plugin      	      13        0        0        0       13
18642 clojure                            	      13        0       13        0        0
18643 cm-super-x11                       	      13        0        0        0       13
18644 coinor-libcoinutils3               	      13        0        0        0       13
18645 compiz-plugins-default             	      13        0        0        0       13
18646 configure-debian                   	      13        1       12        0        0
18647 converseen                         	      13        0       13        0        0
18648 convertall                         	      13        1       12        0        0
18649 cw                                 	      13        0       13        0        0
18650 dcmtk-data                         	      13        0        0        0       13
18651 ddcutil                            	      13        3       10        0        0
18652 deadbeef-static                    	      13        0       11        1        1
18653 debian-edu-artwork                 	      13        0        0        0       13
18654 debian-reference-de                	      13        0        0        0       13
18655 dehydrated                         	      13        3       10        0        0
18656 devuan-cups-filters-config-override	      13        0        0        0       13
18657 direwolf                           	      13        4        9        0        0
18658 dirvish                            	      13        4        9        0        0
18659 dochelp                            	      13        4        9        0        0
18660 docker-ce-rootless-extras          	      13        0       13        0        0
18661 dolphin4                           	      13        0       13        0        0
18662 dssi-host-jack                     	      13        0       13        0        0
18663 dwarf-fortress-data                	      13        0        0        0       13
18664 e00compr                           	      13        1       12        0        0
18665 easychem                           	      13        2       11        0        0
18666 education-menus                    	      13        0        0        0       13
18667 education-tasks                    	      13        1       12        0        0
18668 elpa-rust-mode                     	      13        2       11        0        0
18669 emacs-intl-fonts                   	      13        0        0        0       13
18670 emacs24                            	      13        0        9        0        4
18671 emdebian-archive-keyring           	      13        0        0        0       13
18672 fake-hwclock                       	      13        1       12        0        0
18673 fcitx-module-quickphrase-editor5   	      13        0        0        0       13
18674 ffcvt                              	      13        0       11        2        0
18675 fireqos                            	      13        2       11        0        0
18676 firmware-samsung                   	      13        0       13        0        0
18677 fizsh                              	      13        1       12        0        0
18678 fluxbox-themes-stackrpms           	      13        0        0        0       13
18679 fonts-bwht                         	      13        0        0        0       13
18680 fonts-cegui                        	      13        1        0        0       12
18681 fonts-cherrybomb                   	      13        0        0        0       13
18682 fonts-elstob                       	      13        1        0        0       12
18683 fonts-ferrite-core                 	      13        0        0        0       13
18684 fonts-freefarsi                    	      13        0        0        0       13
18685 fonts-konatu                       	      13        0        1        0       12
18686 fonts-levien-typoscript            	      13        0        0        0       13
18687 fonts-lg-aboriginal                	      13        2        0        0       11
18688 fonts-lklug-sinhala                	      13        1        1        0       11
18689 fonts-misaki                       	      13        0        0        0       13
18690 fonts-monapo                       	      13        0        1        0       12
18691 fonts-okolaks                      	      13        0        0        0       13
18692 fonts-pecita                       	      13        0        0        0       13
18693 fonts-proggy                       	      13        0        0        0       13
18694 fonts-sawarabi-gothic              	      13        1        0        0       12
18695 fonts-sil-abyssinica               	      13        2        0        0       11
18696 fonts-sil-charis-compact           	      13        0        0        0       13
18697 fonts-umeplus                      	      13        2        1        0       10
18698 fonts-unikurdweb                   	      13        0        0        0       13
18699 freetype2-demos                    	      13        0       13        0        0
18700 fuse-emulator-common               	      13        0        0        0       13
18701 g++-arm-linux-gnueabihf            	      13        0       13        0        0
18702 gap                                	      13        0        0        0       13
18703 gap-alnuth                         	      13        0        0        0       13
18704 gap-atlasrep                       	      13        0        0        0       13
18705 gap-autpgrp                        	      13        0        0        0       13
18706 gap-character-tables               	      13        0        0        0       13
18707 gap-core                           	      13        1       12        0        0
18708 gap-dev                            	      13        1       12        0        0
18709 gap-doc                            	      13        0        0        0       13
18710 gap-gapdoc                         	      13        0        0        0       13
18711 gap-io                             	      13        0        0        0       13
18712 gap-libs                           	      13        1       12        0        0
18713 gap-online-help                    	      13        0        0        0       13
18714 gap-polycyclic                     	      13        0        0        0       13
18715 gap-primgrp                        	      13        0        0        0       13
18716 gap-table-of-marks                 	      13        0        0        0       13
18717 gaviotatb                          	      13        0        0        0       13
18718 gcc-12-arm-linux-gnueabihf         	      13        0       13        0        0
18719 gcc-4.7-base                       	      13        0        0        0       13
18720 gem-plugin-lqt                     	      13        0       13        0        0
18721 gfortran-10-multilib               	      13        0        0        0       13
18722 gfortran-11                        	      13        1       12        0        0
18723 ghdl                               	      13        0        1        0       12
18724 gir1.2-dazzle-1.0                  	      13        0        0        0       13
18725 gir1.2-gfbgraph-0.2                	      13        0        0        0       13
18726 gir1.2-totem-plparser-1.0          	      13        1        0        0       12
18727 git-remote-gcrypt                  	      13        0       13        0        0
18728 gkrellm-xkb                        	      13        2       11        0        0
18729 gkrellmd                           	      13        2       11        0        0
18730 global                             	      13        0       13        0        0
18731 glpk-utils                         	      13        0       13        0        0
18732 gnome-paint                        	      13        0       12        1        0
18733 gnome-theme-gilouche               	      13        0        0        0       13
18734 gobjc-12                           	      13        0        0        0       13
18735 golang-1.22                        	      13        0        0        0       13
18736 golang-1.22-doc                    	      13        0        0        0       13
18737 golang-golang-x-sys-dev            	      13        0        0        0       13
18738 gource                             	      13        0       13        0        0
18739 gpstrans                           	      13        0       13        0        0
18740 grub-imageboot                     	      13        0        0        0       13
18741 gtetrinet                          	      13        0       13        0        0
18742 guile-1.8-libs                     	      13        0       13        0        0
18743 guile-bytestructures               	      13        0        0        0       13
18744 guile-sqlite3                      	      13        0        0        0       13
18745 guile-ssh                          	      13        1       12        0        0
18746 httping                            	      13        1       12        0        0
18747 hunspell-de-de-frami               	      13        0        0        0       13
18748 ibus-mozc                          	      13        2       10        1        0
18749 icecast2                           	      13        2       11        0        0
18750 icedtea-8-plugin                   	      13        0        0        0       13
18751 idle3                              	      13        0        1        0       12
18752 inn2-inews                         	      13        3       10        0        0
18753 input-utils                        	      13        1       12        0        0
18754 intel-opencl-icd                   	      13        0        9        0        4
18755 ioping                             	      13        1       12        0        0
18756 java-package                       	      13        0       13        0        0
18757 javacc                             	      13        0       13        0        0
18758 josm-l10n                          	      13        0        0        0       13
18759 k3b-extrathemes                    	      13        0        0        0       13
18760 kde-baseapps-bin                   	      13        1       12        0        0
18761 kde-config-flatpak                 	      13        0        0        0       13
18762 kdegames-card-data                 	      13        0        0        0       13
18763 kdevelop-python                    	      13        0        0        0       13
18764 kdevelop-python-data               	      13        0        0        0       13
18765 kexi                               	      13        0       12        1        0
18766 kobodeluxe                         	      13        0       13        0        0
18767 kobodeluxe-data                    	      13        0       13        0        0
18768 kpart-webkit                       	      13        0       13        0        0
18769 krb5-kdc                           	      13        3       10        0        0
18770 kvirc                              	      13        0       13        0        0
18771 kvirc-data                         	      13        0        0        0       13
18772 kvirc-modules                      	      13        0        0        0       13
18773 kxstudio-repos                     	      13        0        0        0       13
18774 laby                               	      13        2       11        0        0
18775 latte-dock                         	      13        1       12        0        0
18776 ldc                                	      13        0       13        0        0
18777 lf                                 	      13        2       11        0        0
18778 lib++dfb-1.7-7                     	      13        0        0        0       13
18779 lib32gfortran-10-dev               	      13        0        0        0       13
18780 lib32ncurses5                      	      13        0        0        0       13
18781 lib32readline-dev                  	      13        0       13        0        0
18782 lib64gcc-s1                        	      13        0        0        0       13
18783 libacsccid1                        	      13        1       11        1        0
18784 liballegro-acodec5.2               	      13        0        0        0       13
18785 liballegro-audio5.2                	      13        0        0        0       13
18786 liballegro-ttf5.2                  	      13        0        0        0       13
18787 liballegro4-dev                    	      13        0       13        0        0
18788 libaqbanking35                     	      13        0        0        0       13
18789 libarmadillo7                      	      13        0        0        0       13
18790 libaspectj-java                    	      13        0        0        0       13
18791 libatomic1-i386-cross              	      13        0        0        0       13
18792 libbasicobjects0                   	      13        0        7        0        6
18793 libboost-log1.83.0                 	      13        0        1        0       12
18794 libboost-program-options1.55.0     	      13        0        0        0       13
18795 libboost-python1.55.0              	      13        0        0        0       13
18796 libc6-dev-i386-cross               	      13        0       13        0        0
18797 libcapnp-0.7.0                     	      13        0        1        0       12
18798 libcdk5t64                         	      13        0        0        0       13
18799 libcholmod4                        	      13        0        0        0       13
18800 libclang-cpp14t64                  	      13        2       11        0        0
18801 libclucene-contribs1               	      13        0        0        0       13
18802 libcollection4                     	      13        0        7        0        6
18803 libcommons-validator-java          	      13        0        0        0       13
18804 libcompel1                         	      13        0        0        0       13
18805 libcppunit-1.14-0                  	      13        0        0        0       13
18806 libcrypt-smbhash-perl              	      13        2       11        0        0
18807 libdata-entropy-perl               	      13        0       13        0        0
18808 libdata-float-perl                 	      13        0       13        0        0
18809 libdevel-argnames-perl             	      13        1       12        0        0
18810 libdhash1                          	      13        0       10        0        3
18811 libdnnl2                           	      13        0        0        0       13
18812 libdrm-nouveau1a                   	      13        0        0        0       13
18813 libdvbpsi-dev                      	      13        0       13        0        0
18814 libebook-1.2-19                    	      13        0        1        0       12
18815 libegl-nvidia-legacy-390xx0        	      13        3        0        0       10
18816 libembree4-4                       	      13        0        0        0       13
18817 libevent-extra-2.0-5               	      13        0        0        0       13
18818 libevent-extra-2.1-6               	      13        0        0        0       13
18819 libevent-openssl-2.0-5             	      13        0        0        0       13
18820 libevent-openssl-2.1-6             	      13        0        0        0       13
18821 libextractor-plugin-archive        	      13        0        0        0       13
18822 libextractor-plugin-exiv2          	      13        0        0        0       13
18823 libextractor-plugin-flac           	      13        0        0        0       13
18824 libextractor-plugin-gif            	      13        0        0        0       13
18825 libextractor-plugin-html           	      13        0        0        0       13
18826 libextractor-plugin-jpeg           	      13        0        0        0       13
18827 libextractor-plugin-midi           	      13        0        0        0       13
18828 libextractor-plugin-mpeg           	      13        0        0        0       13
18829 libextractor-plugin-ogg            	      13        0        0        0       13
18830 libextractor-plugin-ole2           	      13        0        0        0       13
18831 libextractor-plugin-pdf            	      13        0        0        0       13
18832 libextractor-plugin-rpm            	      13        0        0        0       13
18833 libextractor-plugins-all           	      13        0        0        0       13
18834 libf2fs-format4                    	      13        0        0        0       13
18835 libf2fs5                           	      13        0        0        0       13
18836 libflint-arb2                      	      13        0        0        0       13
18837 libftgl-dev                        	      13        0       13        0        0
18838 libfungw1                          	      13        0        0        0       13
18839 libgammu-i18n                      	      13        0        0        0       13
18840 libgaviotatb1                      	      13        0        0        0       13
18841 libgcc-12-dev-armhf-cross          	      13        0        0        0       13
18842 libgcc-s1-i386-cross               	      13        0        0        0       13
18843 libgdal-grass                      	      13        0        3        0       10
18844 libgeda-common                     	      13        0        0        0       13
18845 libgeos-3.5.1                      	      13        0        0        0       13
18846 libgeos3.13.1                      	      13        0        0        0       13
18847 libghc-resourcet-dev               	      13        0       13        0        0
18848 libghc-strict-dev                  	      13        1       12        0        0
18849 libgirara-gtk3-4                   	      13        0        0        0       13
18850 libgles-nvidia-legacy-390xx1       	      13        0        0        0       13
18851 libgles-nvidia-legacy-390xx2       	      13        0        0        0       13
18852 libgles-nvidia-tesla-470-1         	      13        0        0        0       13
18853 libgles-nvidia-tesla-470-2         	      13        0        0        0       13
18854 libglx-nvidia-legacy-390xx0        	      13        3        1        0        9
18855 libgnat-10                         	      13        0        0        0       13
18856 libgomp1-i386-cross                	      13        0        0        0       13
18857 libgraphicsmagick3                 	      13        0       13        0        0
18858 libgrpc++1.51t64                   	      13        0        0        0       13
18859 libgrpc29t64                       	      13        0        0        0       13
18860 libgtkdatabox1                     	      13        0        0        0       13
18861 libgts-dev                         	      13        0       13        0        0
18862 libhamlib-dev                      	      13        0       13        0        0
18863 libical0                           	      13        0        0        0       13
18864 libidl-dev                         	      13        0       13        0        0
18865 libiec61883-dev                    	      13        0       12        1        0
18866 libigdfcl1                         	      13        0        0        0       13
18867 libiksemel-dev                     	      13        0       13        0        0
18868 libimagequant-dev                  	      13        0       13        0        0
18869 libinchi1.07                       	      13        0        0        0       13
18870 libini-config5                     	      13        0        7        0        6
18871 libio-tee-perl                     	      13        1       12        0        0
18872 libipa-hbac0                       	      13        0        2        0       11
18873 libirs-export141                   	      13        0        0        0       13
18874 libitm1-i386-cross                 	      13        0        0        0       13
18875 libjpgalleg4.4                     	      13        0        0        0       13
18876 libjuniversalchardet-java          	      13        0        0        0       13
18877 libkf5akonadiprivate5              	      13        0        0        0       13
18878 libkf5mailcommon-plugins           	      13        0        0        0       13
18879 libkf5pimcommon-plugins            	      13        0        0        0       13
18880 libkf5sonnet-doc                   	      13        0        0        0       13
18881 libkmime-data                      	      13        5        0        0        8
18882 libkvazaar3                        	      13        0        0        0       13
18883 libkvazaar4                        	      13        1        1        0       11
18884 libkvilib5                         	      13        0        0        0       13
18885 liblapacke                         	      13        0        0        0       13
18886 libllvmspirvlib14                  	      13        0        0        0       13
18887 liblualib50                        	      13        1        0        0       12
18888 liblucene++0v5                     	      13        0        1        0       12
18889 libmetis-dev                       	      13        0       13        0        0
18890 libmpich-dev                       	      13        0       13        0        0
18891 libmujs-dev                        	      13        0       13        0        0
18892 libneon27-dev                      	      13        0       13        0        0
18893 libnfnetlink-dev                   	      13        0       12        1        0
18894 libnvidia-compiler                 	      13        0        0        0       13
18895 libnvidia-gpucomp                  	      13        2        5        0        6
18896 libnvidia-legacy-390xx-cfg1        	      13        2        1        0       10
18897 libnvidia-legacy-390xx-fatbinaryloader	      13        2        0        0       11
18898 libnvidia-legacy-390xx-ml1         	      13        0        0        0       13
18899 libnvidia-legacy-390xx-ptxjitcompiler1	      13        0        0        0       13
18900 libnvidia-tesla-470-encode1        	      13        0        0        0       13
18901 libnvidia-tesla-470-nvcuvid1       	      13        0        0        0       13
18902 libobjc-4.9-dev                    	      13        0        0        0       13
18903 liboctave-dev                      	      13        0        7        0        6
18904 libopencl-clang14                  	      13        0        0        0       13
18905 libopencolorio2.1t64               	      13        0        0        0       13
18906 libopencv-calib3d3.2               	      13        0        0        0       13
18907 libopencv-contrib3.2               	      13        0        0        0       13
18908 libopencv-features2d3.2            	      13        0        0        0       13
18909 libopencv-legacy2.4v5              	      13        0        0        0       13
18910 libopencv-ml410                    	      13        0        0        0       13
18911 libopencv-photo2.4v5               	      13        0        0        0       13
18912 libopencv-photo4.5                 	      13        0        0        0       13
18913 libopencv-shape4.5                 	      13        0        0        0       13
18914 libopencv-stitching3.2             	      13        0        0        0       13
18915 libopencv-stitching4.5             	      13        0        0        0       13
18916 libopencv-superres3.2              	      13        0        0        0       13
18917 libopencv-videostab3.2             	      13        0        0        0       13
18918 libopencv-viz3.2                   	      13        0        0        0       13
18919 libopendht2                        	      13        0        0        0       13
18920 libopenimageio2.0                  	      13        0        0        0       13
18921 libopenvdb5.2                      	      13        0        0        0       13
18922 libparse-debian-packages-perl      	      13        1       12        0        0
18923 libparse-edid-perl                 	      13        3        9        1        0
18924 libpdal-base12                     	      13        0        0        0       13
18925 libpdal-plugin-e57                 	      13        0        0        0       13
18926 libpdal-plugin-i3s                 	      13        0        0        0       13
18927 libpdal-util12                     	      13        0        0        0       13
18928 libpeas-dev                        	      13        0       13        0        0
18929 libpgm-5.1-0                       	      13        0        0        0       13
18930 libphobos2-ldc-shared-dev          	      13        0        0        0       13
18931 libplexus-ant-factory-java         	      13        0        0        0       13
18932 libplexus-bsh-factory-java         	      13        0        0        0       13
18933 libppl-dev                         	      13        0       13        0        0
18934 libprimesieve11                    	      13        0        0        0       13
18935 libprotoc23                        	      13        0        0        0       13
18936 libqb-dev                          	      13        0       13        0        0
18937 libqca2-plugin-ossl                	      13        0        0        0       13
18938 libqpx0                            	      13        0        0        0       13
18939 libqrcodegen1                      	      13        0        0        0       13
18940 libqt6labsanimation6               	      13        0        0        0       13
18941 libqt6labssharedimage6             	      13        0        0        0       13
18942 libqt6labswavefrontmesh6           	      13        0        0        0       13
18943 libqt6qmlcore6                     	      13        0        0        0       13
18944 libqt6quickparticles6              	      13        0        0        0       13
18945 libqtermwidget6-2                  	      13        1        4        1        7
18946 libquadmath0-i386-cross            	      13        0        0        0       13
18947 libquazip5-dev                     	      13        0       12        0        1
18948 libquvi-0.9-0.9.4                  	      13        0        0        0       13
18949 librandomx0                        	      13        1        1        0       11
18950 libraw10                           	      13        0        0        0       13
18951 libre2-dev                         	      13        0       12        1        0
18952 librec1                            	      13        0        0        0       13
18953 libref-array1                      	      13        0        7        0        6
18954 librelp0                           	      13        3        1        0        9
18955 libreoffice-l10n-uk                	      13        0       13        0        0
18956 librtaudio-dev                     	      13        0       13        0        0
18957 librust-arbitrary-dev              	      13        0        0        0       13
18958 librust-cfg-if-dev                 	      13        0        0        0       13
18959 librust-derive-arbitrary-dev       	      13        0        0        0       13
18960 librust-either-dev                 	      13        0        0        0       13
18961 librust-once-cell-dev              	      13        0        0        0       13
18962 librust-parking-lot-core-dev       	      13        0        0        0       13
18963 librust-proc-macro2-dev            	      13        0        0        0       13
18964 librust-quote-dev                  	      13        0        0        0       13
18965 librust-serde-dev                  	      13        0        0        0       13
18966 librust-smallvec-dev               	      13        0        0        0       13
18967 librust-syn-dev                    	      13        0        0        0       13
18968 librust-unicode-ident-dev          	      13        0        0        0       13
18969 libsbc-dev                         	      13        0       13        0        0
18970 libsdl-net1.2-dev                  	      13        0       13        0        0
18971 libsequence-library-java           	      13        0        0        0       13
18972 libsexp2                           	      13        2        0        0       11
18973 libsidplay1                        	      13        0        0        0       13
18974 libsoundtouch-dev                  	      13        0       13        0        0
18975 libspnav-dev                       	      13        0       13        0        0
18976 libspring-aop-java                 	      13        0        0        0       13
18977 libspring-context-java             	      13        0        0        0       13
18978 libspring-expression-java          	      13        0        0        0       13
18979 libsqlcipher1                      	      13        0        0        0       13
18980 libstarlink-ast-err9               	      13        0        0        0       13
18981 libstarlink-ast9                   	      13        0        0        0       13
18982 libswt-webkit-gtk-4-jni            	      13        0       13        0        0
18983 libt1-5                            	      13        0        0        0       13
18984 libtelepathy-farstream3            	      13        0        0        0       13
18985 libtelepathy-qt5-0                 	      13        0        6        0        7
18986 libtime-duration-parse-perl        	      13        2       11        0        0
18987 libtommath0                        	      13        0        0        0       13
18988 libtorch-dev                       	      13        0       12        1        0
18989 libtorrent21t64                    	      13        0        0        0       13
18990 libtsk19t64                        	      13        0        0        0       13
18991 libubsan1-i386-cross               	      13        0        0        0       13
18992 libudunits2-dev                    	      13        2       10        1        0
18993 libupower-glib-dev                 	      13        1       12        0        0
18994 liburi-fetch-perl                  	      13        0       13        0        0
18995 liburi-template-perl               	      13        0       13        0        0
18996 libvips42                          	      13        0        1        0       12
18997 libvncserver0                      	      13        0        0        0       13
18998 libvo-amrwbenc-dev                 	      13        0       13        0        0
18999 libvoro++1                         	      13        0        0        0       13
19000 libwpg-0.2-2                       	      13        0        0        0       13
19001 libwxbase2.8-0                     	      13        0        1        0       12
19002 libx264-157                        	      13        1        1        0       11
19003 libx32gfortran-10-dev              	      13        0        0        0       13
19004 libxklavier-dev                    	      13        0       12        1        0
19005 libxmlb-dev                        	      13        0       13        0        0
19006 libzip2                            	      13        0        0        0       13
19007 linux-headers-4.19.0-18-common     	      13        0       12        0        1
19008 linux-headers-4.9.0-19-common      	      13        0       13        0        0
19009 linux-headers-5.10.0-22-common     	      13        0       13        0        0
19010 linux-headers-5.10.0-29-amd64      	      13        0       13        0        0
19011 linux-headers-5.10.0-31-amd64      	      13        0       13        0        0
19012 linux-headers-6.1.0-10-amd64       	      13        0       13        0        0
19013 linux-image-6.1.0-30-686           	      13        0       12        1        0
19014 linux-image-6.11.7-amd64           	      13        0       13        0        0
19015 linux-image-6.12.12+bpo-amd64      	      13        7        0        6        0
19016 linux-libc-dev-i386-cross          	      13        0       13        0        0
19017 magicfilter                        	      13        0       13        0        0
19018 maint-guide                        	      13        0        0        0       13
19019 master-pdf-editor-5                	      13        0        3        0       10
19020 maxima-sage-doc                    	      13        0        0        0       13
19021 megaglest                          	      13        0       13        0        0
19022 members                            	      13        0       13        0        0
19023 metacity-themes                    	      13        0        0        0       13
19024 minder                             	      13        0       12        1        0
19025 minigalaxy                         	      13        0       11        2        0
19026 mongodb-clients                    	      13        0       13        0        0
19027 mpich                              	      13        0       13        0        0
19028 mu4e                               	      13        0       11        2        0
19029 multistrap                         	      13        1       12        0        0
19030 myspell-ru                         	      13        0        4        0        9
19031 nbd-server                         	      13        3       10        0        0
19032 netcdf-bin                         	      13        0       13        0        0
19033 node-ansi-align                    	      13        1        5        0        7
19034 node-deep-extend                   	      13        1        5        0        7
19035 node-duplexer3                     	      13        1        6        0        6
19036 node-has-symbol-support-x          	      13        0        0        0       13
19037 node-invert-kv                     	      13        1        5        0        7
19038 node-lcid                          	      13        1        5        0        7
19039 node-popper2                       	      13        2       11        0        0
19040 node-qrcode-generator              	      13        0        0        0       13
19041 node-rc                            	      13        1        5        0        7
19042 node-sha                           	      13        1        5        0        7
19043 node-url-to-options                	      13        0        0        0       13
19044 nodm                               	      13        2       10        1        0
19045 nomacs                             	      13        0       13        0        0
19046 noweb                              	      13        2       11        0        0
19047 nvidia-legacy-390xx-alternative    	      13        0        0        0       13
19048 nvidia-legacy-390xx-kernel-dkms    	      13        1       12        0        0
19049 nvidia-legacy-390xx-kernel-support 	      13        0        0        0       13
19050 nvidia-legacy-390xx-vdpau-driver   	      13        1        0        0       12
19051 nvidia-settings-legacy-390xx       	      13        2       11        0        0
19052 offlineimap                        	      13        0        1        0       12
19053 ogmrip-doc                         	      13        0        0        0       13
19054 olive-editor                       	      13        1       12        0        0
19055 onlyoffice-desktopeditors          	      13        1       11        1        0
19056 ooo-thumbnailer                    	      13        2       11        0        0
19057 openmsx                            	      13        0       13        0        0
19058 openmsx-data                       	      13        0        0        0       13
19059 openobex-apps                      	      13        1       12        0        0
19060 openoffice-base                    	      13        0        0        0       13
19061 openoffice-brand-base              	      13        0        0        0       13
19062 openoffice-brand-calc              	      13        0        0        0       13
19063 openoffice-brand-draw              	      13        0        0        0       13
19064 openoffice-brand-impress           	      13        0        0        0       13
19065 openoffice-brand-writer            	      13        0        0        0       13
19066 openoffice-calc                    	      13        1        2        0       10
19067 openoffice-draw                    	      13        0        0        0       13
19068 openoffice-graphicfilter           	      13        0        0        0       13
19069 openoffice-impress                 	      13        0        0        0       13
19070 openoffice-ooofonts                	      13        0        0        0       13
19071 openoffice-pyuno                   	      13        0       13        0        0
19072 openoffice-writer                  	      13        1        1        0       11
19073 openoffice-xsltfilter              	      13        0        0        0       13
19074 openrgb                            	      13        2       11        0        0
19075 org-mode                           	      13        0        0        0       13
19076 original-awk                       	      13        2       11        0        0
19077 paperwork-backend                  	      13        1       12        0        0
19078 paperwork-gtk                      	      13        1       12        0        0
19079 par                                	      13        1       12        0        0
19080 pari-galpol                        	      13        0        0        0       13
19081 pgadmin4-desktop                   	      13        0       11        2        0
19082 pgadmin4-server                    	      13        3       10        0        0
19083 pgpgpg                             	      13        1       12        0        0
19084 pgtop                              	      13        3        9        1        0
19085 php-mail                           	      13        1       12        0        0
19086 php-masterminds-html5              	      13        3        9        1        0
19087 php-wikidiff2                      	      13        5        8        0        0
19088 php-yaml                           	      13        2        1        0       10
19089 php7.3-fpm                         	      13        5        8        0        0
19090 php8.0-gd                          	      13        5        8        0        0
19091 php8.0-intl                        	      13        5        8        0        0
19092 php8.0-mbstring                    	      13        5        8        0        0
19093 php8.1-xml                         	      13        4        9        0        0
19094 pipewire-tests                     	      13        0        0        0       13
19095 plasma-wayland-protocols           	      13        0        0        0       13
19096 pngnq                              	      13        1       12        0        0
19097 pocketsphinx                       	      13        1       12        0        0
19098 postgresql-doc-13                  	      13        0        0        0       13
19099 povray-doc                         	      13        0        0        0       13
19100 primesieve-bin                     	      13        0       13        0        0
19101 projectm-pulseaudio                	      13        1       11        1        0
19102 pure-ftpd-mysql                    	      13        1       12        0        0
19103 pychess                            	      13        0       13        0        0
19104 pypy                               	      13        1       12        0        0
19105 pypy-lib                           	      13        1       12        0        0
19106 pypy3                              	      13        2       11        0        0
19107 pypy3-lib                          	      13        0       12        1        0
19108 python-bzrlib                      	      13        1       12        0        0
19109 python-cupshelpers                 	      13        0       13        0        0
19110 python-magic                       	      13        1       12        0        0
19111 python-pyorbit                     	      13        0       13        0        0
19112 python3-aiostream                  	      13        0       12        1        0
19113 python3-artifacts                  	      13        0       13        0        0
19114 python3-cattr                      	      13        2        9        2        0
19115 python3-cligj                      	      13        0       13        0        0
19116 python3-defer                      	      13        0       13        0        0
19117 python3-dfdatetime                 	      13        0       13        0        0
19118 python3-dfvfs                      	      13        0       13        0        0
19119 python3-dfwinreg                   	      13        0       13        0        0
19120 python3-dtfabric                   	      13        1       12        0        0
19121 python3-fsapfs                     	      13        0       13        0        0
19122 python3-genshi                     	      13        0       12        1        0
19123 python3-google-auth-httplib2       	      13        0       13        0        0
19124 python3-googleapi                  	      13        0       12        1        0
19125 python3-imageio                    	      13        0       13        0        0
19126 python3-latexcodec                 	      13        1       12        0        0
19127 python3-libbde                     	      13        0       13        0        0
19128 python3-libcreg                    	      13        0       13        0        0
19129 python3-libesedb                   	      13        0       13        0        0
19130 python3-libevt                     	      13        0       13        0        0
19131 python3-libevtx                    	      13        0       13        0        0
19132 python3-libewf                     	      13        0       13        0        0
19133 python3-libfsext                   	      13        0       13        0        0
19134 python3-libfshfs                   	      13        0       13        0        0
19135 python3-libfsxfs                   	      13        0       13        0        0
19136 python3-libfvde                    	      13        0       13        0        0
19137 python3-libfwnt                    	      13        0       13        0        0
19138 python3-libfwsi                    	      13        0       13        0        0
19139 python3-liblnk                     	      13        0       13        0        0
19140 python3-liblo                      	      13        0       13        0        0
19141 python3-libluksde                  	      13        0       13        0        0
19142 python3-libmsiecf                  	      13        0       13        0        0
19143 python3-libolecf                   	      13        0       13        0        0
19144 python3-libregf                    	      13        0       13        0        0
19145 python3-libscca                    	      13        0       13        0        0
19146 python3-libsigscan                 	      13        0       13        0        0
19147 python3-libsmdev                   	      13        0       13        0        0
19148 python3-libsmraw                   	      13        0       13        0        0
19149 python3-libvhdi                    	      13        0       13        0        0
19150 python3-libvmdk                    	      13        0       13        0        0
19151 python3-libvshadow                 	      13        0       13        0        0
19152 python3-libvslvm                   	      13        0       13        0        0
19153 python3-m2crypto                   	      13        0       13        0        0
19154 python3-oauth2client               	      13        0       13        0        0
19155 python3-opencamlib                 	      13        0        9        4        0
19156 python3-plaso                      	      13        1       12        0        0
19157 python3-puremagic                  	      13        2        8        3        0
19158 python3-pybtex                     	      13        1       12        0        0
19159 python3-pycriu                     	      13        1        7        5        0
19160 python3-pyphen                     	      13        1       12        0        0
19161 python3-pypillowfight              	      13        1       12        0        0
19162 python3-pyroute2                   	      13        0       13        0        0
19163 python3-pywt                       	      13        0       13        0        0
19164 python3-qpageview                  	      13        0       12        1        0
19165 python3-radicale                   	      13        0       13        0        0
19166 python3-requests-cache             	      13        2       11        0        0
19167 python3-sdl2                       	      13        0       13        0        0
19168 python3-sip-dev                    	      13        0       12        1        0
19169 python3-tagpy                      	      13        0       12        1        0
19170 python3-tifffile                   	      13        0       13        0        0
19171 python3-url-normalize              	      13        2       11        0        0
19172 python3-vtk9                       	      13        0       13        0        0
19173 python3-yara                       	      13        0       13        0        0
19174 qapt-deb-installer                 	      13        0       13        0        0
19175 qcomicbook                         	      13        0       13        0        0
19176 qmidinet                           	      13        0       13        0        0
19177 qml6-module-qt-labs-lottieqt       	      13        0        0        0       13
19178 qt4-qtconfig                       	      13        0       13        0        0
19179 qtermwidget-data                   	      13        1        3        0        9
19180 r-cran-uuid                        	      13        2       11        0        0
19181 radicale                           	      13        0       13        0        0
19182 remmina-plugin-x2go                	      13        1        0        0       12
19183 retry                              	      13        1       12        0        0
19184 rsyslog-relp                       	      13        3        1        0        9
19185 ruby-liquid                        	      13        2       11        0        0
19186 ruby-rdiscount                     	      13        1       11        1        0
19187 ruby-tomlrb                        	      13        1       11        1        0
19188 rust-doc                           	      13        0        0        0       13
19189 rust-llvm                          	      13        0       11        2        0
19190 rwho                               	      13        0       13        0        0
19191 rwhod                              	      13        3       10        0        0
19192 sbcl-doc                           	      13        0        0        0       13
19193 scorched3d                         	      13        0       13        0        0
19194 singular-doc                       	      13        0        0        0       13
19195 skrooge                            	      13        1        9        3        0
19196 skrooge-common                     	      13        0        0        0       13
19197 slib                               	      13        1       12        0        0
19198 snd-common                         	      13        0       11        0        2
19199 sockstat                           	      13        2       11        0        0
19200 ssl-cert-check                     	      13        0       13        0        0
19201 stops                              	      13        0        0        0       13
19202 streamtuner2                       	      13        0       13        0        0
19203 sway-notification-center           	      13        2       10        1        0
19204 swi-prolog-core                    	      13        2       11        0        0
19205 swi-prolog-core-packages           	      13        1       12        0        0
19206 swi-prolog-nox                     	      13        2       11        0        0
19207 systemtap-common                   	      13        1       11        1        0
19208 systemtap-runtime                  	      13        0       11        2        0
19209 task-swedish                       	      13        0        0        0       13
19210 task-ukrainian-desktop             	      13        0        0        0       13
19211 tea                                	      13        1       12        0        0
19212 tea-data                           	      13        0        0        0       13
19213 teeworlds-data                     	      13        0        0        0       13
19214 tesseract-ocr-equ                  	      13        0        0        0       13
19215 tesseract-ocr-frk                  	      13        0        0        0       13
19216 texworks-help-en                   	      13        0        0        0       13
19217 thunderbird-l10n-pl                	      13        1       12        0        0
19218 tksao                              	      13        0        0        0       13
19219 tmux-plugin-manager                	      13        0       13        0        0
19220 ttf-adf-accanthis                  	      13        0        0        0       13
19221 txt2html                           	      13        1       11        1        0
19222 typespeed                          	      13        2       11        0        0
19223 ubuntu-archive-keyring             	      13        0        0        0       13
19224 uncrustify                         	      13        0       13        0        0
19225 unicode-screensaver                	      13        0        2        0       11
19226 unifont-bin                        	      13        1       11        1        0
19227 unison-2.51+4.11.1                 	      13        0       13        0        0
19228 upgrade-system                     	      13        3        9        1        0
19229 upower-doc                         	      13        0        0        0       13
19230 vde2-cryptcab                      	      13        1       12        0        0
19231 vitetris                           	      13        0       13        0        0
19232 vkbasalt                           	      13        0        0        0       13
19233 wasi-libc                          	      13        0       12        1        0
19234 wdisplays                          	      13        0       13        0        0
19235 websockify                         	      13        0       13        0        0
19236 wesnoth-1.14-music                 	      13        0        0        0       13
19237 wmnet                              	      13        1       12        0        0
19238 wsjtx-doc                          	      13        0        0        0       13
19239 xapian-tools                       	      13        1       12        0        0
19240 xfce4-screensaver                  	      13        2       11        0        0
19241 xfonts-mona                        	      13        0        0        0       13
19242 xiphos                             	      13        0       13        0        0
19243 xiphos-data                        	      13        0        0        0       13
19244 xless                              	      13        2       11        0        0
19245 xmms2-plugin-curl                  	      13        1       12        0        0
19246 xmms2-plugin-icymetaint            	      13        1       12        0        0
19247 xmms2-plugin-m3u                   	      13        1       12        0        0
19248 xmms2-plugin-mp4                   	      13        1       12        0        0
19249 xmms2-plugin-pulse                 	      13        1       12        0        0
19250 xserver-xorg-video-geode           	      13        0       13        0        0
19251 xserver-xorg-video-nvidia-legacy-390xx	      13        4        9        0        0
19252 xwelltris                          	      13        0       13        0        0
19253 yaru-theme-gtk                     	      13        1        6        0        6
19254 znc-perl                           	      13        0       13        0        0
19255 znc-python                         	      13        0       13        0        0
19256 znc-tcl                            	      13        0       13        0        0
19257 0install                           	      12        0       12        0        0
19258 3270-common                        	      12        0       12        0        0
19259 abw2odt                            	      12        1       11        0        0
19260 alttab                             	      12        1       11        0        0
19261 amdgpu-dkms                        	      12        1       11        0        0
19262 antlr4                             	      12        0       12        0        0
19263 apachetop                          	      12        1       10        1        0
19264 archmage                           	      12        1       11        0        0
19265 arptables                          	      12        1       11        0        0
19266 asciiart                           	      12        0       12        0        0
19267 asclock                            	      12        2       10        0        0
19268 ash                                	      12        4        8        0        0
19269 aspectj                            	      12        1       11        0        0
19270 astro-tasks                        	      12        0        0        0       12
19271 avrp                               	      12        0       12        0        0
19272 baresip-gtk                        	      12        1       11        0        0
19273 bash-builtins                      	      12        2        8        2        0
19274 bear                               	      12        0       12        0        0
19275 binaryen                           	      12        0       12        0        0
19276 bing                               	      12        1       11        0        0
19277 bitlbee-common                     	      12        0        0        0       12
19278 blobwars                           	      12        0       12        0        0
19279 blobwars-data                      	      12        0       12        0        0
19280 bmap-tools                         	      12        2       10        0        0
19281 bochs-wx                           	      12        0        3        0        9
19282 boswars                            	      12        1       11        0        0
19283 boswars-data                       	      12        0        0        0       12
19284 brz-doc                            	      12        0        0        0       12
19285 burner-cdrkit                      	      12        0        0        0       12
19286 caja-xattr-tags                    	      12        4        5        0        3
19287 calamares                          	      12        0        7        5        0
19288 can-utils                          	      12        1       11        0        0
19289 celestia-common                    	      12        0        0        0       12
19290 checkpolicy                        	      12        1       10        1        0
19291 circuslinux                        	      12        1       11        0        0
19292 circuslinux-data                   	      12        0        0        0       12
19293 clamav-milter                      	      12        6        6        0        0
19294 clisp-module-clx                   	      12        0       12        0        0
19295 coinor-libcoinmp1                  	      12        0        0        0       12
19296 coinor-libosi1                     	      12        0        0        0       12
19297 colossal-cave-adventure            	      12        0       12        0        0
19298 comparepdf                         	      12        2       10        0        0
19299 cpp-12-arm-linux-gnueabi           	      12        0       12        0        0
19300 crossbuild-essential-arm64         	      12        0        0        0       12
19301 cup                                	      12        0       12        0        0
19302 d-feet                             	      12        0       12        0        0
19303 dasher                             	      12        3        9        0        0
19304 dasher-data                        	      12        0        0        0       12
19305 dbconfig-sqlite3                   	      12        0        0        0       12
19306 devscripts-devuan                  	      12        0       11        1        0
19307 dh-runit                           	      12        0       12        0        0
19308 dict-foldoc                        	      12        0        1        0       11
19309 djview                             	      12        0        0        0       12
19310 doomsday                           	      12        0       12        0        0
19311 dopewars                           	      12        0       12        0        0
19312 dopewars-data                      	      12        0        0        0       12
19313 dotnet-hostfxr-6.0                 	      12        0        0        0       12
19314 dotnet-hostfxr-7.0                 	      12        0        1        0       11
19315 dotnet-runtime-6.0                 	      12        0        0        0       12
19316 dotnet-runtime-7.0                 	      12        0        1        0       11
19317 dotnet-runtime-deps-6.0            	      12        0        0        0       12
19318 dotnet-runtime-deps-7.0            	      12        0        0        0       12
19319 drm-info                           	      12        1       10        1        0
19320 dummy-logind                       	      12        0        0        0       12
19321 duply                              	      12        0       12        0        0
19322 dwarf-fortress                     	      12        0       12        0        0
19323 electric                           	      12        0       12        0        0
19324 elpa-debian-el                     	      12        2       10        0        0
19325 elpa-yaml-mode                     	      12        2       10        0        0
19326 epson-printer-utility              	      12        3        9        0        0
19327 epubcheck                          	      12        0       12        0        0
19328 eskatos-config                     	      12        3        9        0        0
19329 exe-thumbnailer                    	      12        0        1        0       11
19330 fbautostart                        	      12        1       11        0        0
19331 fcitx-frontend-qt6                 	      12        1        0        0       11
19332 festvox-us-slt-hts                 	      12        0        0        0       12
19333 fgallery                           	      12        0       12        0        0
19334 firefox-esr-l10n-sv-se             	      12        2       10        0        0
19335 firmware-realtek-rtl8723cs-bt      	      12        0       12        0        0
19336 flare-engine                       	      12        0       12        0        0
19337 flare-game                         	      12        0        0        0       12
19338 flim                               	      12        2        9        1        0
19339 flpsed                             	      12        1       11        0        0
19340 flwm                               	      12        0       12        0        0
19341 fonts-apropal                      	      12        0        0        0       12
19342 fonts-babelstone-modern            	      12        0        0        0       12
19343 fonts-bajaderka                    	      12        0        0        0       12
19344 fonts-campania                     	      12        0        1        0       11
19345 fonts-compagnon                    	      12        0        0        0       12
19346 fonts-evertype-conakry             	      12        2        0        0       10
19347 fonts-fantasma                     	      12        0        0        0       12
19348 fonts-kiloji                       	      12        0        0        0       12
19349 fonts-lao                          	      12        0        0        0       12
19350 fonts-migmix                       	      12        0        0        0       12
19351 fonts-mmcedar                      	      12        0        0        0       12
19352 fonts-nafees                       	      12        0        0        0       12
19353 fonts-paktype                      	      12        1        0        0       11
19354 fonts-seto                         	      12        1        3        0        8
19355 fonts-sil-andikanewbasic           	      12        0        0        0       12
19356 fonts-sil-nuosusil                 	      12        1        0        0       11
19357 fonts-sil-sophia-nubian            	      12        0        0        0       12
19358 fonts-yozvox-yozfont               	      12        0        0        0       12
19359 fonts-yozvox-yozfont-antique       	      12        1        0        0       11
19360 fonts-yozvox-yozfont-cute          	      12        0        0        0       12
19361 fonts-yozvox-yozfont-edu           	      12        0        0        0       12
19362 fonts-yozvox-yozfont-new-kana      	      12        0        0        0       12
19363 fonts-yozvox-yozfont-standard-kana 	      12        0        0        0       12
19364 fp-units-base-3.2.0                	      12        0        0        0       12
19365 fp-units-fcl                       	      12        0        0        0       12
19366 fraqtive                           	      12        0       12        0        0
19367 freeciv-client-gtk                 	      12        0        4        0        8
19368 freeipa-helper                     	      12        0       12        0        0
19369 frotz                              	      12        0       12        0        0
19370 fuse-emulator-gtk                  	      12        0       12        0        0
19371 gallery-dl                         	      12        1       11        0        0
19372 gambas3-gb-hash                    	      12        0       11        1        0
19373 gammu                              	      12        2        9        1        0
19374 gap-factint                        	      12        0        0        0       12
19375 gap-smallgrp                       	      12        0        0        0       12
19376 gap-transgrp                       	      12        0        0        0       12
19377 gap-utils                          	      12        0        0        0       12
19378 gcc-12-arm-linux-gnueabi           	      12        0       12        0        0
19379 gcc-12-arm-linux-gnueabi-base      	      12        0        0        0       12
19380 gcc-4.3-base                       	      12        0        0        0       12
19381 gcc-5-base                         	      12        0        0        0       12
19382 geany-plugin-gproject              	      12        0        0        0       12
19383 geda                               	      12        0        0        0       12
19384 geda-examples                      	      12        0        0        0       12
19385 geda-gnetlist                      	      12        0       12        0        0
19386 geda-gschem                        	      12        0       12        0        0
19387 geda-gsymcheck                     	      12        0       12        0        0
19388 ghdl-common                        	      12        0       11        1        0
19389 ghdl-mcode                         	      12        0       11        1        0
19390 gimp-help-en-gb                    	      12        0        0        0       12
19391 gir1.2-gck-2                       	      12        0        4        1        7
19392 gir1.2-gnomebg-4.0                 	      12        0        4        1        7
19393 gir1.2-networkmanager-1.0          	      12        0        0        0       12
19394 git-annex                          	      12        0       11        1        0
19395 gkrellm-thinkbat                   	      12        1       11        0        0
19396 gkrellm-x86info                    	      12        3        9        0        0
19397 gkrellshoot                        	      12        2        9        1        0
19398 glogic                             	      12        0       12        0        0
19399 gmsh-doc                           	      12        0       10        1        1
19400 gnokii-common                      	      12        0        0        0       12
19401 gnome-audio                        	      12        0        0        0       12
19402 gnome-shell-extension-system-monitor	      12        1        3        0        8
19403 gnuhtml2latex                      	      12        1       11        0        0
19404 godot3                             	      12        0       12        0        0
19405 golang-1.15                        	      12        0        0        0       12
19406 golang-1.24                        	      12        0        0        0       12
19407 golang-golang-x-text-dev           	      12        0        0        0       12
19408 gplanarity                         	      12        0       12        0        0
19409 gpsbabel-gui                       	      12        1       11        0        0
19410 grap                               	      12        0       12        0        0
19411 grub-theme-breeze                  	      12        0        0        0       12
19412 grub-theme-starfield               	      12        0        0        0       12
19413 gstreamer0.10-ffmpeg               	      12        1        0        0       11
19414 gstreamer1.0-rtsp                  	      12        0        0        0       12
19415 guile-gcrypt                       	      12        0        0        0       12
19416 guile-git                          	      12        0        0        0       12
19417 guile-zlib                         	      12        0        0        0       12
19418 hatari                             	      12        1       11        0        0
19419 hello                              	      12        1       11        0        0
19420 hexchat-otr                        	      12        0        2        0       10
19421 horizon-eda                        	      12        0       12        0        0
19422 html-xml-utils                     	      12        2       10        0        0
19423 htpdate                            	      12        3        9        0        0
19424 hunspell-en-au                     	      12        0       11        1        0
19425 hunspell-no                        	      12        0        0        0       12
19426 hunspell-sv-se                     	      12        0        0        0       12
19427 ibus-m17n                          	      12        0        3        0        9
19428 idesk                              	      12        1       11        0        0
19429 idle3-tools                        	      12        0       12        0        0
19430 inetutils-syslogd                  	      12        2       10        0        0
19431 ipscan                             	      12        0       12        0        0
19432 ipxe                               	      12        1       10        1        0
19433 ir-keytable                        	      12        1       11        0        0
19434 jack-stdio                         	      12        0       12        0        0
19435 jackd1-firewire                    	      12        0        0        0       12
19436 jmeters                            	      12        0       12        0        0
19437 kde-config-plymouth                	      12        0       12        0        0
19438 kde-thumbnailer-deb                	      12        0        0        0       12
19439 kdeartwork                         	      12        0        0        0       12
19440 kdepasswd                          	      12        0       12        0        0
19441 khard                              	      12        0       11        1        0
19442 kismet                             	      12        1       10        0        1
19443 koules                             	      12        1       11        0        0
19444 ksnip                              	      12        4        8        0        0
19445 latencytop                         	      12        0       12        0        0
19446 lazarus-ide-2.0                    	      12        0       12        0        0
19447 lcalc                              	      12        0       12        0        0
19448 lib32readline8                     	      12        0        0        0       12
19449 libaa-bin                          	      12        1       11        0        0
19450 libafterimage0                     	      12        0        0        0       12
19451 libaiksaurus-1.2-data              	      12        0        0        0       12
19452 liballegro-image5.2                	      12        0        0        0       12
19453 libandroid-23-java                 	      12        0        0        0       12
19454 libaprutil1-dbd-mysql              	      12        0        0        0       12
19455 libapt-pkg-dev                     	      12        0       10        2        0
19456 libaqbanking35-plugins             	      12        0        5        0        7
19457 libaqebics0                        	      12        0        0        0       12
19458 libaqofxconnect7                   	      12        0        0        0       12
19459 libaudio-cd-perl                   	      12        0        0        0       12
19460 libavif-bin                        	      12        0       12        0        0
19461 libbiblesync1.1                    	      12        0        0        0       12
19462 libblas3gf                         	      12        0        0        0       12
19463 libblockdev-btrfs2                 	      12        0        0        0       12
19464 libboost-regex1.55.0               	      12        0        0        0       12
19465 libboost-system1.67-dev            	      12        0        0        0       12
19466 libbpf-dev                         	      12        0       12        0        0
19467 libbudgie-plugin0                  	      12        0        1        0       11
19468 libburner-media3-1                 	      12        0        0        0       12
19469 libcapi20-dev                      	      12        0       12        0        0
19470 libcaribou-gtk3-module             	      12        0        0        0       12
19471 libcfg-dev                         	      12        0       12        0        0
19472 libclang-common-18-dev             	      12        0       11        1        0
19473 libclang1-3.5                      	      12        0       12        0        0
19474 libclass-std-perl                  	      12        0       12        0        0
19475 libclc-amdgcn                      	      12        0       12        0        0
19476 libclc-r600                        	      12        0       12        0        0
19477 libcommons-configuration-java      	      12        0        0        0       12
19478 libcommons-jexl2-java              	      12        0        0        0       12
19479 libconfuse-dev                     	      12        0       12        0        0
19480 libcorosync-common-dev             	      12        0       12        0        0
19481 libcpg-dev                         	      12        0       12        0        0
19482 libcrypt-openssl-x509-perl         	      12        0        0        0       12
19483 libcw7                             	      12        1        0        0       11
19484 libd3dadapter9-mesa                	      12        0        0        0       12
19485 libdb5.3-java                      	      12        0        0        0       12
19486 libdb5.3-java-jni                  	      12        0        0        0       12
19487 libdbd-csv-perl                    	      12        0       12        0        0
19488 libdconf0                          	      12        0        0        0       12
19489 libddcutil3                        	      12        1        3        0        8
19490 libdframeworkdbus2                 	      12        1        1        0       10
19491 libdnssec9                         	      12        3        4        0        5
19492 libdoxia-java                      	      12        0        0        0       12
19493 libear                             	      12        0        0        0       12
19494 libebook-1.2-16                    	      12        0        0        0       12
19495 libeccodes-data                    	      12        0        0        0       12
19496 libeccodes0                        	      12        0        0        0       12
19497 libemeraldengine0                  	      12        1       10        1        0
19498 libfeed-find-perl                  	      12        0       12        0        0
19499 libfm-qt6                          	      12        0        0        0       12
19500 libfreeipmi16                      	      12        0        0        0       12
19501 libfreerdp2-2t64                   	      12        0        0        0       12
19502 libfsplib0t64                      	      12        0        0        0       12
19503 libfuntools1                       	      12        0        0        0       12
19504 libgap-dev                         	      12        1       11        0        0
19505 libgcc-12-dev-armel-cross          	      12        0        0        0       12
19506 libgck-1-dev                       	      12        0       12        0        0
19507 libgcr-3-dev                       	      12        0       12        0        0
19508 libgd-tools                        	      12        1       11        0        0
19509 libgdbm-compat-dev                 	      12        0       12        0        0
19510 libgeda42                          	      12        0        0        0       12
19511 libgfchangelog0                    	      12        0        0        0       12
19512 libghc-aeson-dev                   	      12        1       11        0        0
19513 libghc-assoc-dev                   	      12        1       11        0        0
19514 libghc-conduit-dev                 	      12        0       12        0        0
19515 libghc-data-default-dev            	      12        0       12        0        0
19516 libghc-data-default-instances-containers-dev	      12        0       12        0        0
19517 libghc-data-default-instances-dlist-dev	      12        0       12        0        0
19518 libghc-data-default-instances-old-locale-dev	      12        0       12        0        0
19519 libghc-indexed-traversable-dev     	      12        1       11        0        0
19520 libghc-primitive-prof              	      12        0        0        0       12
19521 libghc-quickcheck2-dev             	      12        1       11        0        0
19522 libghc-splitmix-doc                	      12        0       12        0        0
19523 libghc-these-dev                   	      12        1       11        0        0
19524 libghc-unliftio-core-dev           	      12        0       12        0        0
19525 libghc-utf8-string-doc             	      12        0       12        0        0
19526 libghc-uuid-types-dev              	      12        1       11        0        0
19527 libgivaro-dev                      	      12        0       12        0        0
19528 libgivaro9                         	      12        0        0        0       12
19529 libglibmm-2.4-doc                  	      12        0       12        0        0
19530 libgmerlin-avdec2                  	      12        0        0        0       12
19531 libgmerlin-common                  	      12        0        0        0       12
19532 libgnome-games-support-1-2         	      12        0        0        0       12
19533 libgom-1.0-common                  	      12        0        0        0       12
19534 libgphobos-12-dev                  	      12        0        0        0       12
19535 libgrantleetheme-data              	      12        0        0        0       12
19536 libguile-ssh14                     	      12        0        0        0       12
19537 libgumbo-dev                       	      12        0       11        1        0
19538 libicu4j-4.4-java                  	      12        0        0        0       12
19539 libifd-cyberjack6                  	      12        1       11        0        0
19540 libigc1                            	      12        0        0        0       12
19541 libisccc-export140                 	      12        0        0        0       12
19542 libisccfg-export140                	      12        0        0        0       12
19543 libjasper-dev                      	      12        0       12        0        0
19544 libjlibeps-java                    	      12        0        0        0       12
19545 libjs-jquery-minicolors            	      12        0        0        0       12
19546 libjs-jquery-ui-theme-ui-lightness 	      12        0        0        0       12
19547 libkdb-data                        	      12        0        0        0       12
19548 libkdb3-driver-sqlite              	      12        0       11        1        0
19549 libkdecorations2private5v5         	      12        0        0        0       12
19550 libkdegames6-i18n                  	      12        0        0        0       12
19551 libkdepim-data                     	      12        0        0        0       12
19552 libkf5akonadisearchdebug5t64       	      12        0        0        0       12
19553 libkf5calendarcore5                	      12        0        0        0       12
19554 libkf5incidenceeditor-bin          	      12        0       12        0        0
19555 libkf5itemmodels-doc               	      12        0        0        0       12
19556 libkf5kcmutils-dev                 	      12        0       12        0        0
19557 libkf5kipi31.0.0                   	      12        0        0        0       12
19558 libkf5messagecomposer5abi1t64      	      12        0        1        0       11
19559 libkf5messagecore5abi1t64          	      12        0        1        0       11
19560 libkf5messagelist5abi1t64          	      12        0        1        0       11
19561 libkf5messageviewer5abi1t64        	      12        0        1        0       11
19562 libkf5mimetreeparser5abi1t64       	      12        0        1        0       11
19563 libkf5templateparser5t64           	      12        0        1        0       11
19564 libkf5textwidgets-doc              	      12        0        0        0       12
19565 libkldap-data                      	      12        0        0        0       12
19566 libkmailtransport-data             	      12        0        0        0       12
19567 libkomparediff2-data               	      12        0        0        0       12
19568 libkpimtextedit-data               	      12        0        0        0       12
19569 libkproperty-data                  	      12        0        0        0       12
19570 libkreport-data                    	      12        0        0        0       12
19571 libktextaddons-data                	      12        0        0        0       12
19572 liblapack-doc                      	      12        0        0        0       12
19573 liblensfun-dev                     	      12        0       11        1        0
19574 liblircclient-dev                  	      12        0        0        0       12
19575 liblomiri-url-dispatcher0          	      12        2        3        0        7
19576 liblucene4.10-java                 	      12        0        0        0       12
19577 liblwp-authen-wsse-perl            	      12        0       12        0        0
19578 libmath-base-convert-perl          	      12        0       12        0        0
19579 libmdc3                            	      12        0        0        0       12
19580 libmikmod2                         	      12        0        0        0       12
19581 libmimalloc2.0                     	      12        0        0        0       12
19582 libmjpegutils-2.2-0t64             	      12        0        0        0       12
19583 libmodule-util-perl                	      12        0       12        0        0
19584 libmoox-strictconstructor-perl     	      12        2       10        0        0
19585 libmozjs-52-0                      	      12        0        0        0       12
19586 libmpeg2encpp-2.2-0t64             	      12        0        0        0       12
19587 libmplex2-2.2-0t64                 	      12        0        0        0       12
19588 libmyodbc                          	      12        0        0        0       12
19589 libmysofa-dev                      	      12        0       11        1        0
19590 libnauty2                          	      12        0        0        0       12
19591 libnfs16                           	      12        0        0        0       12
19592 libnm-gtk-common                   	      12        0        0        0       12
19593 libnotmuch5t64                     	      12        2        0        0       10
19594 libnvidia-ngx1                     	      12        0        5        1        6
19595 libobasis7.4-ooofonts              	      12        0        0        0       12
19596 libokularcore7                     	      12        0        0        0       12
19597 libomp-dev                         	      12        0        0        0       12
19598 libopencv-gpu2.4v5                 	      12        0        0        0       12
19599 libopencv-ocl2.4v5                 	      12        0        0        0       12
19600 libopencv-photo406t64              	      12        0        0        0       12
19601 libopencv-stitching2.4v5           	      12        0        0        0       12
19602 libopencv-superres2.4v5            	      12        0        0        0       12
19603 libopencv-ts2.4v5                  	      12        0        0        0       12
19604 libopencv-videostab2.4v5           	      12        0        0        0       12
19605 libopenthreads-dev                 	      12        0        0        0       12
19606 libosmpbf-java                     	      12        0        0        0       12
19607 libowncloudsync0                   	      12        2        0        0       10
19608 libpam-doc                         	      12        0        0        0       12
19609 libpam-ssh                         	      12        6        3        0        3
19610 libpari-dev                        	      12        1       10        1        0
19611 libpdal-plugin-hdf                 	      12        0        0        0       12
19612 libplacebo292                      	      12        1        0        0       11
19613 libpocofoundation80                	      12        0        0        0       12
19614 libpodofo0.9.4                     	      12        0        0        0       12
19615 libprotobuf9                       	      12        0        0        0       12
19616 libprotoc-dev                      	      12        0       12        0        0
19617 libpst4t64                         	      12        0        0        0       12
19618 libqalculate5v5                    	      12        0        0        0       12
19619 libqsopt-ex2                       	      12        0        0        0       12
19620 libqt5ct-common1.8                 	      12        1        7        0        4
19621 libqt5waylandclient5-dev           	      12        0       12        0        0
19622 libqt6pdfwidgets6                  	      12        0        0        0       12
19623 libreoffice-writer2xhtml           	      12        1       11        0        0
19624 libresample1-dev                   	      12        0       12        0        0
19625 libresid-builder-dev               	      12        0       12        0        0
19626 libroc0.3                          	      12        0        0        0       12
19627 librocm-smi64-1                    	      12        0        0        0       12
19628 librust-aho-corasick-dev           	      12        0        0        0       12
19629 librust-autocfg-dev                	      12        0        0        0       12
19630 librust-cc-dev                     	      12        0       10        1        1
19631 librust-const-random-dev           	      12        0        0        0       12
19632 librust-const-random-macro-dev     	      12        0        0        0       12
19633 librust-crossbeam-deque-dev        	      12        0        0        0       12
19634 librust-crossbeam-epoch+std-dev    	      12        0        0        0       12
19635 librust-crossbeam-epoch-dev        	      12        0        0        0       12
19636 librust-crossbeam-utils-dev        	      12        0        0        0       12
19637 librust-crunchy-dev                	      12        0        0        0       12
19638 librust-env-logger-dev             	      12        0        0        0       12
19639 librust-erased-serde-dev           	      12        0        0        0       12
19640 librust-getrandom-dev              	      12        0        0        0       12
19641 librust-hashbrown-dev              	      12        0        0        0       12
19642 librust-humantime-dev              	      12        0        0        0       12
19643 librust-indexmap-dev               	      12        0        0        0       12
19644 librust-lock-api-dev               	      12        0        0        0       12
19645 librust-log-dev                    	      12        0        0        0       12
19646 librust-memchr-dev                 	      12        0        0        0       12
19647 librust-memoffset-dev              	      12        0        0        0       12
19648 librust-owning-ref-dev             	      12        0        0        0       12
19649 librust-rayon-core-dev             	      12        0        0        0       12
19650 librust-rayon-dev                  	      12        0        0        0       12
19651 librust-regex-dev                  	      12        0        0        0       12
19652 librust-regex-syntax-dev           	      12        0        0        0       12
19653 librust-scopeguard-dev             	      12        0        0        0       12
19654 librust-serde-derive-dev           	      12        0        0        0       12
19655 librust-serde-fmt-dev              	      12        0        0        0       12
19656 librust-spin-dev                   	      12        0        0        0       12
19657 librust-stable-deref-trait-dev     	      12        0        0        0       12
19658 librust-sval-dev                   	      12        0        0        0       12
19659 librust-tiny-keccak-dev            	      12        0        0        0       12
19660 librust-value-bag-dev              	      12        0        0        0       12
19661 librust-version-check-dev          	      12        0        0        0       12
19662 libsdl2-gfx-doc                    	      12        0        0        0       12
19663 libsidplay2-dev                    	      12        1       11        0        0
19664 libsignon-glib2                    	      12        0        6        0        6
19665 libsingular4m3n0                   	      12        0        0        0       12
19666 libsodium13                        	      12        0        0        0       12
19667 libsoil1                           	      12        0        0        0       12
19668 libspa-0.2-libcamera               	      12        4        4        0        4
19669 libsrecord0                        	      12        0        0        0       12
19670 libstdc++-9-dev                    	      12        0       12        0        0
19671 libsvncpp3                         	      12        0        0        0       12
19672 libswresample1                     	      12        0        0        0       12
19673 libtaglib2.1-cil                   	      12        0        0        0       12
19674 libtelepathy-glib0t64              	      12        0        1        0       11
19675 libtest-requires-perl              	      12        0       12        0        0
19676 libtest2-suite-perl                	      12        0       12        0        0
19677 libthrift-0.13.0                   	      12        0        0        0       12
19678 libtinyxml2-4                      	      12        0        0        0       12
19679 libtinyxml2-dev                    	      12        0       12        0        0
19680 libtraceevent-dev                  	      12        0       12        0        0
19681 libtracefs-dev                     	      12        0       12        0        0
19682 libtreelayout-java                 	      12        0        0        0       12
19683 libutvideo15                       	      12        0        0        0       12
19684 libvala-0.48-0                     	      12        0        0        0       12
19685 libwayland-egl-backend-dev         	      12        0       10        2        0
19686 libwebkitgtk-3.0-common            	      12        0        0        0       12
19687 libwinpr2-2t64                     	      12        0        0        0       12
19688 libwxgtk-webview3.0-gtk3-0v5       	      12        1        1        0       10
19689 libwxgtk2.8-0                      	      12        0        1        0       11
19690 libx264-146                        	      12        0        0        0       12
19691 libxcb-record0-dev                 	      12        0       11        1        0
19692 libxen-dev                         	      12        0       11        1        0
19693 libxenmisc4.17t64                  	      12        4        8        0        0
19694 libxml-atom-perl                   	      12        0       12        0        0
19695 libxml-feed-perl                   	      12        0       12        0        0
19696 libxmlsec1-dev                     	      12        0       11        1        0
19697 libxtables-dev                     	      12        1       11        0        0
19698 libz-mingw-w64-dev                 	      12        1       11        0        0
19699 libzbar-dev                        	      12        0       12        0        0
19700 libzmq3                            	      12        0        0        0       12
19701 libzscanner4                       	      12        3        4        0        5
19702 libzzip-dev                        	      12        0       12        0        0
19703 linux-headers-4.19.0-27-common     	      12        0       12        0        0
19704 linux-headers-4.9.0-8-common       	      12        0       12        0        0
19705 linux-headers-4.9.0-9-common       	      12        0       12        0        0
19706 linux-headers-5.10.0-12-common     	      12        0       12        0        0
19707 linux-headers-5.10.0-13-common     	      12        0       12        0        0
19708 linux-headers-5.10.0-22-amd64      	      12        0       12        0        0
19709 linux-headers-5.10.0-8-common      	      12        0       12        0        0
19710 linux-image-6.1.0-0.deb11.21-amd64 	      12        4        8        0        0
19711 linux-kbuild-6.10.11+bpo           	      12        0        0        0       12
19712 lios                               	      12        1        9        2        0
19713 lltag                              	      12        0       12        0        0
19714 loadlin                            	      12        0       11        1        0
19715 localsend                          	      12        1        0        0       11
19716 loook                              	      12        2        9        1        0
19717 luametatex                         	      12        3        9        0        0
19718 mame-extra                         	      12        0        0        0       12
19719 mandoc                             	      12        1       11        0        0
19720 manpages-zh                        	      12        0        0        0       12
19721 marble-qt                          	      12        0       11        1        0
19722 mariadb-test-data                  	      12        0       11        1        0
19723 mecab-utils                        	      12        0        9        3        0
19724 med-tasks                          	      12        0        0        0       12
19725 mediawiki                          	      12        2       10        0        0
19726 mediawiki-classes                  	      12        2       10        0        0
19727 mesa-utils-extra                   	      12        0       11        1        0
19728 mgdiff                             	      12        0       12        0        0
19729 mgetty                             	      12        0       11        1        0
19730 midisnoop                          	      12        0       12        0        0
19731 mitmproxy                          	      12        0       12        0        0
19732 mkcue                              	      12        0       12        0        0
19733 mmdb-bin                           	      12        0       12        0        0
19734 molequeue                          	      12        1       11        0        0
19735 monero                             	      12        2       10        0        0
19736 mongo-tools                        	      12        0       12        0        0
19737 mongodb-server                     	      12        2       10        0        0
19738 monodoc-gtk2.0-manual              	      12        0       12        0        0
19739 monodoc-gtk3.0-manual              	      12        0       12        0        0
19740 moon-lander                        	      12        0       12        0        0
19741 moon-lander-data                   	      12        0        0        0       12
19742 mp3fs                              	      12        0       12        0        0
19743 mp3wrap                            	      12        0       12        0        0
19744 myspell-en-us                      	      12        0        0        0       12
19745 myspell-eo                         	      12        0       11        0        1
19746 nagios-nrpe-plugin                 	      12        2       10        0        0
19747 nautilus-image-converter           	      12        0        0        0       12
19748 nauty                              	      12        1       11        0        0
19749 ncurses-examples                   	      12        0        5        0        7
19750 ne                                 	      12        0       12        0        0
19751 netperf                            	      12        4        8        0        0
19752 nfstrace-doc                       	      12        0        0        0       12
19753 nicotine                           	      12        0       11        1        0
19754 nis                                	      12        0        3        1        8
19755 node-bluebird                      	      12        1        5        0        6
19756 node-boxen                         	      12        1        5        0        6
19757 node-call-limit                    	      12        1        5        0        6
19758 node-cyclist                       	      12        1        5        0        6
19759 node-editor                        	      12        1        5        0        6
19760 node-from2                         	      12        1        7        0        4
19761 node-has-to-string-tag-x           	      12        0        0        0       12
19762 node-import-lazy                   	      12        1        6        0        5
19763 node-is-npm                        	      12        1        6        0        5
19764 node-is-retry-allowed              	      12        0        0        0       12
19765 node-isurl                         	      12        1        5        0        6
19766 node-latest-version                	      12        1        5        0        6
19767 node-lazy-property                 	      12        1        6        0        5
19768 node-mississippi                   	      12        1        7        0        4
19769 node-node-uuid                     	      12        0        1        0       11
19770 node-os-locale                     	      12        1        5        0        6
19771 node-package-json                  	      12        1        7        0        4
19772 node-parallel-transform            	      12        1        6        0        5
19773 node-qw                            	      12        1        7        0        4
19774 node-registry-auth-token           	      12        0        0        0       12
19775 node-registry-url                  	      12        1        6        0        5
19776 node-require-main-filename         	      12        0        0        0       12
19777 node-semver-diff                   	      12        1        5        0        6
19778 node-sorted-object                 	      12        1        7        0        4
19779 node-stream-each                   	      12        1        5        0        6
19780 node-stream-iterate                	      12        1        7        0        4
19781 node-term-size                     	      12        1        7        0        4
19782 node-timed-out                     	      12        1        5        0        6
19783 node-uid-number                    	      12        1        5        0        6
19784 node-url-parse-lax                 	      12        0        0        0       12
19785 node-which-module                  	      12        1        7        0        4
19786 node-xdg-basedir                   	      12        1        7        0        4
19787 normaliz                           	      12        0        0        0       12
19788 normaliz-bin                       	      12        1       11        0        0
19789 nvidia-legacy-390xx-driver         	      12        0        0        0       12
19790 nvidia-legacy-390xx-driver-bin     	      12        1       11        0        0
19791 oinkmaster                         	      12        1       11        0        0
19792 openoffice-ooolinguistic           	      12        0        2        0       10
19793 openssh-client-gssapi              	      12        0        0        0       12
19794 openssh-server-gssapi              	      12        0        0        0       12
19795 ophcrack                           	      12        1       11        0        0
19796 owfs-common                        	      12        0        0        0       12
19797 p0f                                	      12        0       12        0        0
19798 packettracer                       	      12        0       11        0        1
19799 palp                               	      12        0       12        0        0
19800 pari-gp2c                          	      12        2       10        0        0
19801 pcal                               	      12        0       12        0        0
19802 pd-libdir                          	      12        0       12        0        0
19803 peek                               	      12        0       12        0        0
19804 php-msgpack                        	      12        2        1        0        9
19805 php-readline                       	      12        0        0        0       12
19806 php5.6-common                      	      12        5        7        0        0
19807 php7.0-fpm                         	      12        5        7        0        0
19808 php7.0-mcrypt                      	      12        5        7        0        0
19809 php7.3-sqlite3                     	      12        3        9        0        0
19810 php7.4-tidy                        	      12        2       10        0        0
19811 php7.4-xsl                         	      12        0        0        0       12
19812 php8.0-mysql                       	      12        4        8        0        0
19813 php8.1-mysql                       	      12        4        8        0        0
19814 php8.1-zip                         	      12        4        8        0        0
19815 php8.2-xsl                         	      12        0        0        0       12
19816 plasma-kdevelop                    	      12        0        2        0       10
19817 polyglot                           	      12        1       11        0        0
19818 postgresql-16                      	      12        6        6        0        0
19819 postgresql-client-14               	      12        3        9        0        0
19820 powermanga                         	      12        0       12        0        0
19821 powermanga-data                    	      12        0        0        0       12
19822 primus                             	      12        0       12        0        0
19823 profanity                          	      12        1       11        0        0
19824 pstotext                           	      12        1       11        0        0
19825 pulseaudio-module-gconf            	      12        1       11        0        0
19826 pulseaudio-module-lirc             	      12        0       12        0        0
19827 puppet-common                      	      12        0       10        0        2
19828 pure-ftpd                          	      12        6        6        0        0
19829 putty-doc                          	      12        0        0        0       12
19830 pysiogame                          	      12        1       11        0        0
19831 python-babel                       	      12        0       12        0        0
19832 python-functools32                 	      12        0       12        0        0
19833 python-monotonic                   	      12        1       11        0        0
19834 python-scipy                       	      12        0       12        0        0
19835 python-twisted-web                 	      12        0        4        0        8
19836 python3-agate                      	      12        0       12        0        0
19837 python3-agatedbf                   	      12        0       12        0        0
19838 python3-agateexcel                 	      12        0       12        0        0
19839 python3-agatesql                   	      12        0       12        0        0
19840 python3-build                      	      12        0       12        0        0
19841 python3-croniter                   	      12        0       10        2        0
19842 python3-csvkit                     	      12        0       12        0        0
19843 python3-dbfread                    	      12        0       12        0        0
19844 python3-debtcollector              	      12        0       11        1        0
19845 python3-ewmh                       	      12        0       12        0        0
19846 python3-gtts-token                 	      12        1       11        0        0
19847 python3-heapdict                   	      12        0       12        0        0
19848 python3-kaitaistruct               	      12        0       12        0        0
19849 python3-leather                    	      12        0       12        0        0
19850 python3-mediafile                  	      12        0       12        0        0
19851 python3-omemo-dr                   	      12        1       10        1        0
19852 python3-oslo.i18n                  	      12        0       12        0        0
19853 python3-oslo.utils                 	      12        0       11        1        0
19854 python3-paho-mqtt                  	      12        0       12        0        0
19855 python3-pastescript                	      12        4        8        0        0
19856 python3-psutils                    	      12        1        7        4        0
19857 python3-publicsuffix2              	      12        0       12        0        0
19858 python3-pykka                      	      12        1       10        1        0
19859 python3-pyqt6.qtcharts             	      12        0       11        1        0
19860 python3-pytimeparse                	      12        0       12        0        0
19861 python3-semver                     	      12        1        9        2        0
19862 python3-suds                       	      12        0       12        0        0
19863 python3-typed-ast                  	      12        1       11        0        0
19864 python3-unittest2                  	      12        0       12        0        0
19865 python3-xcbgen                     	      12        0       12        0        0
19866 python3.9-doc                      	      12        0        0        0       12
19867 qml                                	      12        0       12        0        0
19868 qml-module-org-kde-extensionplugin 	      12        0        0        0       12
19869 qml6-module-org-kde-guiaddons      	      12        0        0        0       12
19870 qrq                                	      12        0       12        0        0
19871 quakespasm                         	      12        0       12        0        0
19872 r-cran-mnormt                      	      12        1       11        0        0
19873 r-cran-shape                       	      12        1       11        0        0
19874 r8168-dkms                         	      12        0       12        0        0
19875 radvdump                           	      12        0       11        1        0
19876 rapidsvn                           	      12        1       11        0        0
19877 rdiff-backup-fs                    	      12        0       12        0        0
19878 recutils                           	      12        0       12        0        0
19879 remmina-plugin-spice               	      12        3        3        0        6
19880 rfdump                             	      12        1       11        0        0
19881 ripole                             	      12        0       12        0        0
19882 rocm-device-libs                   	      12        0       12        0        0
19883 ruby-all-dev                       	      12        0       12        0        0
19884 ruby-blankslate                    	      12        0       12        0        0
19885 ruby-em-websocket                  	      12        1        9        2        0
19886 ruby-full                          	      12        0        0        0       12
19887 ruby-http-parser.rb                	      12        1       10        1        0
19888 ruby-marcel                        	      12        0       12        0        0
19889 ruby-molinillo                     	      12        1       11        0        0
19890 ruby-nio4r                         	      12        0        3        0        9
19891 ruby-sys-proctable                 	      12        2       10        0        0
19892 ruby2.7-doc                        	      12        0       12        0        0
19893 sagemath-database-conway-polynomials	      12        0        0        0       12
19894 sagemath-database-elliptic-curves  	      12        0        0        0       12
19895 sagemath-database-graphs           	      12        0        0        0       12
19896 sagemath-database-mutually-combinatorial-designs	      12        0        0        0       12
19897 sagemath-database-polytopes        	      12        0        0        0       12
19898 saods9                             	      12        0       12        0        0
19899 saods9-doc                         	      12        0        0        0       12
19900 scilab-doc                         	      12        0        0        0       12
19901 sendmail-doc                       	      12        0        0        0       12
19902 sentinelagent                      	      12        0        0        0       12
19903 sgml-base-doc                      	      12        0        0        0       12
19904 shiki-colors                       	      12        0        0        0       12
19905 simh                               	      12        0       12        0        0
19906 slurm                              	      12        0       12        0        0
19907 soundstretch                       	      12        1       11        0        0
19908 stegosuite                         	      12        1       11        0        0
19909 surf-alggeo                        	      12        0        0        0       12
19910 surf-alggeo-nox                    	      12        1       11        0        0
19911 surfraw                            	      12        0       12        0        0
19912 suru-icon-theme                    	      12        0        0        0       12
19913 swh-lv2                            	      12        0       12        0        0
19914 swig3.0                            	      12        0       12        0        0
19915 systemtap                          	      12        0       10        2        0
19916 task-chinese-s                     	      12        0        0        0       12
19917 task-hungarian-desktop             	      12        0        0        0       12
19918 telepathy-gabble                   	      12        0       12        0        0
19919 tellico                            	      12        0       11        1        0
19920 tellico-data                       	      12        0        0        0       12
19921 tesseract-ocr-swe                  	      12        0        0        0       12
19922 tiemu                              	      12        2       10        0        0
19923 tkcvs                              	      12        1       11        0        0
19924 topcom                             	      12        2       10        0        0
19925 topcom-examples                    	      12        0        0        0       12
19926 tox                                	      12        0       12        0        0
19927 tuxguitar-alsa                     	      12        1       11        0        0
19928 tuxpuck                            	      12        0       12        0        0
19929 tv-fonts                           	      12        0        0        0       12
19930 ulogd2                             	      12        2       10        0        0
19931 user-session-migration             	      12        0        9        3        0
19932 verilator                          	      12        0       12        0        0
19933 vim-tabular                        	      12        0        0        0       12
19934 virtuoso-minimal                   	      12        0        0        0       12
19935 vtk9                               	      12        0       12        0        0
19936 w3m-el                             	      12        2        9        1        0
19937 weasyprint                         	      12        1       11        0        0
19938 wesnoth-1.18-data                  	      12        0        0        0       12
19939 wesnoth-1.18-music                 	      12        0        0        0       12
19940 winff-doc                          	      12        0        0        0       12
19941 wmbattery                          	      12        1       11        0        0
19942 wmclockmon                         	      12        1       11        0        0
19943 wmmixer                            	      12        1       11        0        0
19944 wondershaper                       	      12        1       11        0        0
19945 wxpython-tools                     	      12        0       12        0        0
19946 x11proto-dri3-dev                  	      12        0        4        0        8
19947 x11proto-resource-dev              	      12        0        4        0        8
19948 x2vnc                              	      12        0       12        0        0
19949 xastir                             	      12        1       11        0        0
19950 xastir-data                        	      12        1       11        0        0
19951 xbill                              	      12        0       12        0        0
19952 xcolors                            	      12        0       12        0        0
19953 xdu                                	      12        1       11        0        0
19954 xfce4-mixer                        	      12        0       11        1        0
19955 xfonts-biznet-base                 	      12        0        0        0       12
19956 xfonts-jmk                         	      12        0        0        0       12
19957 xfonts-mathml                      	      12        0        0        0       12
19958 xfslibs-dev                        	      12        0       11        1        0
19959 xmms2-plugin-ices                  	      12        1       11        0        0
19960 xmms2-plugin-mpg123                	      12        1       11        0        0
19961 xmountains                         	      12        0       12        0        0
19962 xnec2c                             	      12        0       12        0        0
19963 xnview                             	      12        0       12        0        0
19964 xpat2                              	      12        0       12        0        0
19965 xsct                               	      12        3        7        2        0
19966 xserver-xorg-input-multitouch      	      12        1       11        0        0
19967 xtl-dev                            	      12        0        0        0       12
19968 xxgdb                              	      12        1       11        0        0
19969 yosys                              	      12        0       12        0        0
19970 yubikey-manager-qt                 	      12        1       11        0        0
19971 yubikey-personalization-gui        	      12        0       12        0        0
19972 zfs-fuse                           	      12        2       10        0        0
19973 zlibc                              	      12        0        0        0       12
19974 zsh-antigen                        	      12        0        0        0       12
19975 zssh                               	      12        2       10        0        0
19976 zynaddsubfx-dssi                   	      12        0       11        1        0
19977 abw2epub                           	      11        1       10        0        0
19978 adjtimex                           	      11        2        9        0        0
19979 adns-tools                         	      11        1       10        0        0
19980 afterstep-data                     	      11        0        0        0       11
19981 alsaplayer-oss                     	      11        0        0        0       11
19982 amdgpu-dkms-firmware               	      11        1        8        0        2
19983 amoebax                            	      11        0       11        0        0
19984 amoebax-data                       	      11        0        0        0       11
19985 ams                                	      11        0       11        0        0
19986 anbox                              	      11        0       11        0        0
19987 animals                            	      11        0       10        1        0
19988 antimicro                          	      11        0       11        0        0
19989 apt-clone                          	      11        1       10        0        0
19990 aqbanking-tools                    	      11        2        9        0        0
19991 arch-install-scripts               	      11        0       11        0        0
19992 arduino-core                       	      11        0       11        0        0
19993 ario-common                        	      11        0        0        0       11
19994 aspell-eo                          	      11        0       11        0        0
19995 aspnetcore-runtime-7.0             	      11        0        1        0       10
19996 asterisk                           	      11        3        8        0        0
19997 asterisk-config                    	      11        0        0        0       11
19998 asterisk-core-sounds-en            	      11        0        0        0       11
19999 asterisk-core-sounds-en-gsm        	      11        0        0        0       11
20000 asterisk-modules                   	      11        3        8        0        0
20001 asterisk-moh-opsound-gsm           	      11        0        0        0       11
20002 avce00                             	      11        0       11        0        0
20003 awesome-doc                        	      11        0        0        0       11
20004 baresip-gstreamer                  	      11        0       11        0        0
20005 berkeley-abc                       	      11        0       11        0        0
20006 between                            	      11        0       11        0        0
20007 bible-kjv-text                     	      11        0        0        0       11
20008 biff                               	      11        0       10        1        0
20009 binutils-riscv64-linux-gnu         	      11        0       10        1        0
20010 blop                               	      11        0       11        0        0
20011 btanks                             	      11        0       11        0        0
20012 btanks-data                        	      11        0        0        0       11
20013 bwbasic                            	      11        1       10        0        0
20014 byzanz                             	      11        0       11        0        0
20015 bzflag                             	      11        0        0        0       11
20016 bzrtools                           	      11        1        3        0        7
20017 ca-cacert                          	      11        0        0        0       11
20018 caffeine                           	      11        1       10        0        0
20019 calligraplan                       	      11        0       11        0        0
20020 carmetal                           	      11        1       10        0        0
20021 cataclysm-dda-sdl                  	      11        0       11        0        0
20022 clamassassin                       	      11        2        8        1        0
20023 clamtk-gnome                       	      11        0        0        0       11
20024 clang-18                           	      11        0       10        1        0
20025 compiz-plugins-experimental        	      11        4        7        0        0
20026 crossover                          	      11        0        9        1        1
20027 csvkit                             	      11        0       11        0        0
20028 culmus                             	      11        2        2        0        7
20029 cutesdr                            	      11        1       10        0        0
20030 dconf-tools                        	      11        0        0        0       11
20031 debian-history                     	      11        0        0        0       11
20032 default-java-plugin                	      11        0        0        0       11
20033 desmume                            	      11        0       11        0        0
20034 devio                              	      11        0       11        0        0
20035 di                                 	      11        1        9        1        0
20036 dicomscope                         	      11        0       11        0        0
20037 dir2ogg                            	      11        1       10        0        0
20038 distcc                             	      11        0       10        1        0
20039 doc-rfc-std                        	      11        0        0        0       11
20040 doublecmd-qt                       	      11        1       10        0        0
20041 dpkg-sig                           	      11        1       10        0        0
20042 dtrx                               	      11        0       11        0        0
20043 ebook-speaker                      	      11        0       11        0        0
20044 ebook2epub                         	      11        0       11        0        0
20045 eclib-tools                        	      11        0       11        0        0
20046 endeavour                          	      11        0       11        0        0
20047 endeavour-common                   	      11        0        0        0       11
20048 esptool                            	      11        1       10        0        0
20049 ettercap-common                    	      11        1       10        0        0
20050 eximon4                            	      11        1       10        0        0
20051 fdkaac                             	      11        0       11        0        0
20052 ferm                               	      11        1       10        0        0
20053 fflas-ffpack                       	      11        0       11        0        0
20054 fflas-ffpack-common                	      11        0       11        0        0
20055 fillets-ng                         	      11        1       10        0        0
20056 fillets-ng-data                    	      11        0        0        0       11
20057 firefox-esr-l10n-nl                	      11        2        8        1        0
20058 firmware-siano                     	      11        0       10        1        0
20059 flintqs                            	      11        0       11        0        0
20060 flite                              	      11        0       11        0        0
20061 flpsed-data                        	      11        0        0        0       11
20062 fltk1.3-games                      	      11        0       11        0        0
20063 fmit                               	      11        0       10        1        0
20064 focuswriter                        	      11        1       10        0        0
20065 fonts-arapey                       	      11        0        0        0       11
20066 fonts-cascadia-code                	      11        1        4        0        6
20067 fonts-gotico-antiqua               	      11        0        0        0       11
20068 fonts-hosny-thabit                 	      11        0        0        0       11
20069 fonts-inter-variable               	      11        1        0        0       10
20070 fonts-ipafont-nonfree-jisx0208     	      11        0        0        0       11
20071 fonts-karmilla                     	      11        0        0        0       11
20072 fonts-klee                         	      11        0        0        0       11
20073 fonts-komatuna                     	      11        0        0        0       11
20074 fonts-kouzan-mouhitsu              	      11        0        0        0       11
20075 fonts-le-murmure                   	      11        0        0        0       11
20076 fonts-manchufont                   	      11        0        0        0       11
20077 fonts-manrope                      	      11        1        0        0       10
20078 fonts-millimetre                   	      11        0        0        0       11
20079 fonts-nanum-coding                 	      11        0        0        0       11
20080 fonts-nanum-extra                  	      11        0        0        0       11
20081 fonts-oradano-mincho-gsrr          	      11        1        0        0       10
20082 fonts-roadgeek                     	      11        0        0        0       11
20083 fonts-senamirmir-washra            	      11        0        0        0       11
20084 fonts-sil-andika-compact           	      11        0        0        0       11
20085 fonts-sil-dai-banna                	      11        1        0        0       10
20086 fonts-sil-lateef                   	      11        1        0        0       10
20087 fonts-sil-taiheritagepro           	      11        0        1        0       10
20088 fonts-sil-zaghawa-beria            	      11        0        0        0       11
20089 fonts-stick                        	      11        0        0        0       11
20090 fonts-train                        	      11        0        0        0       11
20091 fonts-ukij-uyghur                  	      11        1        0        0       10
20092 fp-units-base                      	      11        0        0        0       11
20093 fp-units-fcl-3.2.0                 	      11        0        0        0       11
20094 fp-units-gfx-3.2.0                 	      11        0        0        0       11
20095 fp-units-misc-3.2.0                	      11        0        0        0       11
20096 fp-units-multimedia-3.2.0          	      11        0        0        0       11
20097 freedroidrpg                       	      11        0       11        0        0
20098 freedroidrpg-data                  	      11        0        0        0       11
20099 freedv                             	      11        1       10        0        0
20100 fuse-emulator-utils                	      11        0       11        0        0
20101 fwupdate                           	      11        1        1        0        9
20102 games-card                         	      11        0        0        0       11
20103 gap-fga                            	      11        0        0        0       11
20104 gap-laguna                         	      11        0        0        0       11
20105 gcc-11-cross-base                  	      11        0        0        0       11
20106 gdc-12                             	      11        0       11        0        0
20107 gearhead-data                      	      11        0        0        0       11
20108 geda-gattrib                       	      11        0       11        0        0
20109 gedit-plugin-zeitgeist             	      11        0        0        0       11
20110 gemdropx                           	      11        0       11        0        0
20111 gengetopt                          	      11        0       11        0        0
20112 gfan                               	      11        0       11        0        0
20113 ghextris                           	      11        0       11        0        0
20114 gimp-dcraw                         	      11        1       10        0        0
20115 gimp-help-sv                       	      11        0        0        0       11
20116 gir1.2-gcr-4                       	      11        0        4        1        6
20117 gir1.2-gmime-2.6                   	      11        0        0        0       11
20118 gir1.2-gstreamer-0.10              	      11        0       11        0        0
20119 gir1.2-xdp-1.0                     	      11        0        0        0       11
20120 gitolite3                          	      11        0       11        0        0
20121 gkrellm-reminder                   	      11        3        8        0        0
20122 gman                               	      11        0       10        1        0
20123 gmidimonitor                       	      11        0       11        0        0
20124 gmsh                               	      11        0       10        1        0
20125 gnome-alsamixer                    	      11        0       11        0        0
20126 gnome-system-log                   	      11        1       10        0        0
20127 gnucobol                           	      11        0        0        0       11
20128 gnucobol3                          	      11        0       11        0        0
20129 golang-1.23                        	      11        0        0        0       11
20130 golang-1.23-doc                    	      11        0        0        0       11
20131 golly                              	      11        0       11        0        0
20132 google-android-licenses            	      11        0       11        0        0
20133 goverlay                           	      11        0       11        0        0
20134 grml-rescueboot                    	      11        0       10        1        0
20135 gstreamer-qapt                     	      11        0        0        0       11
20136 gstreamer1.0-libcamera             	      11        0        1        0       10
20137 gtk-3-examples                     	      11        0       11        0        0
20138 gtk2-engines-sugar                 	      11        0        0        0       11
20139 guile-2.0                          	      11        0       11        0        0
20140 guile-lzlib                        	      11        0        0        0       11
20141 guix                               	      11        1       10        0        0
20142 gworldclock                        	      11        1       10        0        0
20143 gxmessage                          	      11        1       10        0        0
20144 haskell-stack                      	      11        0       11        0        0
20145 hd-idle                            	      11        3        8        0        0
20146 heaptrack                          	      11        0        9        2        0
20147 hevea                              	      11        2        9        0        0
20148 hex-a-hop                          	      11        0       11        0        0
20149 hp2xx                              	      11        0       11        0        0
20150 hpijs                              	      11        0        0        0       11
20151 httraqt                            	      11        0       11        0        0
20152 hugs                               	      11        0       11        0        0
20153 hunspell-bg                        	      11        0        0        0       11
20154 hunspell-de-med                    	      11        0       11        0        0
20155 hunspell-pt-pt                     	      11        0        0        0       11
20156 hwloc-nox                          	      11        1       10        0        0
20157 hyperspec                          	      11        0        0        0       11
20158 idn2                               	      11        0       11        0        0
20159 imagination                        	      11        1        9        1        0
20160 imagination-common                 	      11        0        0        0       11
20161 indicator-sensors                  	      11        0       10        1        0
20162 intel-cmt-cat                      	      11        0       11        0        0
20163 ipheth-utils                       	      11        2        9        0        0
20164 jack-midi-clock                    	      11        0       11        0        0
20165 jalv                               	      11        0       11        0        0
20166 jargon-text                        	      11        0        0        0       11
20167 javahelper                         	      11        0       11        0        0
20168 jekyll                             	      11        2        9        0        0
20169 junior-config                      	      11        0       10        1        0
20170 junior-tasks                       	      11        0        0        0       11
20171 k2pdfopt                           	      11        1       10        0        0
20172 katarakt                           	      11        1        9        1        0
20173 kdepimlibs-data                    	      11        0        0        0       11
20174 kdocker                            	      11        0       11        0        0
20175 keybase                            	      11        3        8        0        0
20176 kipi-plugins-trinity               	      11        1       10        0        0
20177 kodi-pvr-iptvsimple                	      11        0        0        0       11
20178 kstart                             	      11        4        7        0        0
20179 ktimetracker                       	      11        3        7        1        0
20180 kunststoff                         	      11        0        0        0       11
20181 kwalletcli                         	      11        1        8        2        0
20182 lazarus-src-2.0                    	      11        0       10        0        1
20183 lcl-gtk2-2.0                       	      11        0       11        0        0
20184 lcl-nogui-2.0                      	      11        0       11        0        0
20185 lcl-units-2.0                      	      11        0       11        0        0
20186 ledger                             	      11        2        9        0        0
20187 lepton-eda                         	      11        1       10        0        0
20188 libaacs-dev                        	      11        0       11        0        0
20189 libabsl20210324                    	      11        0        0        0       11
20190 libaiksaurus-1.2-0c2a              	      11        0        0        0       11
20191 libalsaplayer-dev                  	      11        0       11        0        0
20192 libandroid-json-org-java           	      11        0        0        0       11
20193 libangelscript2.35.1t64            	      11        0        0        0       11
20194 libapache2-mpm-itk                 	      11        4        7        0        0
20195 libappindicator3-0.1-cil           	      11        0        0        0       11
20196 libasm1t64                         	      11        0        0        0       11
20197 libasound2-plugin-smixer           	      11        0        0        0       11
20198 libatomicparsley0                  	      11        0        0        0       11
20199 libaubio-dev                       	      11        0       11        0        0
20200 libavdevice55                      	      11        0        0        0       11
20201 libavfilter5                       	      11        0        0        0       11
20202 libayatana-indicator3-dev          	      11        0       11        0        0
20203 libbemenu0                         	      11        0        0        0       11
20204 libbobcat6                         	      11        0        1        0       10
20205 libboost-context1.62.0             	      11        0        0        0       11
20206 libboost-doc                       	      11        0        0        0       11
20207 libboost-python1.62.0              	      11        0        0        0       11
20208 libboost-serialization1.62.0       	      11        0        0        0       11
20209 libboost-serialization1.67-dev     	      11        0        0        0       11
20210 libcamlp-streams-ocaml             	      11        0        3        0        8
20211 libcgi-session-perl                	      11        0       11        0        0
20212 libchipmunk7                       	      11        0        0        0       11
20213 libclang-19-dev                    	      11        3        7        1        0
20214 libclang-rt-18-dev                 	      11        0       11        0        0
20215 libclang1-3.9                      	      11        0       11        0        0
20216 libclass-std-fast-perl             	      11        0       11        0        0
20217 libcmap4                           	      11        1        3        0        7
20218 libcob4-dev                        	      11        0       11        0        0
20219 libcompiler-libs-ocaml-dev         	      11        0       11        0        0
20220 libconfig-ini-perl                 	      11        0       11        0        0
20221 libcookie-baker-xs-perl            	      11        0        2        0        9
20222 libcrypt-blowfish-perl             	      11        0        0        0       11
20223 libcrystalhd-dev                   	      11        0       11        0        0
20224 libcudadebugger1                   	      11        0        0        0       11
20225 libcurl4-nss-dev                   	      11        0       10        1        0
20226 libdb5.3++-dev                     	      11        0       11        0        0
20227 libdicomscope-jni                  	      11        0        0        0       11
20228 libdocopt0                         	      11        0        0        0       11
20229 libdrm-amdgpu-radeon1              	      11        2        6        0        3
20230 libdsm3                            	      11        0        0        0       11
20231 libdv4-dev                         	      11        0       11        0        0
20232 libecm-dev                         	      11        0        0        0       11
20233 libenet-dev                        	      11        0       11        0        0
20234 libetpan-dev                       	      11        1       10        0        0
20235 libfcft4t64                        	      11        0        2        0        9
20236 libfile-keepass-perl               	      11        0       11        0        0
20237 libflatzebra-0.1-2v5               	      11        0        0        0       11
20238 libflint-dev                       	      11        0       11        0        0
20239 libfm-tools                        	      11        0       10        1        0
20240 libfreehep-export-java             	      11        0        0        0       11
20241 libg15-1                           	      11        2        1        0        8
20242 libg15render1                      	      11        2        1        0        8
20243 libgammu8                          	      11        0        0        0       11
20244 libganv-1-1v5                      	      11        0        0        0       11
20245 libgd-graph3d-perl                 	      11        1       10        0        0
20246 libgetopt-java                     	      11        0        0        0       11
20247 libgetopt-simple-perl              	      11        0       11        0        0
20248 libghc-ansi-terminal-dev           	      11        1       10        0        0
20249 libghc-base-compat-batteries-dev   	      11        1       10        0        0
20250 libghc-case-insensitive-dev        	      11        1       10        0        0
20251 libghc-indexed-traversable-instances-dev	      11        1       10        0        0
20252 libghc-mono-traversable-dev        	      11        0       11        0        0
20253 libghc-onetuple-dev                	      11        1       10        0        0
20254 libghc-parallel-dev                	      11        1       10        0        0
20255 libghc-text-short-dev              	      11        1       10        0        0
20256 libghc-time-compat-dev             	      11        1       10        0        0
20257 libghc-vector-algorithms-dev       	      11        0       11        0        0
20258 libghc-xml-types-dev               	      11        0       11        0        0
20259 libgiac0                           	      11        0        0        0       11
20260 libgig9                            	      11        0        0        0       11
20261 libgl1-nvidia-legacy-390xx-glvnd-glx	      11        0        0        0       11
20262 libglusterd0                       	      11        0        0        0       11
20263 libgmerlin-avdec1                  	      11        0        0        0       11
20264 libgmime-2.6-dev                   	      11        0       11        0        0
20265 libgnuradio-pmt3.8.2               	      11        0        0        0       11
20266 libgnuradio-runtime3.8.2           	      11        0        0        0       11
20267 libgrantleetheme-plugins           	      11        0        0        0       11
20268 libgstreamer-plugins-bad1.0-dev    	      11        0       11        0        0
20269 libgtkpod1                         	      11        0        0        0       11
20270 libguichan-sdl-0.8.1-1v5           	      11        0        0        0       11
20271 libguvcview-2.2                    	      11        0        0        0       11
20272 libhdf5-cpp-103                    	      11        0        0        0       11
20273 libhdf5-openmpi-310                	      11        0        0        0       11
20274 libheaptrack                       	      11        0        9        2        0
20275 libhtml-scrubber-perl              	      11        0       11        0        0
20276 libhugs-base-bundled               	      11        0       11        0        0
20277 libhugs-haskell98-bundled          	      11        0       11        0        0
20278 libinput5                          	      11        0        0        0       11
20279 libio-socket-multicast-perl        	      11        0        0        0       11
20280 libitpp8v5                         	      11        0        0        0       11
20281 libjackrabbit-java                 	      11        0        0        0       11
20282 libjose0                           	      11        0        0        0       11
20283 libk3b8t64                         	      11        0        0        0       11
20284 libkdb3-4abi1                      	      11        0        0        0       11
20285 libkdcraw3-trinity                 	      11        0        0        0       11
20286 libkdegames6-6                     	      11        0        0        0       11
20287 libkexiv2-3-trinity                	      11        0        0        0       11
20288 libkf5akonadicore-bin              	      11        0        0        0       11
20289 libkf5crash-doc                    	      11        0        0        0       11
20290 libkf5mime5                        	      11        0        0        0       11
20291 libkf6textaddonswidgets1           	      11        7        2        0        2
20292 libkf6textcustomeditor1            	      11        7        2        0        2
20293 libkf6textedittexttospeech1        	      11        7        2        0        2
20294 libkf6textemoticonscore1           	      11        7        2        0        2
20295 libkf6textemoticonswidgets1        	      11        7        2        0        2
20296 libkf6textutils1                   	      11        8        2        0        1
20297 libkipi0-trinity                   	      11        0        0        0       11
20298 libknot13                          	      11        2        4        0        5
20299 libkomparediff2-6                  	      11        0        0        0       11
20300 libkontactinterface-data           	      11        0        0        0       11
20301 libkpim6akonadicontactcore6        	      11        8        2        0        1
20302 libkpim6akonadicontactwidgets6     	      11        8        2        0        1
20303 libkpim6akonadimime6               	      11        7        2        0        2
20304 libkpim6grantleetheme6             	      11        8        2        0        1
20305 libkpim6identitymanagementcore6    	      11        7        2        0        2
20306 libkpim6mime6                      	      11        8        2        0        1
20307 libkpim6textedit6                  	      11        7        2        0        2
20308 libkpropertycore3-4                	      11        0        0        0       11
20309 libkpropertywidgets3-4             	      11        0        0        0       11
20310 libkreport3-4                      	      11        0        0        0       11
20311 libksieve-data                     	      11        0        0        0       11
20312 liblfunction1                      	      11        0        0        0       11
20313 libllvm10                          	      11        0        0        0       11
20314 libllvm15t64                       	      11        0        0        0       11
20315 libmagickcore5-extra               	      11        0        0        0       11
20316 libmartchus-qtutilities6           	      11        1        6        0        4
20317 libmaven-reporting-api-java        	      11        0        0        0       11
20318 libmixin-linewise-perl             	      11        0       11        0        0
20319 libmoosex-getopt-perl              	      11        2        9        0        0
20320 libneatvnc0                        	      11        0        0        0       11
20321 libnetcdf-cxx-legacy-dev           	      11        0       11        0        0
20322 libnextcloudsync0t64               	      11        1        6        0        4
20323 libnvidia-legacy-340xx-glcore      	      11        1        4        0        6
20324 libnvidia-legacy-390xx-cuda1       	      11        2        0        0        9
20325 libobasis24.8-base                 	      11        0        0        0       11
20326 libobasis24.8-calc                 	      11        0        1        0       10
20327 libobasis24.8-core                 	      11        0        1        0       10
20328 libobasis24.8-en-us                	      11        0        0        0       11
20329 libobasis24.8-gnome-integration    	      11        0        1        0       10
20330 libobasis24.8-graphicfilter        	      11        0        0        0       11
20331 libobasis24.8-images               	      11        0        0        0       11
20332 libobasis24.8-onlineupdate         	      11        0        1        0       10
20333 libobasis24.8-ooofonts             	      11        0        1        0       10
20334 libobasis24.8-ooolinguistic        	      11        0        0        0       11
20335 libobasis24.8-pyuno                	      11        0       11        0        0
20336 libobasis24.8-writer               	      11        0        1        0       10
20337 libonig-dev                        	      11        1       10        0        0
20338 libopencv-contrib410               	      11        0        0        0       11
20339 libopencv-core2.3                  	      11        0        0        0       11
20340 libopencv-highgui410               	      11        0        0        0       11
20341 libopencv-shape406t64              	      11        0        0        0       11
20342 libopencv-stitching406t64          	      11        0        0        0       11
20343 libopenh264-5                      	      11        1        1        0        9
20344 libopenimageio2.5                  	      11        0        0        0       11
20345 libopensync0                       	      11        0       11        0        0
20346 libosdcpu3.6.0                     	      11        0        0        0       11
20347 libosdgpu3.6.0                     	      11        0        0        0       11
20348 libpackagekitqt5-0                 	      11        0        0        0       11
20349 libpangomm-2.48-1                  	      11        0        0        0       11
20350 libpimcommon-data                  	      11        0        0        0       11
20351 libplanarity0                      	      11        0        0        0       11
20352 libplexus-i18n-java                	      11        0        0        0       11
20353 libplexus-velocity-java            	      11        0        0        0       11
20354 libpoppler-qt5-dev                 	      11        0       10        1        0
20355 libpoppler19                       	      11        0        0        0       11
20356 libproxy0                          	      11        0        0        0       11
20357 libpthread-stubs0                  	      11        0        0        0       11
20358 libqcow-utils                      	      11        0       11        0        0
20359 libqscintilla2-qt5-dev             	      11        0       11        0        0
20360 libqt53dquickrender5               	      11        0        0        0       11
20361 libqt5nfc5                         	      11        0        0        0       11
20362 libqt5waylandcompositor5-dev       	      11        0       11        0        0
20363 librav1e-dev                       	      11        0       11        0        0
20364 libreoffice-core-nogui             	      11        0       10        1        0
20365 libreoffice-help-sv                	      11        0        0        0       11
20366 libreoffice-l10n-da                	      11        0       11        0        0
20367 libreoffice24.8                    	      11        0        1        0       10
20368 libreoffice24.8-calc               	      11        0        0        0       11
20369 libreoffice24.8-debian-menus       	      11        0       11        0        0
20370 libreoffice24.8-dict-en            	      11        0        0        0       11
20371 libreoffice24.8-en-us              	      11        0        0        0       11
20372 libreoffice24.8-ure                	      11        0        1        0       10
20373 libreoffice24.8-writer             	      11        0        0        0       11
20374 libreoffice7.4-ure                 	      11        0        0        0       11
20375 libroar2                           	      11        0        0        0       11
20376 librust-adler-dev                  	      11        0        0        0       11
20377 librust-ahash-dev                  	      11        0        0        0       11
20378 librust-bumpalo-dev                	      11        0        0        0       11
20379 librust-crc32fast-dev              	      11        0        0        0       11
20380 librust-flate2-dev                 	      11        0        0        0       11
20381 librust-miniz-oxide-dev            	      11        0        0        0       11
20382 librust-num-cpus-dev               	      11        0        0        0       11
20383 librust-ppv-lite86-dev             	      11        0        0        0       11
20384 librust-quickcheck-dev             	      11        0        0        0       11
20385 librust-quote+proc-macro-dev       	      11        0        0        0       11
20386 librust-rand-chacha-dev            	      11        0        0        0       11
20387 librust-rand-core+getrandom-dev    	      11        0        0        0       11
20388 librust-rand-core+serde-dev        	      11        0        0        0       11
20389 librust-rand-core+std-dev          	      11        0        0        0       11
20390 librust-rand-core-dev              	      11        0        0        0       11
20391 librust-rand-dev                   	      11        0        0        0       11
20392 librust-termcolor-dev              	      11        0        0        0       11
20393 librust-winapi-util-dev            	      11        0        0        0       11
20394 libset-infinite-perl               	      11        0       11        0        0
20395 libshp-dev                         	      11        0       11        0        0
20396 libsigc++-3.0-dev                  	      11        0       11        0        0
20397 libsoap-wsdl-perl                  	      11        0       11        0        0
20398 libsofia-sip-ua-glib3              	      11        1        0        0       10
20399 libspice-client-gtk-3.0-4          	      11        0        0        0       11
20400 libspring-instrument-java          	      11        0        0        0       11
20401 libstd-rust-1.48                   	      11        0        0        0       11
20402 libstdlib-ocaml                    	      11        0        1        0       10
20403 libstdlib-ocaml-dev                	      11        0       11        0        0
20404 libsvtav1enc-dev                   	      11        0        7        0        4
20405 libsys-mmap-perl                   	      11        1        4        0        6
20406 libsys-syscall-perl                	      11        4        7        0        0
20407 libtachyon-mt-0                    	      11        0        0        0       11
20408 libtelepathy-logger-qt5            	      11        0        0        0       11
20409 libterm-table-perl                 	      11        0       11        0        0
20410 libtextwrap1                       	      11        0        0        0       11
20411 libtogl2                           	      11        0       11        0        0
20412 libtorch-test                      	      11        0       10        1        0
20413 libu2f-host0                       	      11        0        0        0       11
20414 libucx-dev                         	      11        0       11        0        0
20415 libukui-log4qt1                    	      11        0        0        0       11
20416 libunibreak-dev                    	      11        0       11        0        0
20417 libunicode-maputf8-perl            	      11        2        9        0        0
20418 libvdpau-doc                       	      11        0        0        0       11
20419 libverto-libev1t64                 	      11        0        0        0       11
20420 libverto1t64                       	      11        0        0        0       11
20421 libvidstab-dev                     	      11        0       11        0        0
20422 libvidstab1.0                      	      11        0        0        0       11
20423 libvolk2.4                         	      11        0        0        0       11
20424 libvolpack1                        	      11        0        0        0       11
20425 libvpb1                            	      11        0       11        0        0
20426 libvte-2.91-doc                    	      11        0        0        0       11
20427 libvtk9                            	      11        0        0        0       11
20428 libvtk9-java                       	      11        0       11        0        0
20429 libwebp2                           	      11        0        0        0       11
20430 libwiretap4                        	      11        0        0        0       11
20431 libwps-0.2-2                       	      11        0        0        0       11
20432 libwsutil4                         	      11        0        0        0       11
20433 libwww-opensearch-perl             	      11        0       11        0        0
20434 libx265-176                        	      11        1        1        0        9
20435 libx265-51                         	      11        0        0        0       11
20436 libxencall1t64                     	      11        4        7        0        0
20437 libxendevicemodel1t64              	      11        4        7        0        0
20438 libxenevtchn1t64                   	      11        4        7        0        0
20439 libxenforeignmemory1t64            	      11        4        7        0        0
20440 libxengnttab1t64                   	      11        4        7        0        0
20441 libxenhypfs1t64                    	      11        4        7        0        0
20442 libxenmisc4.19                     	      11        6        3        1        1
20443 libxentoolcore1t64                 	      11        4        7        0        0
20444 libxentoollog1t64                  	      11        4        7        0        0
20445 libxlsxwriter4                     	      11        0        0        0       11
20446 libxml-dumper-perl                 	      11        2        9        0        0
20447 libxml-tokeparser-perl             	      11        0       11        0        0
20448 libzlcore0.13t64                   	      11        0        0        0       11
20449 linux-doc-4.9                      	      11        0       11        0        0
20450 linux-headers-4.19.0-20-common     	      11        0       11        0        0
20451 linux-headers-4.19.0-27-amd64      	      11        0       11        0        0
20452 linux-headers-4.9.0-19-amd64       	      11        0       11        0        0
20453 linux-headers-4.9.0-6-common       	      11        0       11        0        0
20454 linux-headers-4.9.0-9-amd64        	      11        0       11        0        0
20455 linux-headers-5.10.0-12-amd64      	      11        0       11        0        0
20456 linux-headers-5.10.0-13-amd64      	      11        0       11        0        0
20457 linux-headers-5.10.0-18-amd64      	      11        0       10        0        1
20458 linux-headers-5.10.0-18-common     	      11        0       10        0        1
20459 linux-headers-5.10.0-24-common     	      11        0       11        0        0
20460 linux-headers-5.10.0-8-amd64       	      11        0       11        0        0
20461 linux-headers-6.10.11+bpo-common   	      11        0       11        0        0
20462 linux-image-3.2.0-4-amd64          	      11        2        9        0        0
20463 linux-image-6.11.0                 	      11        0       10        0        1
20464 linux-image-6.9.7+bpo-amd64        	      11        1       10        0        0
20465 linux-image-cloud-amd64            	      11        0        0        0       11
20466 linux-image-vserver-4.9-beng       	      11        0        0        0       11
20467 linux-kbuild-6.11.10+bpo           	      11        0        0        0       11
20468 linux-kbuild-6.12.12               	      11        0        0        0       11
20469 linux-perf-5.10                    	      11        0       10        1        0
20470 linux-source-4.9                   	      11        0        0        0       11
20471 live-build                         	      11        2        9        0        0
20472 llvm-18-linker-tools               	      11        2        9        0        0
20473 lmdb-doc                           	      11        0        0        0       11
20474 lua-readline                       	      11        0        0        0       11
20475 m17n-docs                          	      11        0        0        0       11
20476 mariadb-test                       	      11        0       10        1        0
20477 marsshooter-data                   	      11        0        0        0       11
20478 mate-netbook-common                	      11        0        0        0       11
20479 mbrola-us1                         	      11        0        0        0       11
20480 messagelib-data                    	      11        0        0        0       11
20481 mhonarc                            	      11        1       10        0        0
20482 minetest-mod-basic-materials       	      11        0        0        0       11
20483 minetest-mod-moreblocks            	      11        0        0        0       11
20484 minetest-server                    	      11        1       10        0        0
20485 mirrormagic                        	      11        0       11        0        0
20486 mirrormagic-data                   	      11        0        0        0       11
20487 mkchromecast                       	      11        0       11        0        0
20488 mmc-utils                          	      11        0       11        0        0
20489 moarvm                             	      11        1       10        0        0
20490 module-init-tools                  	      11        0        0        0       11
20491 mopidy                             	      11        0       10        1        0
20492 munin-async                        	      11        0       11        0        0
20493 myspell-pl                         	      11        0        0        0       11
20494 mysqltuner                         	      11        1       10        0        0
20495 nagios-plugins-basic               	      11        0        0        0       11
20496 nbd-client                         	      11        0       11        0        0
20497 ncbi-data                          	      11        0       11        0        0
20498 ne-doc                             	      11        0        0        0       11
20499 netsniff-ng                        	      11        1       10        0        0
20500 node-cross-spawn                   	      11        1       10        0        0
20501 node-fs-vacuum                     	      11        1        5        0        5
20502 node-libnpx                        	      11        1        9        0        1
20503 notify-osd                         	      11        3        0        0        8
20504 nqp                                	      11        1       10        0        0
20505 nqp-data                           	      11        0        0        0       11
20506 nvidia-legacy-390xx-driver-libs    	      11        0        0        0       11
20507 nvidia-legacy-390xx-egl-icd        	      11        0        0        0       11
20508 nvidia-legacy-390xx-smi            	      11        2        9        0        0
20509 nvidia-legacy-390xx-vulkan-icd     	      11        0        0        0       11
20510 octave-dev                         	      11        0       10        1        0
20511 octave-statistics                  	      11        0        0        0       11
20512 ogmrip                             	      11        1       10        0        0
20513 okular-mobile                      	      11        1       10        0        0
20514 opencc                             	      11        0       10        1        0
20515 openexr                            	      11        0       11        0        0
20516 openjdk-17-source                  	      11        0       10        1        0
20517 openoffice-brand-math              	      11        0        0        0       11
20518 openoffice-debian-menus            	      11        2        9        0        0
20519 openoffice-javafilter              	      11        0        0        0       11
20520 openoffice-math                    	      11        0        0        0       11
20521 openoffice-ogltrans                	      11        0        0        0       11
20522 openoffice-onlineupdate            	      11        1        2        0        8
20523 openpaperwork-core                 	      11        1       10        0        0
20524 openpaperwork-gtk                  	      11        1       10        0        0
20525 packagesearch                      	      11        0       10        1        0
20526 parchive                           	      11        1       10        0        0
20527 pcregrep                           	      11        0       10        1        0
20528 pekwm                              	      11        1       10        0        0
20529 pflogsumm                          	      11        2        9        0        0
20530 phlipple                           	      11        0       11        0        0
20531 phlipple-data                      	      11        0        0        0       11
20532 php-xdebug                         	      11        0        2        0        9
20533 php5.6-cli                         	      11        5        6        0        0
20534 php5.6-json                        	      11        5        6        0        0
20535 php5.6-opcache                     	      11        5        6        0        0
20536 php5.6-readline                    	      11        5        6        0        0
20537 php7.0-sqlite3                     	      11        3        8        0        0
20538 php7.3-soap                        	      11        5        6        0        0
20539 php8.0                             	      11        0        0        0       11
20540 php8.0-zip                         	      11        5        6        0        0
20541 php8.1-intl                        	      11        3        8        0        0
20542 php8.2-xmlrpc                      	      11        6        5        0        0
20543 php8.2-yaml                        	      11        3        8        0        0
20544 php8.3-cli                         	      11        3        7        1        0
20545 php8.3-common                      	      11        4        7        0        0
20546 php8.3-gd                          	      11        4        7        0        0
20547 php8.3-mbstring                    	      11        4        7        0        0
20548 php8.3-opcache                     	      11        4        7        0        0
20549 php8.3-readline                    	      11        4        7        0        0
20550 pianobooster                       	      11        2        9        0        0
20551 pidgin-gnome-keyring               	      11        2        9        0        0
20552 pidgin-privacy-please              	      11        0        1        0       10
20553 pipewalker                         	      11        0       11        0        0
20554 polkit-kde-1                       	      11        1        2        0        8
20555 pps-tools                          	      11        1       10        0        0
20556 proftpd-mod-crypto                 	      11        4        7        0        0
20557 proftpd-mod-wrap                   	      11        4        7        0        0
20558 projectm-jack                      	      11        0       11        0        0
20559 pybik                              	      11        0       11        0        0
20560 pybik-bin                          	      11        0       11        0        0
20561 python-antlr                       	      11        0       11        0        0
20562 python-beautifulsoup               	      11        0       11        0        0
20563 python-cartopy-data                	      11        0        0        0       11
20564 python-cryptography-doc            	      11        0        0        0       11
20565 python-fasteners                   	      11        1       10        0        0
20566 python-gnome2                      	      11        0        0        0       11
20567 python-html5-parser                	      11        0       11        0        0
20568 python-jinja2-doc                  	      11        0        0        0       11
20569 python-pil-doc                     	      11        0        0        0       11
20570 python-py                          	      11        1       10        0        0
20571 python-pysqlite2                   	      11        0       11        0        0
20572 python-qt4-gl                      	      11        0       11        0        0
20573 python-sqlalchemy-ext              	      11        0       10        1        0
20574 python-twisted                     	      11        0        1        0       10
20575 python-werkzeug                    	      11        0       10        0        1
20576 python-wnck                        	      11        0       11        0        0
20577 python-xlwt                        	      11        0       10        0        1
20578 python2.7-doc                      	      11        0        0        0       11
20579 python3-astunparse                 	      11        0       11        0        0
20580 python3-authres                    	      11        2        9        0        0
20581 python3-cftime                     	      11        0       10        1        0
20582 python3-colour                     	      11        0       11        0        0
20583 python3-confuse                    	      11        0       11        0        0
20584 python3-construct                  	      11        0       11        0        0
20585 python3-dbusmock                   	      11        0        8        3        0
20586 python3-eventlet                   	      11        1       10        0        0
20587 python3-freezegun                  	      11        0       11        0        0
20588 python3-grpcio                     	      11        1       10        0        0
20589 python3-jwcrypto                   	      11        0       11        0        0
20590 python3-logutils                   	      11        1       10        0        0
20591 python3-mistletoe                  	      11        0       11        0        0
20592 python3-munch                      	      11        0       11        0        0
20593 python3-oslo.config                	      11        0       11        0        0
20594 python3-oslo.serialization         	      11        0       11        0        0
20595 python3-pastedeploy-tpl            	      11        0        0        0       11
20596 python3-patsy                      	      11        0       11        0        0
20597 python3-pkgconfig                  	      11        0       11        0        0
20598 python3-poetry-core                	      11        0       11        0        0
20599 python3-pygame-sdl2                	      11        3        8        0        0
20600 python3-pyqt6.qthelp               	      11        0        8        3        0
20601 python3-pyqt6.qtserialport         	      11        0        8        3        0
20602 python3-pytools                    	      11        0       11        0        0
20603 python3-smbus                      	      11        0       10        1        0
20604 python3-sqlglot                    	      11        0        9        2        0
20605 python3-stemmer                    	      11        0       10        1        0
20606 python3-unpaddedbase64             	      11        1       10        0        0
20607 python3-websockify                 	      11        0       11        0        0
20608 qbs-common                         	      11        0        0        0       11
20609 qemu-system-xen                    	      11        0        4        0        7
20610 qgo                                	      11        0       11        0        0
20611 qjackrcd                           	      11        0       11        0        0
20612 qmidiroute                         	      11        0       11        0        0
20613 qml-module-org-kde-okular          	      11        0        0        0       11
20614 qml6-module-qttest                 	      11        0        0        0       11
20615 qmmp-plugin-projectm               	      11        1       10        0        0
20616 qshutdown                          	      11        1       10        0        0
20617 qt3d5-doc-html                     	      11        0        0        0       11
20618 qt4-dev-tools                      	      11        0       11        0        0
20619 qt5-default                        	      11        0        0        0       11
20620 qt5-xdgdesktopportal-platformtheme 	      11        0        0        0       11
20621 qtpass                             	      11        0       11        0        0
20622 qtquickcontrols2-5-doc-html        	      11        0        0        0       11
20623 qtquickcontrols5-doc-html          	      11        0        0        0       11
20624 qtsensors5-doc-html                	      11        0        0        0       11
20625 qttools5-doc-html                  	      11        0        0        0       11
20626 quarry                             	      11        0       11        0        0
20627 r-cran-arm                         	      11        1       10        0        0
20628 r-cran-expm                        	      11        1       10        0        0
20629 r-cran-modelr                      	      11        3        8        0        0
20630 r-cran-rvest                       	      11        3        8        0        0
20631 ragel                              	      11        0       11        0        0
20632 rakudo                             	      11        1       10        0        0
20633 readstat                           	      11        1       10        0        0
20634 redeclipse                         	      11        1       10        0        0
20635 redeclipse-common                  	      11        0        0        0       11
20636 redeclipse-data                    	      11        0        0        0       11
20637 rootlesskit                        	      11        0       10        1        0
20638 rovclock                           	      11        0       10        1        0
20639 rsh-server                         	      11        1       10        0        0
20640 rst2pdf                            	      11        0       11        0        0
20641 rsyslog-gnutls                     	      11        2        2        0        7
20642 rubber                             	      11        1       10        0        0
20643 ruby-actioncable                   	      11        0       10        1        0
20644 ruby-activestorage                 	      11        0       10        1        0
20645 ruby-byebug                        	      11        0       11        0        0
20646 ruby-classifier-reborn             	      11        1        9        1        0
20647 ruby-coffee-script-source          	      11        0       11        0        0
20648 ruby-colorator                     	      11        2        9        0        0
20649 ruby-columnize                     	      11        0       11        0        0
20650 ruby-crass                         	      11        0       11        0        0
20651 ruby-fast-stemmer                  	      11        1        9        1        0
20652 ruby-forwardable-extended          	      11        2        9        0        0
20653 ruby-jekyll-feed                   	      11        2        9        0        0
20654 ruby-jekyll-sass-converter         	      11        2        9        0        0
20655 ruby-jekyll-watch                  	      11        2        9        0        0
20656 ruby-mercenary                     	      11        2        9        0        0
20657 ruby-pathutil                      	      11        2        9        0        0
20658 ruby-regexp-parser                 	      11        0       11        0        0
20659 ruby-websocket-driver              	      11        0       11        0        0
20660 ruby-websocket-extensions          	      11        0       11        0        0
20661 ruby-yajl                          	      11        1        9        1        0
20662 science-config                     	      11        0       11        0        0
20663 science-tasks                      	      11        0        0        0       11
20664 screenkey                          	      11        0       10        1        0
20665 scummvm-tools                      	      11        0       10        1        0
20666 sdcc-ucsim                         	      11        0       10        1        0
20667 shared-desktop-ontologies          	      11        0        0        0       11
20668 simple-ccsm                        	      11        2        5        4        0
20669 sludge-engine                      	      11        0       11        0        0
20670 snd                                	      11        0        0        0       11
20671 snd-gui-jack                       	      11        1       10        0        0
20672 snort-rules-default                	      11        0        0        0       11
20673 spek                               	      11        0       11        0        0
20674 spring                             	      11        0       11        0        0
20675 spring-common                      	      11        0        0        0       11
20676 springlobby                        	      11        0       11        0        0
20677 sssd-passkey                       	      11        0        0        0       11
20678 stax                               	      11        0       11        0        0
20679 streamer                           	      11        0       11        0        0
20680 strongswan-swanctl                 	      11        4        7        0        0
20681 surfraw-extra                      	      11        0       11        0        0
20682 sympow                             	      11        0       11        0        0
20683 sympow-data                        	      11        0       11        0        0
20684 syncthingtray                      	      11        1       10        0        0
20685 synfig-examples                    	      11        0        0        0       11
20686 tachyon-bin-nox                    	      11        0       11        0        0
20687 tangerine-icon-theme               	      11        0        0        0       11
20688 task-norwegian-kde-desktop         	      11        0        0        0       11
20689 tcl-vfs                            	      11        0        1        0       10
20690 tclfitsy                           	      11        0        0        0       11
20691 teeworlds                          	      11        0       11        0        0
20692 telepathy-accounts-signon          	      11        0        6        0        5
20693 tesseract-ocr-ell                  	      11        0        0        0       11
20694 tesseract-ocr-ita-old              	      11        0        0        0       11
20695 tesseract-ocr-ron                  	      11        0        0        0       11
20696 tetzle                             	      11        0       11        0        0
20697 tftpd                              	      11        3        8        0        0
20698 tinyproxy-bin                      	      11        1       10        0        0
20699 tio                                	      11        0       11        0        0
20700 tkremind                           	      11        1        8        2        0
20701 tor-arm                            	      11        0        0        0       11
20702 trimage                            	      11        1       10        0        0
20703 tweak                              	      11        0       11        0        0
20704 ubuntu-cloud-keyring               	      11        0        0        0       11
20705 udhcpd                             	      11        2        9        0        0
20706 unionfs-fuse                       	      11        0       11        0        0
20707 uqm                                	      11        0       11        0        0
20708 uqm-content                        	      11        0        0        0       11
20709 uqm-music                          	      11        0        0        0       11
20710 uucp                               	      11        4        7        0        0
20711 v4l2loopback-source                	      11        0        0        0       11
20712 valgrind-mpi                       	      11        0        1        0       10
20713 vbrfix                             	      11        0       11        0        0
20714 veroroute                          	      11        0       11        0        0
20715 vim-athena                         	      11        0        4        0        7
20716 vlc-plugin-vlsub                   	      11        0        0        0       11
20717 vpb-driver-source                  	      11        0        0        0       11
20718 vpx-tools                          	      11        2        8        1        0
20719 wbar                               	      11        3        8        0        0
20720 wdiff-doc                          	      11        0        0        0       11
20721 weather-util-data                  	      11        0        0        0       11
20722 wesnoth-1.14-data                  	      11        0        0        0       11
20723 wesnoth-1.18                       	      11        0       11        0        0
20724 wicd-curses                        	      11        1       10        0        0
20725 winehq-staging                     	      11        1       10        0        0
20726 winff-qt                           	      11        0       11        0        0
20727 winpr-utils                        	      11        0       11        0        0
20728 wireguard-dkms                     	      11        0       11        0        0
20729 wlr-randr                          	      11        0       11        0        0
20730 wmcpuload                          	      11        1       10        0        0
20731 wmmon                              	      11        2        9        0        0
20732 wordplay                           	      11        0       11        0        0
20733 wp2x                               	      11        2        9        0        0
20734 xball                              	      11        1        9        1        0
20735 xchat-common                       	      11        0       11        0        0
20736 xdgmenumaker                       	      11        0       11        0        0
20737 xdms                               	      11        1       10        0        0
20738 xen-hypervisor-common              	      11        0        0        0       11
20739 xen-system-amd64                   	      11        0        0        0       11
20740 xfce4-quicklauncher-plugin         	      11        0        0        0       11
20741 xfce4-statusnotifier-plugin        	      11        0        0        0       11
20742 xfonts-biznet-75dpi                	      11        0        0        0       11
20743 xfonts-cronyx-100dpi               	      11        0        1        0       10
20744 xfonts-intl-chinese-big            	      11        0        0        0       11
20745 xfonts-nexus                       	      11        0        0        0       11
20746 xfonts-shinonome                   	      11        0        0        0       11
20747 xfractint                          	      11        0       11        0        0
20748 xhtml2ps                           	      11        0       11        0        0
20749 xlog                               	      11        1       10        0        0
20750 xlog-data                          	      11        0        0        0       11
20751 xmlsec1                            	      11        1       10        0        0
20752 xmms2-plugin-faad                  	      11        1       10        0        0
20753 xmms2-plugin-flv                   	      11        1       10        0        0
20754 xmms2-plugin-html                  	      11        1       10        0        0
20755 xmms2-plugin-pls                   	      11        1       10        0        0
20756 xmobar                             	      11        2        9        0        0
20757 xpenguins                          	      11        1       10        0        0
20758 xphoon                             	      11        4        7        0        0
20759 xscorch                            	      11        0       11        0        0
20760 yarn                               	      11        1       10        0        0
20761 ytfzf                              	      11        0       11        0        0
20762 z80asm                             	      11        0       11        0        0
20763 zita-ajbridge                      	      11        0       11        0        0
20764 aacskeys                           	      10        1        9        0        0
20765 aaphoto                            	      10        0        9        1        0
20766 abcmidi                            	      10        0       10        0        0
20767 abi-compliance-checker             	      10        1        9        0        0
20768 adplug-utils                       	      10        0       10        0        0
20769 afio                               	      10        1        9        0        0
20770 afterstep                          	      10        1        9        0        0
20771 aggregate                          	      10        2        7        1        0
20772 amfora                             	      10        0       10        0        0
20773 amide                              	      10        0       10        0        0
20774 ansible-lint                       	      10        0        9        1        0
20775 antennavis                         	      10        1        8        1        0
20776 apvlv                              	      10        1        9        0        0
20777 argon2                             	      10        0       10        0        0
20778 ario                               	      10        0        9        1        0
20779 arqiver                            	      10        3        7        0        0
20780 ascii2binary                       	      10        2        8        0        0
20781 aspell-ca                          	      10        0       10        0        0
20782 aspnetcore-runtime-6.0             	      10        0        0        0       10
20783 aspnetcore-targeting-pack-6.0      	      10        0        0        0       10
20784 asterisk-voicemail                 	      10        3        7        0        0
20785 atanks                             	      10        0        9        1        0
20786 atanks-data                        	      10        0        0        0       10
20787 atmel-firmware                     	      10        0        9        1        0
20788 autodia                            	      10        0       10        0        0
20789 avahi-dnsconfd                     	      10        4        6        0        0
20790 ax25-tools                         	      10        1        9        0        0
20791 baresip-ffmpeg                     	      10        0       10        0        0
20792 baresip-x11                        	      10        1        9        0        0
20793 bash-static                        	      10        1        9        0        0
20794 basket                             	      10        1        9        0        0
20795 basket-data                        	      10        0        0        0       10
20796 bcache-tools                       	      10        0       10        0        0
20797 bibclean                           	      10        0       10        0        0
20798 bible-kjv                          	      10        0       10        0        0
20799 birdfont                           	      10        1        9        0        0
20800 blocks-of-the-undead               	      10        0       10        0        0
20801 blocks-of-the-undead-data          	      10        0        0        0       10
20802 borgbackup-doc                     	      10        0        0        0       10
20803 bouncy                             	      10        0       10        0        0
20804 bplay                              	      10        1        9        0        0
20805 burgerspace                        	      10        0       10        0        0
20806 cairosvg                           	      10        0       10        0        0
20807 camorama                           	      10        0       10        0        0
20808 cardpeek                           	      10        1        8        1        0
20809 cardpeek-data                      	      10        0        0        0       10
20810 cc65                               	      10        0        9        1        0
20811 celestia                           	      10        0        0        0       10
20812 cgmanager                          	      10        1        9        0        0
20813 chessx                             	      10        0       10        0        0
20814 clamav-testfiles                   	      10        0        0        0       10
20815 clang-format-19                    	      10        0        7        3        0
20816 clang-tools-11                     	      10        0       10        0        0
20817 cmake-format                       	      10        1        9        0        0
20818 cnee                               	      10        1        9        0        0
20819 coinor-cbc                         	      10        0       10        0        0
20820 colormake                          	      10        1        9        0        0
20821 compface                           	      10        1        9        0        0
20822 concalc                            	      10        1        9        0        0
20823 connectagram                       	      10        0       10        0        0
20824 connectagram-data                  	      10        0        0        0       10
20825 corectrl                           	      10        3        5        2        0
20826 cpufetch                           	      10        0       10        0        0
20827 crazydiskinfo                      	      10        0       10        0        0
20828 criticalmass                       	      10        0       10        0        0
20829 criticalmass-data                  	      10        0        0        0       10
20830 csstidy                            	      10        0       10        0        0
20831 cups-tea4cups                      	      10        2        8        0        0
20832 cutils                             	      10        0       10        0        0
20833 dar-static                         	      10        1        8        1        0
20834 darkstat                           	      10        2        8        0        0
20835 ddd-doc                            	      10        0        0        0       10
20836 debdelta                           	      10        3        7        0        0
20837 debian-podman-config-override      	      10        0        0        0       10
20838 debichem-tasks                     	      10        0        0        0       10
20839 default-d-compiler                 	      10        0        0        0       10
20840 dh-php                             	      10        1        9        0        0
20841 dh-systemd                         	      10        0        0        0       10
20842 dict-freedict-eng-deu              	      10        0        0        0       10
20843 dict-vera                          	      10        1        0        0        9
20844 dlint                              	      10        0       10        0        0
20845 dmtx-utils                         	      10        0       10        0        0
20846 doom-wad-shareware                 	      10        0        0        0       10
20847 doomsday-common                    	      10        0       10        0        0
20848 doomsday-data                      	      10        0        0        0       10
20849 dotnet-apphost-pack-6.0            	      10        0        9        1        0
20850 dotnet-sdk-6.0                     	      10        0        9        1        0
20851 dotnet-targeting-pack-6.0          	      10        0        0        0       10
20852 dovecot-ldap                       	      10        1        9        0        0
20853 dracut-core                        	      10        0        9        1        0
20854 drbd-utils                         	      10        0       10        0        0
20855 drumstick-tools                    	      10        0        8        2        0
20856 dwarfdump                          	      10        1        9        0        0
20857 dxf2gcode                          	      10        0       10        0        0
20858 dxvk                               	      10        0       10        0        0
20859 e2tools                            	      10        1        8        1        0
20860 earlyoom                           	      10        2        7        1        0
20861 ebook2cw                           	      10        0       10        0        0
20862 education-electronics              	      10        0        0        0       10
20863 elpa-f                             	      10        2        8        0        0
20864 elpa-seq                           	      10        0       10        0        0
20865 elpa-transient                     	      10        1        9        0        0
20866 emacs24-bin-common                 	      10        0       10        0        0
20867 emacs24-common                     	      10        0        0        0       10
20868 empathy-common                     	      10        0        0        0       10
20869 epson-inkjet-printer-escpr         	      10        1        8        1        0
20870 equalx                             	      10        1        9        0        0
20871 evolution-plugins-experimental     	      10        1        8        1        0
20872 execline                           	      10        0       10        0        0
20873 facedetect                         	      10        0       10        0        0
20874 falcon-sensor                      	      10        1        9        0        0
20875 fam                                	      10        3        7        0        0
20876 farbfeld                           	      10        0       10        0        0
20877 fasm                               	      10        0       10        0        0
20878 fatattr                            	      10        1        8        1        0
20879 fbcat                              	      10        1        9        0        0
20880 fcitx-mozc                         	      10        0        4        1        5
20881 fcitx5-module-quickphrase-editor   	      10        0        0        0       10
20882 ffmpegfs                           	      10        0       10        0        0
20883 filters                            	      10        0       10        0        0
20884 finch                              	      10        1        9        0        0
20885 firefox-esr-l10n-uk                	      10        1        9        0        0
20886 firefox-l10n-en-gb                 	      10        0        7        3        0
20887 firewall-config                    	      10        2        7        1        0
20888 fluidsynth-dssi                    	      10        0       10        0        0
20889 fonts-babelstone-han               	      10        1        0        0        9
20890 fonts-ddc-uchen                    	      10        1        0        0        9
20891 fonts-ipafont-nonfree-uigothic     	      10        0        0        0       10
20892 fonts-joscelyn                     	      10        0        0        0       10
20893 fonts-motoya-l-maruberi            	      10        0        0        0       10
20894 fonts-nanum-eco                    	      10        0        0        0       10
20895 fonts-sambhota-tsugring            	      10        0        0        0       10
20896 fonts-sambhota-yigchung            	      10        0        0        0       10
20897 fonts-samyak                       	      10        0        0        0       10
20898 fonts-samyak-orya                  	      10        1        0        0        9
20899 fonts-sil-awami-nastaliq           	      10        0        0        0       10
20900 fonts-sil-harmattan                	      10        0        0        0       10
20901 fonts-sil-mondulkiri               	      10        0        0        0       10
20902 fonts-sil-mondulkiri-extra         	      10        0        0        0       10
20903 fonts-sipa-arundina                	      10        0        0        0       10
20904 fonts-taml-tscu                    	      10        0        0        0       10
20905 fonts-thai-tlwg-otf                	      10        0        0        0       10
20906 fonts-thai-tlwg-web                	      10        0        0        0       10
20907 fonts-triod-postnaja               	      10        1        0        0        9
20908 fonts-umeplus-cl                   	      10        0        0        0       10
20909 foobillardplus                     	      10        0       10        0        0
20910 foobillardplus-data                	      10        0        0        0       10
20911 fp-ide-3.2.0                       	      10        0       10        0        0
20912 fp-units-db-3.2.0                  	      10        0        0        0       10
20913 fp-units-fv-3.2.0                  	      10        0        0        0       10
20914 fp-units-math-3.2.0                	      10        0        0        0       10
20915 fp-units-misc                      	      10        0        0        0       10
20916 fp-units-net-3.2.0                 	      10        0        0        0       10
20917 fpa-backup-scripts                 	      10        0        9        1        0
20918 fpa-bash-lib                       	      10        0        9        1        0
20919 fpa-config-sudo                    	      10        0        0        0       10
20920 fpa-general-scripts                	      10        0        9        1        0
20921 fpa-repo-private                   	      10        0        0        0       10
20922 freedm                             	      10        0        9        1        0
20923 freerdp2-wayland                   	      10        0       10        0        0
20924 fstl                               	      10        0       10        0        0
20925 ftools-pow                         	      10        0       10        0        0
20926 ftpd                               	      10        1        2        0        7
20927 funnyboat                          	      10        0       10        0        0
20928 fuseext2                           	      10        0        6        0        4
20929 fusesmb                            	      10        1        9        0        0
20930 gamehub                            	      10        0        9        1        0
20931 games-tetris                       	      10        0        0        0       10
20932 gcc-13-doc                         	      10        0        0        0       10
20933 gcc-14-cross-base                  	      10        0        0        0       10
20934 gcc-8-multilib                     	      10        0        0        0       10
20935 gcj-4.7-base                       	      10        0        0        0       10
20936 gem2deb-test-runner                	      10        0       10        0        0
20937 gettext-el                         	      10        3        7        0        0
20938 gfortran-10-doc                    	      10        0        0        0       10
20939 giftrans                           	      10        2        8        0        0
20940 gimp-help-fr                       	      10        0        0        0       10
20941 gir1.2-girepository-2.0-dev        	      10        0        0        0       10
20942 gir1.2-lightdm-1                   	      10        1        8        0        1
20943 gjacktransport                     	      10        0       10        0        0
20944 gkermit                            	      10        1        9        0        0
20945 gkrellm-tz                         	      10        1        9        0        0
20946 gmt-common                         	      10        0        0        0       10
20947 gmt-dcw                            	      10        0        0        0       10
20948 gnome-js-common                    	      10        0        2        0        8
20949 gnome-themes                       	      10        0        0        0       10
20950 gnome-todo-common                  	      10        0        0        0       10
20951 gnome-tweak-tool                   	      10        0        3        0        7
20952 gnome-usage                        	      10        0       10        0        0
20953 gnome-video-arcade                 	      10        0       10        0        0
20954 golang-golang-x-net-dev            	      10        0        0        0       10
20955 gprolog-doc                        	      10        0        0        0       10
20956 grass-dev                          	      10        0       10        0        0
20957 grdesktop                          	      10        0       10        0        0
20958 grhino                             	      10        0       10        0        0
20959 grhino-data                        	      10        0        0        0       10
20960 grub-firmware-qemu                 	      10        0        0        0       10
20961 gstreamer0.10-nice                 	      10        0        0        0       10
20962 gstreamer0.10-pulseaudio           	      10        1        1        0        8
20963 gstreamer1.0-plugins-rtp           	      10        0        0        0       10
20964 gtkballs                           	      10        1        9        0        0
20965 gtkpod                             	      10        0       10        0        0
20966 gtkpod-data                        	      10        0        0        0       10
20967 guile-3.0-doc                      	      10        0        0        0       10
20968 guymager                           	      10        1        9        0        0
20969 hamster-time-tracker               	      10        1        8        1        0
20970 hannah                             	      10        0       10        0        0
20971 hannah-data                        	      10        0        0        0       10
20972 hardening-includes                 	      10        1        9        0        0
20973 hdapsd                             	      10        1        9        0        0
20974 hex-a-hop-data                     	      10        0        0        0       10
20975 hub                                	      10        0        9        1        0
20976 icecc                              	      10        3        7        0        0
20977 installation-guide-amd64           	      10        0        0        0       10
20978 ipsvd                              	      10        1        9        0        0
20979 iraf                               	      10        0       10        0        0
20980 iraf-noao                          	      10        0       10        0        0
20981 jags                               	      10        1        9        0        0
20982 jedit                              	      10        1        9        0        0
20983 jellyfin-media-player              	      10        2        8        0        0
20984 jgmenu                             	      10        4        5        1        0
20985 jnoisemeter                        	      10        0       10        0        0
20986 jose                               	      10        0       10        0        0
20987 kasts                              	      10        0        8        2        0
20988 kde-config-tablet                  	      10        1        9        0        0
20989 kde-telepathy-data                 	      10        0        2        0        8
20990 kde-zeroconf                       	      10        0        3        0        7
20991 kdevelop56-libs                    	      10        0        0        0       10
20992 keurocalc-data                     	      10        0        0        0       10
20993 kicad-common                       	      10        0        0        0       10
20994 kino                               	      10        2        8        0        0
20995 kiwix                              	      10        1        9        0        0
20996 knot-dnsutils                      	      10        0       10        0        0
20997 kpcli                              	      10        0       10        0        0
20998 kquickcharts-dev                   	      10        0        0        0       10
20999 krb5-k5tls                         	      10        0        0        0       10
21000 lcl-2.0                            	      10        0        0        0       10
21001 lcl-qt5                            	      10        0        0        0       10
21002 lcl-utils-2.0                      	      10        0       10        0        0
21003 leap-archive-keyring               	      10        0        0        0       10
21004 letsencrypt                        	      10        0        0        0       10
21005 lib32gcc-8-dev                     	      10        0        0        0       10
21006 libafterstep1                      	      10        0        0        0       10
21007 libakonadi-kabc4                   	      10        0        0        0       10
21008 libakonadisearch-data              	      10        0        0        0       10
21009 libambix0                          	      10        0        0        0       10
21010 libantic0                          	      10        0        0        0       10
21011 libapache-dbi-perl                 	      10        2        8        0        0
21012 libapache2-mod-svn                 	      10        2        8        0        0
21013 libarray-utils-perl                	      10        0       10        0        0
21014 libasan6-arm64-cross               	      10        0        0        0       10
21015 libasan6-armhf-cross               	      10        0        0        0       10
21016 libasm3-java                       	      10        0        0        0       10
21017 libasm4-java                       	      10        0        0        0       10
21018 libatkmm-1.6-1                     	      10        1        0        0        9
21019 libatomic1-riscv64-cross           	      10        0        0        0       10
21020 libayatana-appindicator3-dev       	      10        0       10        0        0
21021 libayatana-common0                 	      10        2        3        0        5
21022 libbemenu-wayland                  	      10        0        0        0       10
21023 libbibutils8                       	      10        0        0        0       10
21024 libboost-filesystem1.67-dev        	      10        0        0        0       10
21025 libboost-iostreams1.83-dev         	      10        0        0        0       10
21026 libboost-log1.83-dev               	      10        0        0        0       10
21027 libboost-nowide1.83.0              	      10        0        0        0       10
21028 libboost-numpy1.83.0               	      10        0        0        0       10
21029 libboost-python1.83-dev            	      10        0        0        0       10
21030 libboost-signals1.67.0             	      10        0        0        0       10
21031 libboost-timer1.83.0               	      10        0        0        0       10
21032 libboost-wave1.83-dev              	      10        0        0        0       10
21033 libboost-wave1.83.0                	      10        0        0        0       10
21034 libboost1.83-tools-dev             	      10        1        6        3        0
21035 libbotan-2-dev                     	      10        0        9        1        0
21036 libbrial-groebner3                 	      10        0        0        0       10
21037 libbrial3                          	      10        0        0        0       10
21038 libc6-riscv64-cross                	      10        0        0        0       10
21039 libcairomm-1.0-1                   	      10        1        0        0        9
21040 libcalendarsupport-data            	      10        0        0        0       10
21041 libcanberra-gtk3-0t64              	      10        1        0        0        9
21042 libcantorlibs28abi1t64             	      10        0        0        0       10
21043 libcap1                            	      10        1        0        0        9
21044 libcaribou-gtk-module              	      10        0        0        0       10
21045 libcdd-tools                       	      10        0       10        0        0
21046 libcg                              	      10        0        0        0       10
21047 libcggl                            	      10        0        0        0       10
21048 libcgi-application-perl            	      10        0       10        0        0
21049 libcjson-dev                       	      10        0        9        1        0
21050 libclang-11-dev                    	      10        1        9        0        0
21051 libclang-common-3.5-dev            	      10        0       10        0        0
21052 libclang1                          	      10        0        0        0       10
21053 libclang1-14t64                    	      10        2        8        0        0
21054 libclang1-15t64                    	      10        1        9        0        0
21055 libclutter-gst-2.0-0               	      10        0        0        0       10
21056 libcommons-io-java-doc             	      10        0        0        0       10
21057 libcommons-logging-java-doc        	      10        0        0        0       10
21058 libconsole                         	      10        0        0        0       10
21059 libcpp-hocon0.1.7                  	      10        0        0        0       10
21060 libcrypt-cbc-perl                  	      10        2        8        0        0
21061 libcunit1                          	      10        0        0        0       10
21062 libcxsparse4                       	      10        0        0        0       10
21063 libdatetime-hires-perl             	      10        0       10        0        0
21064 libdatetime-set-perl               	      10        0       10        0        0
21065 libdigest-sha-perl                 	      10        2        8        0        0
21066 libdmtx0a                          	      10        1        0        0        9
21067 libdoxia-sitetools-java            	      10        0        0        0       10
21068 libdqlite0                         	      10        2        7        0        1
21069 libdraco8                          	      10        0        0        0       10
21070 libdsdp-5.8gf                      	      10        0        0        0       10
21071 libeantic1                         	      10        0        0        0       10
21072 libeasymock-java                   	      10        0        0        0       10
21073 libec10                            	      10        0        0        0       10
21074 libecasoundc1v5                    	      10        0        0        0       10
21075 libecpg6                           	      10        0        0        0       10
21076 libefivar0                         	      10        0        0        0       10
21077 libencode-imaputf7-perl            	      10        0       10        0        0
21078 libenv-sanctify-perl               	      10        0       10        0        0
21079 libeventviews-data                 	      10        0        0        0       10
21080 libexecline2.9                     	      10        0        1        0        9
21081 libfacter3.11.0                    	      10        0        0        0       10
21082 libfastutil-java                   	      10        0        0        0       10
21083 libfdk-aac0                        	      10        0        0        0       10
21084 libflint-arb-dev                   	      10        0       10        0        0
21085 libfplll8                          	      10        0        0        0       10
21086 libfplll8-data                     	      10        0        0        0       10
21087 libfreecad-python3                 	      10        1        8        1        0
21088 libfreehep-graphicsio-tests-java   	      10        0        0        0       10
21089 libfuse3-4                         	      10        6        0        1        3
21090 libg3d0                            	      10        0        0        0       10
21091 libgap8                            	      10        0        0        0       10
21092 libgcc-s1-riscv64-cross            	      10        0        0        0       10
21093 libgconf2-4                        	      10        0        0        0       10
21094 libgdal33                          	      10        0        1        0        9
21095 libgdict-common                    	      10        0        0        0       10
21096 libgee-0.8-dev                     	      10        0        8        2        0
21097 libgeo-ip-perl                     	      10        0        1        0        9
21098 libgeronimo-ejb-3.2-spec-java      	      10        0        0        0       10
21099 libgeronimo-j2ee-connector-1.5-spec-java	      10        0        0        0       10
21100 libgfortran-4.9-dev                	      10        0        0        0       10
21101 libghc-colour-dev                  	      10        1        9        0        0
21102 libghc-conduit-extra-dev           	      10        0       10        0        0
21103 libghc-data-fix-dev                	      10        1        9        0        0
21104 libghc-extensible-exceptions-dev   	      10        0       10        0        0
21105 libghc-network-uri-dev             	      10        1        9        0        0
21106 libghc-old-time-dev                	      10        0       10        0        0
21107 libghc-semialign-dev               	      10        1        9        0        0
21108 libghc-streaming-commons-dev       	      10        0       10        0        0
21109 libghc-th-compat-dev               	      10        1        9        0        0
21110 libghc-witherable-dev              	      10        1        9        0        0
21111 libghc-xml-conduit-dev             	      10        0       10        0        0
21112 libglade2.0-cil-dev                	      10        0       10        0        0
21113 libglibmm-2.4-1c2a                 	      10        1        0        0        9
21114 libglibmm-2.68-dev                 	      10        0        9        1        0
21115 libgmp3c2                          	      10        0        0        0       10
21116 libgnome-todo                      	      10        0        0        0       10
21117 libgnt0                            	      10        1        0        0        9
21118 libgnustep-base1.29                	      10        0        0        0       10
21119 libgnustep-base1.31                	      10        0        0        0       10
21120 libgoffice-0.8-8-common            	      10        0       10        0        0
21121 libgomp1-riscv64-cross             	      10        0        0        0       10
21122 libgoogle-glog0v5                  	      10        0        1        0        9
21123 libgoogle-perftools-dev            	      10        0       10        0        0
21124 libgpac4                           	      10        0        0        0       10
21125 libgps21                           	      10        0        0        0       10
21126 libgravatar-data                   	      10        0        0        0       10
21127 libgssglue-dev                     	      10        0       10        0        0
21128 libgtkhtml-4.0-common              	      10        0        0        0       10
21129 libgtkmm-2.4-1c2a                  	      10        1        0        0        9
21130 libhal1                            	      10        1        0        0        9
21131 libident                           	      10        0       10        0        0
21132 libiml0                            	      10        0        0        0       10
21133 libincidenceeditor-data            	      10        0        0        0       10
21134 libipe7.2.26                       	      10        0        0        0       10
21135 libipsec-mb0                       	      10        0        0        0       10
21136 libisl-dev                         	      10        0       10        0        0
21137 libjas-plotter-java                	      10        0        0        0       10
21138 libjdepend-java                    	      10        0        0        0       10
21139 libjs-rickshaw                     	      10        0        0        0       10
21140 libkate-dev                        	      10        0        9        1        0
21141 libkcalendarutils-data             	      10        0        0        0       10
21142 libkf5akonadicore5                 	      10        0        0        0       10
21143 libkf5akonadiwidgets5              	      10        0        0        0       10
21144 libkf5gpgmepp-pthread5             	      10        0        0        0       10
21145 libkf5kdgantt2-5                   	      10        0        0        0       10
21146 libkf5ldap5                        	      10        0        0        0       10
21147 libkf5newstuff-dev                 	      10        0       10        0        0
21148 libkf5notifyconfig-dev             	      10        0       10        0        0
21149 libkf5parts-doc                    	      10        0        0        0       10
21150 libkf5qgpgme5                      	      10        0        0        0       10
21151 libkf5syndication5                 	      10        0        0        0       10
21152 libkf6dav-data                     	      10        1        0        0        9
21153 libkf6textautocorrectioncore1      	      10        7        2        0        1
21154 libkimap-data                      	      10        1        0        0        9
21155 libkitinerary-data                 	      10        0        0        0       10
21156 libkleo-data                       	      10        0        0        0       10
21157 libkpim6calendarutils6             	      10        7        2        0        1
21158 libkpim6gravatar6                  	      10        7        2        0        1
21159 libkpim6identitymanagementwidgets6 	      10        7        2        0        1
21160 libkpim6kontactinterface6          	      10        0        0        0       10
21161 libkpim6ldapcore6                  	      10        7        2        0        1
21162 libkpim6libkdepim6                 	      10        7        2        0        1
21163 libkpim6libkleo6                   	      10        7        2        0        1
21164 libkpim6mailtransport6             	      10        7        2        0        1
21165 libkpim6mbox6                      	      10        7        2        0        1
21166 libkpim6messagecore6               	      10        7        2        0        1
21167 libkpim6messageviewer6             	      10        7        2        0        1
21168 libkpim6mimetreeparser6            	      10        7        2        0        1
21169 libkpim6pimcommon6                 	      10        7        2        0        1
21170 libkpim6pimcommonactivities6       	      10        0        0        0       10
21171 libkpim6webengineviewer6           	      10        7        2        0        1
21172 libksmtp-data                      	      10        0        0        0       10
21173 libkwineffects9                    	      10        0        0        0       10
21174 libkwinxrenderutils9               	      10        0        0        0       10
21175 libleatherman1.4.2                 	      10        0        0        0       10
21176 liblimesuite23.11-1                	      10        0        1        0        9
21177 liblivemedia116                    	      10        0        0        0       10
21178 liblldb-14                         	      10        1        9        0        0
21179 liblog4cpp5-dev                    	      10        1        9        0        0
21180 liblrcalc1                         	      10        0        0        0       10
21181 liblrdf0-dev                       	      10        0       10        0        0
21182 libluksmeta0                       	      10        1        1        0        8
21183 liblxc1t64                         	      10        2        1        0        7
21184 liblxqt-backlight-helper           	      10        0        7        3        0
21185 liblxqt2                           	      10        1        4        2        3
21186 libmagickcore-6.q16-2-extra        	      10        0        0        0       10
21187 libmailcommon-data                 	      10        0        0        0       10
21188 libmapserver2                      	      10        1        0        0        9
21189 libmartchus-c++utilities5          	      10        0        6        0        4
21190 libmartchus-qtforkawesome1         	      10        0        6        0        4
21191 libmdb2                            	      10        0        0        0       10
21192 libminiupnpc5                      	      10        0       10        0        0
21193 libmirisdr4                        	      10        2        8        0        0
21194 libmodule-depends-perl             	      10        0       10        0        0
21195 libmono-zeroconf1.0-cil            	      10        1        9        0        0
21196 libmozjs24d                        	      10        0        0        0       10
21197 libmpfi-dev                        	      10        0        0        0       10
21198 libmpfi-dev-common                 	      10        0       10        0        0
21199 libmpfr-doc                        	      10        0        0        0       10
21200 libmusicbrainz5-1                  	      10        0        0        0       10
21201 libmysqlclient16                   	      10        0        0        0       10
21202 libnet-xmpp-perl                   	      10        2        8        0        0
21203 libnitrokey-common                 	      10        2        8        0        0
21204 libnitrokey3                       	      10        1        1        0        8
21205 libnss-ldap                        	      10        3        0        0        7
21206 libnvidia-api1                     	      10        0        0        0       10
21207 libnvidia-egl-xcb1                 	      10        1        1        0        8
21208 libnvidia-legacy-340xx-eglcore     	      10        1        0        0        9
21209 libnvidia-legacy-390xx-encode1     	      10        0        0        0       10
21210 libnvidia-legacy-390xx-nvcuvid1    	      10        0        0        0       10
21211 libobasis24.8-draw                 	      10        0        0        0       10
21212 libobasis24.8-extension-beanshell-script-provider	      10        0        0        0       10
21213 libobasis24.8-extension-javascript-script-provider	      10        0        0        0       10
21214 libobasis24.8-extension-mediawiki-publisher	      10        0        0        0       10
21215 libobasis24.8-extension-nlpsolver  	      10        0        0        0       10
21216 libobasis24.8-extension-pdf-import 	      10        0        0        0       10
21217 libobasis24.8-extension-report-builder	      10        0        0        0       10
21218 libobasis24.8-firebird             	      10        0        0        0       10
21219 libobasis24.8-impress              	      10        0        0        0       10
21220 libobasis24.8-kde-integration      	      10        0        0        0       10
21221 libobasis24.8-librelogo            	      10        0        0        0       10
21222 libobasis24.8-libreofficekit-data  	      10        0        0        0       10
21223 libobasis24.8-math                 	      10        0        0        0       10
21224 libobasis24.8-ogltrans             	      10        0        0        0       10
21225 libobasis24.8-postgresql-sdbc      	      10        0        0        0       10
21226 libobasis24.8-python-script-provider	      10        0        0        0       10
21227 libobasis24.8-xsltfilter           	      10        0        0        0       10
21228 libobasis7.4-calc                  	      10        0        0        0       10
21229 libobasis7.4-core                  	      10        0        0        0       10
21230 libobasis7.4-draw                  	      10        0        0        0       10
21231 libobasis7.4-gnome-integration     	      10        0        0        0       10
21232 libobasis7.4-images                	      10        0        0        0       10
21233 libobasis7.4-impress               	      10        0        0        0       10
21234 libobasis7.4-it                    	      10        0        0        0       10
21235 libobasis7.4-ooolinguistic         	      10        0        0        0       10
21236 libobasis7.4-writer                	      10        0        0        0       10
21237 liboce-foundation10                	      10        0        0        0       10
21238 liboce-modeling10                  	      10        0        0        0       10
21239 liboce-ocaf-lite10                 	      10        0        0        0       10
21240 liboce-ocaf10                      	      10        0        0        0       10
21241 liboce-visualization10             	      10        0        0        0       10
21242 libopencv-core2.4                  	      10        0        0        0       10
21243 libopencv-imgproc2.4               	      10        0        0        0       10
21244 libopencv-viz406t64                	      10        0        0        0       10
21245 libopenh264-2                      	      10        0        0        0       10
21246 libopenscenegraph-dev              	      10        0        0        0       10
21247 libotf-dev                         	      10        1        9        0        0
21248 libpam-ldap                        	      10        2        1        0        7
21249 libpangomm-1.4-1                   	      10        1        0        0        9
21250 libpaper-dev                       	      10        0        9        1        0
21251 libpcrecpp0                        	      10        0        0        0       10
21252 libpgtypes3                        	      10        0        0        0       10
21253 libphonon4qt5-dev                  	      10        0       10        0        0
21254 libphp-adodb                       	      10        2        8        0        0
21255 libphp-serialization-perl          	      10        0       10        0        0
21256 libplacebo192                      	      10        0        0        0       10
21257 libplexus-build-api-java           	      10        0        0        0       10
21258 libplexus-interactivity-api-java   	      10        0        0        0       10
21259 libpocoxml80                       	      10        0        0        0       10
21260 libpoppler-cpp-dev                 	      10        0        9        1        0
21261 libpoppler118                      	      10        0        0        0       10
21262 libprojectm2v5                     	      10        0        0        0       10
21263 libpython3.10-dev                  	      10        0       10        0        0
21264 libqgis-analysis3.10.14            	      10        0        0        0       10
21265 libqgis-core3.10.14                	      10        0        1        0        9
21266 libqgis-native3.10.14              	      10        0        1        0        9
21267 libqgis-server3.10.14              	      10        0        0        0       10
21268 libqgispython3.10.14               	      10        0        0        0       10
21269 libqsastime0                       	      10        0        0        0       10
21270 libqt53dquick5                     	      10        0        0        0       10
21271 libqt53dquickextras5               	      10        0        0        0       10
21272 libqt53dquickinput5                	      10        0        0        0       10
21273 libqt5gamepad5-dev                 	      10        0       10        0        0
21274 libqt5systeminfo5                  	      10        0        0        0       10
21275 libqt5texttospeech5-dev            	      10        0       10        0        0
21276 libqt6pdfquick6                    	      10        0        0        0       10
21277 libqt6statemachineqml6             	      10        0        0        0       10
21278 libraft2                           	      10        2        7        0        1
21279 libraqm-dev                        	      10        0       10        0        0
21280 libratpoints-2.1.3                 	      10        0        0        0       10
21281 libreoffice-dmaths                 	      10        2        8        0        0
21282 libreoffice-gtk4                   	      10        0       10        0        0
21283 libreoffice-numbertext             	      10        1        9        0        0
21284 libreoffice24.8-base               	      10        0        0        0       10
21285 libreoffice24.8-dict-es            	      10        0        0        0       10
21286 libreoffice24.8-dict-fr            	      10        0        0        0       10
21287 libreoffice24.8-draw               	      10        0        0        0       10
21288 libreoffice24.8-impress            	      10        0        0        0       10
21289 libreoffice24.8-math               	      10        0        0        0       10
21290 libreoffice7.4                     	      10        0        0        0       10
21291 libreoffice7.4-calc                	      10        0        0        0       10
21292 libreoffice7.4-debian-menus        	      10        0       10        0        0
21293 libreoffice7.4-draw                	      10        0        0        0       10
21294 libreoffice7.4-impress             	      10        0        0        0       10
21295 libreoffice7.4-it                  	      10        0        0        0       10
21296 libreoffice7.4-writer              	      10        0        0        0       10
21297 librnd3                            	      10        0        0        0       10
21298 librpc-xml-perl                    	      10        0       10        0        0
21299 librtaudio5a                       	      10        0        0        0       10
21300 librtmidi4                         	      10        0        0        0       10
21301 librust-addr2line-dev              	      10        0        1        0        9
21302 librust-atty-dev                   	      10        0        0        0       10
21303 librust-backtrace-dev              	      10        0        0        0       10
21304 librust-cpp-demangle-dev           	      10        0        0        0       10
21305 librust-crossbeam-channel-dev      	      10        0        0        0       10
21306 librust-ctor-dev                   	      10        0        0        0       10
21307 librust-env-logger+atty-dev        	      10        0        0        0       10
21308 librust-env-logger+default-dev     	      10        0        0        0       10
21309 librust-env-logger+humantime-dev   	      10        0        0        0       10
21310 librust-env-logger+regex-dev       	      10        0        0        0       10
21311 librust-env-logger+termcolor-dev   	      10        0        0        0       10
21312 librust-fallible-iterator-dev      	      10        0        0        0       10
21313 librust-gimli-dev                  	      10        0        0        0       10
21314 librust-object-dev                 	      10        0        0        0       10
21315 librust-pin-project-lite-dev       	      10        0        0        0       10
21316 librust-proc-macro-hack-dev        	      10        0        0        0       10
21317 librust-quickcheck+default-dev     	      10        0        0        0       10
21318 librust-quickcheck+regex-dev       	      10        0        0        0       10
21319 librust-quickcheck+use-logging-dev 	      10        0        0        0       10
21320 librust-regex+aho-corasick-dev     	      10        0        0        0       10
21321 librust-regex+default-dev          	      10        0        0        0       10
21322 librust-regex+memchr-dev           	      10        0        0        0       10
21323 librust-regex+perf-dev             	      10        0        0        0       10
21324 librust-regex+perf-literal-dev     	      10        0        0        0       10
21325 librust-regex+unicode-age-dev      	      10        0        0        0       10
21326 librust-regex+unicode-bool-dev     	      10        0        0        0       10
21327 librust-regex+unicode-case-dev     	      10        0        0        0       10
21328 librust-regex+unicode-dev          	      10        0        0        0       10
21329 librust-regex+unicode-gencat-dev   	      10        0        0        0       10
21330 librust-regex+unicode-perl-dev     	      10        0        0        0       10
21331 librust-regex+unicode-script-dev   	      10        0        0        0       10
21332 librust-regex+unicode-segment-dev  	      10        0        0        0       10
21333 librust-regex-syntax+unicode-dev   	      10        0        0        0       10
21334 librust-rustc-demangle-dev         	      10        0        0        0       10
21335 librust-serde+serde-derive-dev     	      10        0        0        0       10
21336 librust-sval+serde1-lib-dev        	      10        0        0        0       10
21337 librw0                             	      10        0        0        0       10
21338 libsafe-isa-perl                   	      10        2        8        0        0
21339 libsdbus-c++0                      	      10        0        0        0       10
21340 libservlet3.0-java                 	      10        0        0        0       10
21341 libsfark0                          	      10        0        0        0       10
21342 libshiboken1.2v5                   	      10        0        0        0       10
21343 libshiboken2-5.11                  	      10        0        0        0       10
21344 libsimde-dev                       	      10        0       10        0        0
21345 libslirp-dev                       	      10        0        9        1        0
21346 libslurm38                         	      10        0        0        0       10
21347 libsox-fmt-opus                    	      10        0        0        0       10
21348 libspeechd-module0                 	      10        1        0        0        9
21349 libsrtp0-dev                       	      10        0       10        0        0
21350 libsvn-java                        	      10        0        0        0       10
21351 libsymmetrica2                     	      10        0        0        0       10
21352 libsynfig0a                        	      10        0        0        0       10
21353 libtasn1-bin                       	      10        1        9        0        0
21354 libteam-utils                      	      10        0        9        1        0
21355 libteam5                           	      10        0        0        0       10
21356 libterm-shellui-perl               	      10        0       10        0        0
21357 libtest-nowarnings-perl            	      10        0       10        0        0
21358 libtext-vfile-asdata-perl          	      10        1        9        0        0
21359 libtext-wrapper-perl               	      10        0       10        0        0
21360 libtinysparql-3.0-0                	      10        0        0        0       10
21361 libtomcat8-java                    	      10        0        0        0       10
21362 libtopcom0                         	      10        0        0        0       10
21363 libtorch1.13                       	      10        0        0        0       10
21364 libttspico-data                    	      10        0        0        0       10
21365 libttspico-utils                   	      10        0        9        1        0
21366 libunarr1                          	      10        1        0        0        9
21367 libvcdinfo-dev                     	      10        0       10        0        0
21368 libvelocity-tools-java             	      10        0        0        0       10
21369 libvigraimpex6                     	      10        0        0        0       10
21370 libvirt-clients-qemu               	      10        0       10        0        0
21371 libvolk-bin                        	      10        1        8        1        0
21372 libvolk3.1t64                      	      10        0        1        0        9
21373 libvte-dev                         	      10        0       10        0        0
21374 libvtk9-dev                        	      10        1        9        0        0
21375 libwcs8                            	      10        0        0        0       10
21376 libwebkit1.1-cil                   	      10        0        0        0       10
21377 libwnck-3-dev                      	      10        0       10        0        0
21378 libwv-1.2-4t64                     	      10        0        0        0       10
21379 libwxgtk-webview3.2-1t64           	      10        0        0        0       10
21380 libwxgtk-webview3.2-dev            	      10        0        0        0       10
21381 libx264-163                        	      10        0        0        0       10
21382 libx32cilkrts5                     	      10        0        0        0       10
21383 libx32gcc-8-dev                    	      10        0        0        0       10
21384 libx32ubsan0                       	      10        0        0        0       10
21385 libxalan-c112                      	      10        0        0        0       10
21386 libxml-simpleobject-libxml-perl    	      10        0       10        0        0
21387 libxml-stream-perl                 	      10        2        8        0        0
21388 libxnee0                           	      10        0        0        0       10
21389 libyaml-cpp0.3v5                   	      10        0        0        0       10
21390 libzita-alsa-pcmi0t64              	      10        0        0        0       10
21391 libzltext0.13t64                   	      10        0        0        0       10
21392 libzn-poly-0.9                     	      10        0        0        0       10
21393 linux-compiler-gcc-13-x86          	      10        0        0        0       10
21394 linux-headers-4.19.0-17-common     	      10        0        9        0        1
21395 linux-headers-4.19.0-25-common     	      10        0       10        0        0
21396 linux-headers-4.9.0-13-common      	      10        0        9        0        1
21397 linux-headers-4.9.0-8-amd64        	      10        0       10        0        0
21398 linux-headers-5.10.0-15-amd64      	      10        0       10        0        0
21399 linux-headers-5.10.0-15-common     	      10        0       10        0        0
21400 linux-headers-5.10.0-24-amd64      	      10        0       10        0        0
21401 linux-headers-6.10.11+bpo-amd64    	      10        0       10        0        0
21402 linux-headers-6.11.10+bpo-amd64    	      10        1        9        0        0
21403 linux-headers-6.11.10+bpo-common   	      10        2        8        0        0
21404 linux-headers-6.12.12-amd64        	      10        4        3        3        0
21405 linux-headers-6.12.12-common       	      10        4        3        3        0
21406 linux-headers-6.12.6-amd64         	      10        0       10        0        0
21407 linux-headers-6.12.6-common        	      10        0       10        0        0
21408 linux-image-3.16.0-10-amd64        	      10        0       10        0        0
21409 linux-image-5.10.0-33-686          	      10        0       10        0        0
21410 linux-image-6.0.0-6-amd64          	      10        0       10        0        0
21411 linux-image-6.1.0-27-686           	      10        0       10        0        0
21412 linux-image-6.1.0-7-amd64          	      10        0       10        0        0
21413 linux-image-6.8.12-amd64           	      10        2        8        0        0
21414 linux-image-vserver-4.4-beng       	      10        0        0        0       10
21415 linux-kbuild-5.16                  	      10        0        0        0       10
21416 linux-kbuild-5.18                  	      10        0        0        0       10
21417 linux-kbuild-6.12.11               	      10        0        0        0       10
21418 linux-kbuild-6.12.6                	      10        0        0        0       10
21419 liquidprompt                       	      10        0       10        0        0
21420 lirc-x                             	      10        0       10        0        0
21421 lldb-14                            	      10        1        9        0        0
21422 llvm-18                            	      10        0       10        0        0
21423 llvm-18-dev                        	      10        1        9        0        0
21424 llvm-18-runtime                    	      10        0       10        0        0
21425 llvm-18-tools                      	      10        0       10        0        0
21426 llvm-3.5                           	      10        0       10        0        0
21427 llvm-3.5-dev                       	      10        0       10        0        0
21428 llvm-3.5-runtime                   	      10        0       10        0        0
21429 logout-manager                     	      10        0       10        0        0
21430 loudgain                           	      10        0       10        0        0
21431 lua-basexx                         	      10        0        0        0       10
21432 lua-unbound                        	      10        6        3        0        1
21433 luakit                             	      10        0       10        0        0
21434 lunzip                             	      10        1        8        1        0
21435 lxd                                	      10        2        8        0        0
21436 lxd-agent                          	      10        0       10        0        0
21437 lxd-client                         	      10        0       10        0        0
21438 lz4json                            	      10        0       10        0        0
21439 make-guile                         	      10        1        9        0        0
21440 mako-notifier                      	      10        2        8        0        0
21441 mallard-rng                        	      10        0        0        0       10
21442 manpages-tr                        	      10        0        0        0       10
21443 marsshooter                        	      10        0       10        0        0
21444 mate-netbook                       	      10        4        6        0        0
21445 mattermost-desktop                 	      10        2        4        0        4
21446 minetest-mod-moreores              	      10        0        0        0       10
21447 minetest-mod-pipeworks             	      10        0        0        0       10
21448 mingw-w64-tools                    	      10        0       10        0        0
21449 mint-x-icons                       	      10        0        0        0       10
21450 modem-manager-gui                  	      10        0       10        0        0
21451 modem-manager-gui-help             	      10        0        0        0       10
21452 monitorix                          	      10        1        9        0        0
21453 monsterz                           	      10        0       10        0        0
21454 monsterz-data                      	      10        0        0        0       10
21455 mp3cd                              	      10        0        9        1        0
21456 mpv-mpris                          	      10        2        8        0        0
21457 mt-st                              	      10        1        9        0        0
21458 nagios-images                      	      10        0        0        0       10
21459 navit-gui-gtk                      	      10        1        9        0        0
21460 navit-gui-internal                 	      10        1        8        1        0
21461 ncbi-blast+                        	      10        0       10        0        0
21462 nessusagent                        	      10        1        9        0        0
21463 netsurf-fb                         	      10        0       10        0        0
21464 nictools-pci                       	      10        1        9        0        0
21465 nsis                               	      10        4        6        0        0
21466 nsis-common                        	      10        0        0        0       10
21467 ntfsprogs                          	      10        0        0        0       10
21468 nut-monitor                        	      10        2        8        0        0
21469 nuvola-icon-theme                  	      10        0        0        0       10
21470 nvidia-legacy-340xx-alternative    	      10        0        0        0       10
21471 nvidia-legacy-340xx-vdpau-driver   	      10        0        1        0        9
21472 odbc-mariadb                       	      10        0        2        0        8
21473 oggz-tools                         	      10        0        9        1        0
21474 ogmrip-plugins                     	      10        0        0        0       10
21475 openclonk                          	      10        0       10        0        0
21476 openclonk-data                     	      10        0        0        0       10
21477 openoffice-gnome-integration       	      10        0        0        0       10
21478 openpref                           	      10        0       10        0        0
21479 opense-basic                       	      10        0        0        0       10
21480 openshot-doc                       	      10        0        0        0       10
21481 openuniverse-common                	      10        0        0        0       10
21482 oping                              	      10        1        9        0        0
21483 org-mode-doc                       	      10        0        0        0       10
21484 orpie                              	      10        0       10        0        0
21485 page-crunch                        	      10        1        8        1        0
21486 patchage                           	      10        0       10        0        0
21487 pathological                       	      10        0       10        0        0
21488 performous                         	      10        0       10        0        0
21489 phonon-backend-vlc                 	      10        0        0        0       10
21490 photofilmstrip                     	      10        0       10        0        0
21491 php-geshi                          	      10        0       10        0        0
21492 php5-cgi                           	      10        2        8        0        0
21493 php5-intl                          	      10        3        7        0        0
21494 php7.3-cgi                         	      10        1        9        0        0
21495 php7.4-phpdbg                      	      10        2        8        0        0
21496 php8.0-curl                        	      10        4        6        0        0
21497 php8.0-fpm                         	      10        2        8        0        0
21498 php8.0-soap                        	      10        3        7        0        0
21499 php8.1                             	      10        0        0        0       10
21500 php8.2-xdebug                      	      10        2        8        0        0
21501 php8.3-curl                        	      10        4        6        0        0
21502 php8.3-intl                        	      10        4        6        0        0
21503 php8.3-xml                         	      10        4        6        0        0
21504 planarity                          	      10        0       10        0        0
21505 pngmeta                            	      10        1        9        0        0
21506 postfix-pgsql                      	      10        2        8        0        0
21507 postgresql-server-dev-all          	      10        0        9        1        0
21508 powershell                         	      10        0       10        0        0
21509 praat                              	      10        0       10        0        0
21510 pragha                             	      10        1        8        1        0
21511 prelink                            	      10        1        9        0        0
21512 printrun-common                    	      10        0       10        0        0
21513 pspp                               	      10        0       10        0        0
21514 pssh                               	      10        3        7        0        0
21515 pulsar                             	      10        0       10        0        0
21516 px                                 	      10        1        9        0        0
21517 pylint3                            	      10        0        3        0        7
21518 python-central                     	      10        2        8        0        0
21519 python-lz4                         	      10        0       10        0        0
21520 python-mako                        	      10        0       10        0        0
21521 python-musicbrainz2                	      10        0       10        0        0
21522 python-ogg                         	      10        1        9        0        0
21523 python-pypdf2                      	      10        0       10        0        0
21524 python-pytest                      	      10        1        9        0        0
21525 python-pyvorbis                    	      10        1        9        0        0
21526 python-singledispatch              	      10        1        9        0        0
21527 python-sphinx                      	      10        0       10        0        0
21528 python-typing                      	      10        0       10        0        0
21529 python-xlrd                        	      10        1        9        0        0
21530 python2.5-minimal                  	      10        2        8        0        0
21531 python3-annotated-types            	      10        0       10        0        0
21532 python3-aptdaemon                  	      10        0       10        0        0
21533 python3-dbus.mainloop.pyqt6        	      10        2        5        3        0
21534 python3-dogpile.cache              	      10        0       10        0        0
21535 python3-email-validator            	      10        0       10        0        0
21536 python3-funcparserlib              	      10        0       10        0        0
21537 python3-hid                        	      10        0        9        1        0
21538 python3-linux-procfs               	      10        1        8        1        0
21539 python3-lldb-14                    	      10        0        0        0       10
21540 python3-netcdf4                    	      10        0        9        1        0
21541 python3-oslo.context               	      10        0       10        0        0
21542 python3-oslo.log                   	      10        0       10        0        0
21543 python3-pybtex-docutils            	      10        1        9        0        0
21544 python3-pyclipper                  	      10        0        8        2        0
21545 python3-pydantic-core              	      10        3        6        1        0
21546 python3-pydyf                      	      10        0        9        1        0
21547 python3-pypandoc                   	      10        0       10        0        0
21548 python3-scp                        	      10        0       10        0        0
21549 python3-seaborn                    	      10        0       10        0        0
21550 python3-serial-asyncio             	      10        0       10        0        0
21551 python3-spf                        	      10        3        7        0        0
21552 python3-sphinxcontrib.bibtex       	      10        1        9        0        0
21553 python3-subunit                    	      10        0       10        0        0
21554 python3-toposort                   	      10        0       10        0        0
21555 python3.12-doc                     	      10        0        0        0       10
21556 qimgv                              	      10        0       10        0        0
21557 qml-module-gsettings               	      10        0        0        0       10
21558 qstardict                          	      10        0       10        0        0
21559 qt5-doc-html                       	      10        0        0        0       10
21560 qt6-image-formats-plugin-pdf       	      10        1        2        0        7
21561 qtcharts5-doc-html                 	      10        0        0        0       10
21562 qtconnectivity5-doc-html           	      10        0        0        0       10
21563 qtdeclarative5-doc-html            	      10        0        0        0       10
21564 qtgraphicaleffects5-doc-html       	      10        0        0        0       10
21565 qtlocation5-doc-html               	      10        0        0        0       10
21566 qtmultimedia5-doc-html             	      10        0        0        0       10
21567 qtscript5-doc-html                 	      10        0        0        0       10
21568 qtserialport5-doc-html             	      10        0        0        0       10
21569 qtsvg5-doc-html                    	      10        0        0        0       10
21570 qtvirtualkeyboard5-doc-html        	      10        0        0        0       10
21571 qtwayland5-doc-html                	      10        0        0        0       10
21572 qtwebchannel5-doc-html             	      10        0        0        0       10
21573 qtwebengine5-doc-html              	      10        0        0        0       10
21574 qtwebsockets5-doc-html             	      10        0        0        0       10
21575 qtwebview5-doc-html                	      10        0        0        0       10
21576 qtx11extras5-doc-html              	      10        0        0        0       10
21577 qtxmlpatterns5-doc-html            	      10        0        0        0       10
21578 quvi                               	      10        0       10        0        0
21579 r-cran-dtplyr                      	      10        2        8        0        0
21580 r-cran-emmeans                     	      10        1        9        0        0
21581 r-cran-gargle                      	      10        3        7        0        0
21582 r-cran-gbm                         	      10        1        9        0        0
21583 r-cran-ggridges                    	      10        3        7        0        0
21584 r-cran-glmnet                      	      10        1        9        0        0
21585 r-cran-googledrive                 	      10        3        7        0        0
21586 r-cran-googlesheets4               	      10        3        7        0        0
21587 r-cran-ids                         	      10        2        8        0        0
21588 r-cran-inline                      	      10        2        8        0        0
21589 r-cran-lavaan                      	      10        1        9        0        0
21590 r-cran-msm                         	      10        1        9        0        0
21591 r-cran-network                     	      10        1        9        0        0
21592 r-cran-pbivnorm                    	      10        1        9        0        0
21593 r-cran-qpdf                        	      10        1        7        2        0
21594 r-cran-randomforest                	      10        1        9        0        0
21595 r-cran-rcppparallel                	      10        2        8        0        0
21596 r-cran-reprex                      	      10        3        7        0        0
21597 r-cran-reshape                     	      10        2        8        0        0
21598 r-cran-shinyjs                     	      10        1        9        0        0
21599 r-cran-statnet.common              	      10        1        9        0        0
21600 r-cran-tidyverse                   	      10        3        7        0        0
21601 r-doc-pdf                          	      10        0        0        0       10
21602 radare2                            	      10        1        9        0        0
21603 rakarrack                          	      10        0        9        1        0
21604 ratbagd                            	      10        0       10        0        0
21605 regexxer                           	      10        0       10        0        0
21606 reportbug-gtk                      	      10        0        0        0       10
21607 rinse                              	      10        0       10        0        0
21608 rng-tools5                         	      10        1        9        0        0
21609 rocksndiamonds                     	      10        0       10        0        0
21610 rocm-smi                           	      10        1        8        0        1
21611 rocprofiler-register               	      10        0       10        0        0
21612 root-tail                          	      10        1        9        0        0
21613 rtirq-init                         	      10        0        0        0       10
21614 ruby-actionmailbox                 	      10        0        9        1        0
21615 ruby-actiontext                    	      10        0        9        1        0
21616 ruby-coffee-script                 	      10        0       10        0        0
21617 ruby-connection-pool               	      10        0       10        0        0
21618 ruby-curses                        	      10        0       10        0        0
21619 ruby-diff-lcs                      	      10        0       10        0        0
21620 ruby-hiera                         	      10        0        0        0       10
21621 ruby-jbuilder                      	      10        0       10        0        0
21622 ruby-msgpack                       	      10        0        2        0        8
21623 ruby-sass-rails                    	      10        0       10        0        0
21624 ruby-spring                        	      10        0       10        0        0
21625 ruby-svn                           	      10        0        9        1        0
21626 ruby-terminal-table                	      10        1        8        1        0
21627 ruby-web-console                   	      10        0       10        0        0
21628 ruby-websocket                     	      10        0       10        0        0
21629 rygel-preferences                  	      10        0       10        0        0
21630 sagemath-doc                       	      10        0        0        0       10
21631 sbcl-source                        	      10        0       10        0        0
21632 scala-xml                          	      10        0        0        0       10
21633 sciteproj                          	      10        0       10        0        0
21634 seamonkey-mozilla-build            	      10        1        8        1        0
21635 sendemail                          	      10        0       10        0        0
21636 setools                            	      10        1        8        1        0
21637 sg3-utils-udev                     	      10        3        7        0        0
21638 sidplay-base                       	      10        0       10        0        0
21639 silverjuke                         	      10        0        9        1        0
21640 simutrans-pak64                    	      10        0        0        0       10
21641 skanpage                           	      10        0        9        1        0
21642 skkdic                             	      10        1        2        0        7
21643 smb-nat                            	      10        3        6        1        0
21644 smbldap-tools                      	      10        2        8        0        0
21645 snd-doc                            	      10        0        0        0       10
21646 socklog                            	      10        2        8        0        0
21647 spellutils                         	      10        0       10        0        0
21648 spirv-headers                      	      10        0       10        0        0
21649 splunkforwarder                    	      10        1        7        2        0
21650 sssd-tools                         	      10        0       10        0        0
21651 stardata-common                    	      10        1        9        0        0
21652 sugar-icon-theme                   	      10        0        0        0       10
21653 swayimg                            	      10        0        9        1        0
21654 swi-prolog-doc                     	      10        0        0        0       10
21655 tachyon                            	      10        0        0        0       10
21656 task-turkish                       	      10        0        0        0       10
21657 tcl-fitstcl                        	      10        0        0        0       10
21658 tclspice                           	      10        0        0        0       10
21659 tcpstat                            	      10        1        9        0        0
21660 tellico-doc                        	      10        0        0        0       10
21661 tesseract-ocr-chi-sim              	      10        0        0        0       10
21662 tesseract-ocr-chi-tra              	      10        0        0        0       10
21663 tesseract-ocr-enm                  	      10        0        0        0       10
21664 tesseract-ocr-jpn-vert             	      10        0        0        0       10
21665 tesseract-ocr-script-cyrl          	      10        0        0        0       10
21666 tesseract-ocr-script-jpan          	      10        0        0        0       10
21667 tesseract-ocr-script-jpan-vert     	      10        0        0        0       10
21668 tesseract-ocr-slk                  	      10        0        0        0       10
21669 tesseract-ocr-ukr                  	      10        0        0        0       10
21670 thorium-browser                    	      10        1        8        1        0
21671 thrift-compiler                    	      10        0        9        1        0
21672 thunderbird-l10n-ru                	      10        2        7        1        0
21673 tikzit                             	      10        0       10        0        0
21674 timidity-interfaces-extra          	      10        1        9        0        0
21675 tinyproxy                          	      10        0       10        0        0
21676 tkmib                              	      10        1        9        0        0
21677 tmispell-voikko                    	      10        0       10        0        0
21678 treesheets                         	      10        0       10        0        0
21679 trousers                           	      10        1        9        0        0
21680 trustedqsl                         	      10        1        9        0        0
21681 ttf-kochi-gothic                   	      10        4        1        0        5
21682 ttf-tagbanwa                       	      10        0        0        0       10
21683 ttf-wqy-zenhei                     	      10        0        0        0       10
21684 tuned                              	      10        0        9        1        0
21685 tuxfootball                        	      10        0       10        0        0
21686 ublock-origin-combined             	      10        0       10        0        0
21687 ubuntu-dbgsym-keyring              	      10        0        0        0       10
21688 udisks2-lvm2                       	      10        0        0        0       10
21689 uefitool                           	      10        0        9        1        0
21690 ungoogled-chromium                 	      10        1        9        0        0
21691 urlscan                            	      10        0       10        0        0
21692 usbmount                           	      10        1        9        0        0
21693 vcsh                               	      10        1        9        0        0
21694 vim-airline                        	      10        0        0        0       10
21695 vim-airline-themes                 	      10        0        0        0       10
21696 virtualenvwrapper                  	      10        0        1        0        9
21697 virtuoso-opensource-7-common       	      10        0       10        0        0
21698 vmfs-tools                         	      10        1        9        0        0
21699 wajig                              	      10        0       10        0        0
21700 weather-util                       	      10        1        9        0        0
21701 wesnoth-1.18-did                   	      10        0        0        0       10
21702 wesnoth-1.18-dm                    	      10        0        0        0       10
21703 wesnoth-1.18-dw                    	      10        0        0        0       10
21704 wesnoth-1.18-ei                    	      10        0        0        0       10
21705 wesnoth-1.18-httt                  	      10        0        0        0       10
21706 wesnoth-1.18-l                     	      10        0        0        0       10
21707 wesnoth-1.18-low                   	      10        0        0        0       10
21708 wesnoth-1.18-nr                    	      10        0        0        0       10
21709 wesnoth-1.18-sof                   	      10        0        0        0       10
21710 wesnoth-1.18-sota                  	      10        0        0        0       10
21711 wesnoth-1.18-sotbe                 	      10        0        0        0       10
21712 wesnoth-1.18-thot                  	      10        0        0        0       10
21713 wesnoth-1.18-trow                  	      10        0        0        0       10
21714 wesnoth-1.18-tsg                   	      10        0        0        0       10
21715 wesnoth-1.18-ttb                   	      10        0        0        0       10
21716 wesnoth-1.18-utbs                  	      10        0        0        0       10
21717 wesnoth-1.18-wof                   	      10        0        0        0       10
21718 wine-devel-i386                    	      10        2        8        0        0
21719 wmix                               	      10        1        9        0        0
21720 wmmemload                          	      10        0       10        0        0
21721 wmtemp                             	      10        1        9        0        0
21722 wmweather                          	      10        1        9        0        0
21723 worker                             	      10        2        8        0        0
21724 worker-data                        	      10        0        0        0       10
21725 wwl                                	      10        1        9        0        0
21726 wyrd                               	      10        1        9        0        0
21727 x11proto-xf86bigfont-dev           	      10        0        4        0        6
21728 xalan                              	      10        0       10        0        0
21729 xapian-doc                         	      10        0        0        0       10
21730 xfonts-bolkhov-koi8r-misc          	      10        0        0        0       10
21731 xfonts-cronyx-75dpi                	      10        1        0        0        9
21732 xfonts-cronyx-koi8r-100dpi         	      10        0        0        0       10
21733 xfonts-cronyx-koi8r-misc           	      10        0        0        0       10
21734 xfonts-intl-arabic                 	      10        0        0        0       10
21735 xfonts-intl-asian                  	      10        0        0        0       10
21736 xfonts-kapl                        	      10        0        0        0       10
21737 xfonts-kappa20                     	      10        0        0        0       10
21738 xfonts-thai                        	      10        0        0        0       10
21739 xfonts-thai-etl                    	      10        0        0        0       10
21740 xfonts-thai-manop                  	      10        0        0        0       10
21741 xfonts-thai-nectec                 	      10        0        0        0       10
21742 xfonts-thai-vor                    	      10        0        0        0       10
21743 xfstt                              	      10        3        7        0        0
21744 xmlcopyeditor                      	      10        0       10        0        0
21745 xmms2-plugin-asf                   	      10        1        9        0        0
21746 xmms2-plugin-daap                  	      10        1        9        0        0
21747 xmms2-plugin-gme                   	      10        1        9        0        0
21748 xmms2-plugin-jack                  	      10        1        9        0        0
21749 xmms2-plugin-modplug               	      10        1        9        0        0
21750 xmms2-plugin-oss                   	      10        1        9        0        0
21751 xmms2-plugin-sid                   	      10        1        9        0        0
21752 xmms2-plugin-xml                   	      10        1        9        0        0
21753 xmoto                              	      10        1        9        0        0
21754 xmoto-data                         	      10        0        0        0       10
21755 xnconvert                          	      10        0       10        0        0
21756 xstow                              	      10        2        8        0        0
21757 xtables-addons-common              	      10        0        9        1        0
21758 xtables-addons-dkms                	      10        0        9        1        0
21759 xteddy                             	      10        0       10        0        0
21760 xtrace                             	      10        0       10        0        0
21761 xttitle                            	      10        0        9        1        0
21762 xymon-client                       	      10        4        6        0        0
21763 yaru-theme-icon                    	      10        0        0        0       10
21764 ytalk                              	      10        0       10        0        0
21765 z3                                 	      10        0       10        0        0
21766 zim-tools                          	      10        0       10        0        0
21767 zmap                               	      10        1        9        0        0
21768 zoneminder                         	      10        1        9        0        0
21769 9base                              	       9        0        9        0        0
21770 a7xpg                              	       9        0        9        0        0
21771 a7xpg-data                         	       9        0        0        0        9
21772 abe                                	       9        0        8        1        0
21773 abe-data                           	       9        0        0        0        9
21774 acroread-data                      	       9        2        7        0        0
21775 acroread-l10n-en                   	       9        0        9        0        0
21776 adapta-kde                         	       9        0        0        0        9
21777 adcli                              	       9        0        9        0        0
21778 aespipe                            	       9        1        8        0        0
21779 aewm++                             	       9        0        9        0        0
21780 aewm++-goodies                     	       9        0        9        0        0
21781 agedu                              	       9        3        6        0        0
21782 akqml                              	       9        0        0        0        9
21783 alsaplayer-daemon                  	       9        0        0        0        9
21784 ansifilter-gui                     	       9        1        8        0        0
21785 apt-build                          	       9        0        9        0        0
21786 arno-iptables-firewall             	       9        2        7        0        0
21787 arpalert                           	       9        3        6        0        0
21788 aspnetcore-targeting-pack-7.0      	       9        0        0        0        9
21789 audispd-plugins                    	       9        0        8        1        0
21790 awesfx                             	       9        0        9        0        0
21791 backuppc                           	       9        2        6        1        0
21792 bacula-common                      	       9        3        6        0        0
21793 bareos-common                      	       9        3        6        0        0
21794 bareos-filedaemon                  	       9        3        6        0        0
21795 baresip                            	       9        0        0        0        9
21796 battery-stats                      	       9        3        6        0        0
21797 bcpp                               	       9        0        9        0        0
21798 beid-mozilla-extension             	       9        0        0        0        9
21799 beid-mozilla-webext                	       9        0        1        0        8
21800 beignet-opencl-icd                 	       9        0        0        0        9
21801 bemenu                             	       9        0        9        0        0
21802 besagent                           	       9        2        7        0        0
21803 bibutils                           	       9        0        9        0        0
21804 binutils-mingw-w64                 	       9        0        0        0        9
21805 bitlbee                            	       9        3        6        0        0
21806 blastem                            	       9        0        9        0        0
21807 bodr                               	       9        0        0        0        9
21808 boxes                              	       9        1        8        0        0
21809 briquolo                           	       9        0        9        0        0
21810 briquolo-data                      	       9        0        0        0        9
21811 brscan3                            	       9        0        8        1        0
21812 c++-annotations-pdf                	       9        0        0        0        9
21813 cairo-dock-core                    	       9        1        8        0        0
21814 caja-dropbox                       	       9        4        5        0        0
21815 cataclysm-dda-curses               	       9        1        8        0        0
21816 cdebconf                           	       9        0        9        0        0
21817 chrome-remote-desktop              	       9        3        6        0        0
21818 chromium-ublock-origin             	       9        0        0        0        9
21819 cl-quicklisp                       	       9        0        0        0        9
21820 clang-3.5                          	       9        0        9        0        0
21821 clasp                              	       9        0        9        0        0
21822 clevis                             	       9        0        9        0        0
21823 clevis-luks                        	       9        0        9        0        0
21824 cliquer                            	       9        0        9        0        0
21825 coccinelle                         	       9        0        9        0        0
21826 colortest                          	       9        0        9        0        0
21827 comixcursors-righthanded           	       9        0        0        0        9
21828 configuradorfnmt                   	       9        0        8        0        1
21829 connman-doc                        	       9        0        0        0        9
21830 cpdb-backend-cups                  	       9        0        4        0        5
21831 cpio-doc                           	       9        0        0        0        9
21832 cpp-7                              	       9        0        9        0        0
21833 cppreference-doc-en-html           	       9        0        9        0        0
21834 cproto                             	       9        0        9        0        0
21835 crash                              	       9        2        7        0        0
21836 csladspa                           	       9        0        9        0        0
21837 cube2                              	       9        1        8        0        0
21838 cuda-toolkit-12-config-common      	       9        0        0        0        9
21839 cuda-toolkit-config-common         	       9        0        0        0        9
21840 cultivation                        	       9        0        9        0        0
21841 cups-pdf                           	       9        0        0        0        9
21842 daa2iso                            	       9        1        8        0        0
21843 daemontools-run                    	       9        0        8        1        0
21844 dalvik-exchange                    	       9        0        9        0        0
21845 dar-docs                           	       9        0        0        0        9
21846 dav1d                              	       9        0        9        0        0
21847 deal                               	       9        0        9        0        0
21848 dealer                             	       9        0        9        0        0
21849 debian-edu-archive-keyring         	       9        0        0        0        9
21850 debian-edu-doc-legacy-en           	       9        0        0        0        9
21851 debian-el                          	       9        0        0        0        9
21852 dh-make-perl                       	       9        1        7        1        0
21853 diceware                           	       9        0        9        0        0
21854 dict-freedict-deu-eng              	       9        1        0        0        8
21855 dict-freedict-eng-rus              	       9        0        0        0        9
21856 dict-freedict-fra-eng              	       9        1        0        0        8
21857 dieharder                          	       9        0        9        0        0
21858 discount                           	       9        0        9        0        0
21859 dotnet-apphost-pack-7.0            	       9        0        9        0        0
21860 dotnet-sdk-7.0                     	       9        0        9        0        0
21861 dotnet-targeting-pack-7.0          	       9        0        0        0        9
21862 dovecot-pgsql                      	       9        2        7        0        0
21863 dovecot-submissiond                	       9        0        9        0        0
21864 dpkg-awk                           	       9        0        9        0        0
21865 dq                                 	       9        0        9        0        0
21866 dropbear                           	       9        0        2        0        7
21867 dvbtune                            	       9        0        9        0        0
21868 education-geography                	       9        0        0        0        9
21869 efax                               	       9        1        8        0        0
21870 eid-archive                        	       9        0        8        1        0
21871 eid-mw                             	       9        0        0        0        9
21872 eid-viewer                         	       9        0        8        1        0
21873 electric-fence                     	       9        0        9        0        0
21874 elpa-epl                           	       9        1        8        0        0
21875 elpa-lua-mode                      	       9        0        7        2        0
21876 elpa-pkg-info                      	       9        0        7        2        0
21877 emscripten                         	       9        0        9        0        0
21878 entangle                           	       9        0        9        0        0
21879 exodus                             	       9        0        9        0        0
21880 fbless                             	       9        1        7        1        0
21881 fcitx5-module-lua                  	       9        1        5        0        3
21882 fcitx5-module-lua-common           	       9        0        0        0        9
21883 fcitx5-mozc                        	       9        0        6        0        3
21884 feedbackd                          	       9        2        7        0        0
21885 feedbackd-common                   	       9        0        0        0        9
21886 festlex-oald                       	       9        0        0        0        9
21887 fingerd                            	       9        2        7        0        0
21888 fitspng                            	       9        0        9        0        0
21889 flawfinder                         	       9        0        9        0        0
21890 flent                              	       9        0        9        0        0
21891 flip                               	       9        0        9        0        0
21892 floppyd                            	       9        0        9        0        0
21893 fonts-aksharyogini2                	       9        0        1        0        8
21894 fonts-cns11643-kai                 	       9        1        0        0        8
21895 fonts-creep2                       	       9        0        0        0        9
21896 fonts-dotgothic16                  	       9        0        0        0        9
21897 fonts-eeyek                        	       9        0        0        0        9
21898 fonts-entypo                       	       9        0        0        0        9
21899 fonts-gemunu-libre                 	       9        0        0        0        9
21900 fonts-lemonada                     	       9        0        0        0        9
21901 fonts-lexi-gulim                   	       9        0        0        0        9
21902 fonts-lexi-saebom                  	       9        0        0        0        9
21903 fonts-national-park                	       9        0        0        0        9
21904 fonts-rampart                      	       9        0        0        0        9
21905 fonts-reggae                       	       9        0        0        0        9
21906 fonts-rocknroll                    	       9        0        0        0        9
21907 fonts-routed-gothic                	       9        0        0        0        9
21908 fonts-sil-alkalami                 	       9        0        0        0        9
21909 fonts-sil-mingzat                  	       9        0        0        0        9
21910 fonts-sil-tagmukay                 	       9        0        0        0        9
21911 fonts-solide-mirage                	       9        0        0        0        9
21912 fonts-sora                         	       9        0        0        0        9
21913 fonts-tagbanwa                     	       9        1        0        0        8
21914 fonts-yusei-magic                  	       9        0        0        0        9
21915 fop-doc                            	       9        0        0        0        9
21916 formiko                            	       9        0        9        0        0
21917 fp-docs                            	       9        0        0        0        9
21918 fp-units-gtk2                      	       9        0        0        0        9
21919 fp-units-gtk2-3.2.0                	       9        0        0        0        9
21920 fpa-config-dotfiles                	       9        0        8        1        0
21921 fracplanet                         	       9        1        8        0        0
21922 fuse-utils                         	       9        0        0        0        9
21923 fusioninventory-agent              	       9        4        5        0        0
21924 g++-12-aarch64-linux-gnu           	       9        1        8        0        0
21925 g++-9                              	       9        1        8        0        0
21926 g++-i686-linux-gnu                 	       9        0        8        1        0
21927 games-minesweeper                  	       9        0        0        0        9
21928 gamine                             	       9        1        8        0        0
21929 gamine-data                        	       9        0        0        0        9
21930 gargoyle-free                      	       9        0        9        0        0
21931 gcc-14-doc                         	       9        0        0        0        9
21932 gcc-4.9-doc                        	       9        0        0        0        9
21933 gcc-9-cross-base                   	       9        0        0        0        9
21934 gccgo                              	       9        0        9        0        0
21935 gcl                                	       9        0        8        1        0
21936 gconf-editor                       	       9        0        9        0        0
21937 gcp                                	       9        1        8        0        0
21938 gem2deb                            	       9        0        9        0        0
21939 generate-ninja                     	       9        0        9        0        0
21940 get-iplayer                        	       9        0        9        0        0
21941 gfortran-4.9                       	       9        0        9        0        0
21942 gir1.2-git2-glib-1.0               	       9        0        9        0        0
21943 gir1.2-nmgtk-1.0                   	       9        0        0        0        9
21944 gir1.2-webkit-3.0                  	       9        0        0        0        9
21945 gir1.2-wnck-1.0                    	       9        0        0        0        9
21946 gis-tasks                          	       9        0        0        0        9
21947 git-big-picture                    	       9        3        6        0        0
21948 gkdebconf                          	       9        1        8        0        0
21949 gkrellkam                          	       9        2        7        0        0
21950 gkrelltopd                         	       9        0        9        0        0
21951 glusterfs-common                   	       9        0        9        0        0
21952 gmerlin                            	       9        0        9        0        0
21953 gmerlin-data                       	       9        0        0        0        9
21954 gmerlin-plugins-base               	       9        0        0        0        9
21955 gmic-zart                          	       9        0        9        0        0
21956 gmt                                	       9        1        8        0        0
21957 gmt-gshhg-high                     	       9        0        0        0        9
21958 gnome-themes-extras                	       9        0        0        0        9
21959 gnustep-make                       	       9        1        8        0        0
21960 goattracker                        	       9        0        9        0        0
21961 gobjc++                            	       9        0        0        0        9
21962 golang-1.11-doc                    	       9        0        9        0        0
21963 golang-1.11-go                     	       9        0        9        0        0
21964 golang-1.11-src                    	       9        0        9        0        0
21965 goldendict-wordnet                 	       9        0        0        0        9
21966 gprolog                            	       9        0        9        0        0
21967 gpsman                             	       9        0        9        0        0
21968 gpw                                	       9        1        8        0        0
21969 grimshot                           	       9        0        9        0        0
21970 grisbi                             	       9        0        9        0        0
21971 grisbi-common                      	       9        0        0        0        9
21972 grub-splashimages                  	       9        0        0        0        9
21973 gsalliere                          	       9        0        9        0        0
21974 gsettings-desktop-schemas-dev      	       9        0        9        0        0
21975 gstreamer0.10-tools                	       9        2        7        0        0
21976 gtk-sharp2                         	       9        0        0        0        9
21977 gtk-sharp2-examples                	       9        0        9        0        0
21978 gtk-sharp2-gapi                    	       9        0        9        0        0
21979 gtk-sharp3                         	       9        0        0        0        9
21980 gtk-sharp3-examples                	       9        0        0        0        9
21981 gtk-sharp3-gapi                    	       9        0        9        0        0
21982 gtkboard                           	       9        0        9        0        0
21983 guile-library                      	       9        0        0        0        9
21984 gzrt                               	       9        2        7        0        0
21985 hackrf                             	       9        1        8        0        0
21986 hamster-applet                     	       9        0        8        0        1
21987 harden-doc                         	       9        0        0        0        9
21988 haskell98-report                   	       9        0        0        0        9
21989 hdmi2usb-fx2-firmware              	       9        0        8        0        1
21990 helm                               	       9        0        9        0        0
21991 herbstluftwm                       	       9        1        8        0        0
21992 hexalate                           	       9        0        9        0        0
21993 hsa-rocr-dev                       	       9        0        8        0        1
21994 hunspell-be                        	       9        0        9        0        0
21995 hunspell-hr                        	       9        0        0        0        9
21996 hunspell-tr                        	       9        0        0        0        9
21997 ibus-table                         	       9        2        7        0        0
21998 icmpinfo                           	       9        1        8        0        0
21999 idle-python3.9                     	       9        0        9        0        0
22000 impressive                         	       9        0        9        0        0
22001 inkscape-speleo                    	       9        0        0        0        9
22002 ipmiutil                           	       9        0        9        0        0
22003 irssi-plugin-xmpp                  	       9        0        0        0        9
22004 isag                               	       9        3        6        0        0
22005 isrcsubmit                         	       9        0        9        0        0
22006 iwatch                             	       9        0        9        0        0
22007 iwgtk                              	       9        5        4        0        0
22008 jack-example-tools                 	       9        2        6        1        0
22009 jack-keyboard                      	       9        0        9        0        0
22010 jam                                	       9        0        9        0        0
22011 jbig2dec                           	       9        1        8        0        0
22012 jed-extra                          	       9        0        0        0        9
22013 jekyll-theme-minima                	       9        0        0        0        9
22014 jlex                               	       9        0        9        0        0
22015 jnoise                             	       9        0        9        0        0
22016 jxplorer                           	       9        0        9        0        0
22017 kacpimon                           	       9        1        8        0        0
22018 kball                              	       9        0        9        0        0
22019 kball-data                         	       9        0        0        0        9
22020 kdeartwork-theme-icon              	       9        0        0        0        9
22021 kdegraphics-mobipocket             	       9        0        1        0        8
22022 kdesignerplugin-data               	       9        0        0        0        9
22023 keepass2-doc                       	       9        0        0        0        9
22024 kernel-common                      	       9        0        0        0        9
22025 kerneltop                          	       9        0        9        0        0
22026 keurocalc                          	       9        0        9        0        0
22027 kf5-kdepimlibs-kio-plugins         	       9        0        0        0        9
22028 kgames                             	       9        0        9        0        0
22029 kget-doc                           	       9        0        0        0        9
22030 kid3-cli                           	       9        0        8        1        0
22031 kinit-dev                          	       9        0        0        0        9
22032 kipi-plugins                       	       9        0        4        0        5
22033 kmailtransport-plugins             	       9        0        0        0        9
22034 kmrml-trinity                      	       9        0        9        0        0
22035 kmymoney                           	       9        0        9        0        0
22036 kmymoney-common                    	       9        0        0        0        9
22037 kodi-pvr-hts                       	       9        0        1        0        8
22038 krosspython                        	       9        0        0        0        9
22039 ksmtuned                           	       9        0        9        0        0
22040 ksnapshot                          	       9        0        2        0        7
22041 kylin-video                        	       9        1        8        0        0
22042 latex-mk                           	       9        2        7        0        0
22043 latexila                           	       9        0        9        0        0
22044 latexila-data                      	       9        0        0        0        9
22045 latexml                            	       9        2        7        0        0
22046 lazpaint-gtk2                      	       9        0        9        0        0
22047 lcdproc                            	       9        2        7        0        0
22048 lcl-utils                          	       9        0        0        0        9
22049 ldapscripts                        	       9        0        8        1        0
22050 leocad                             	       9        1        8        0        0
22051 lib32cilkrts5                      	       9        0        0        0        9
22052 lib32ubsan0                        	       9        0        0        0        9
22053 lib4ti2-0                          	       9        0        0        0        9
22054 libabsl-dev                        	       9        0        8        1        0
22055 libakonadicalendar-data            	       9        4        0        0        5
22056 libakonadisearch-bin               	       9        4        3        2        0
22057 libakonadisearch-plugins           	       9        6        2        0        1
22058 libapache2-mod-authnz-external     	       9        2        7        0        0
22059 libapache2-mod-php8.0              	       9        2        7        0        0
22060 libapache2-mod-php8.1              	       9        2        7        0        0
22061 libapache2-mod-php8.3              	       9        0        6        3        0
22062 libasan4                           	       9        0        0        0        9
22063 libatlas-base-dev                  	       9        0        9        0        0
22064 libaudcore3                        	       9        0        0        0        9
22065 libaudgui3                         	       9        0        0        0        9
22066 libaudgui5t64                      	       9        0        0        0        9
22067 libaudiofile0                      	       9        0        0        0        9
22068 libaudqt2t64                       	       9        0        0        0        9
22069 libaudtag2                         	       9        0        0        0        9
22070 libavahi-core-dev                  	       9        0        9        0        0
22071 libavcodec54                       	       9        0        0        0        9
22072 libavutil53                        	       9        0        0        0        9
22073 libbeidpkcs11-0                    	       9        0        6        0        3
22074 libbeidpkcs11-bin                  	       9        1        7        1        0
22075 libblockdev-mdraid2                	       9        1        5        0        3
22076 libbluray-doc                      	       9        0        0        0        9
22077 libboost-container1.83-dev         	       9        0        0        0        9
22078 libboost-container1.83.0           	       9        0        0        0        9
22079 libboost-context1.67.0             	       9        0        0        0        9
22080 libboost-context1.83-dev           	       9        0        0        0        9
22081 libboost-coroutine1.83-dev         	       9        0        0        0        9
22082 libboost-exception1.83-dev         	       9        0        0        0        9
22083 libboost-fiber1.83-dev             	       9        0        0        0        9
22084 libboost-fiber1.83.0               	       9        0        0        0        9
22085 libboost-filesystem1.55.0          	       9        0        0        0        9
22086 libboost-graph-parallel1.83-dev    	       9        0        0        0        9
22087 libboost-graph-parallel1.83.0      	       9        0        0        0        9
22088 libboost-graph1.67.0               	       9        0        0        0        9
22089 libboost-graph1.83-dev             	       9        0        0        0        9
22090 libboost-graph1.83.0               	       9        0        0        0        9
22091 libboost-locale1.83-dev            	       9        0        0        0        9
22092 libboost-math1.83-dev              	       9        0        0        0        9
22093 libboost-math1.83.0                	       9        0        0        0        9
22094 libboost-mpi1.83.0                 	       9        0        0        0        9
22095 libboost-nowide1.83-dev            	       9        0        0        0        9
22096 libboost-numpy1.83-dev             	       9        0        0        0        9
22097 libboost-random1.83-dev            	       9        0        0        0        9
22098 libboost-stacktrace1.83-dev        	       9        0        0        0        9
22099 libboost-stacktrace1.83.0          	       9        0        0        0        9
22100 libboost-test1.67-dev              	       9        0        0        0        9
22101 libboost-timer1.83-dev             	       9        0        0        0        9
22102 libboost-type-erasure1.83-dev      	       9        0        0        0        9
22103 libboost-type-erasure1.83.0        	       9        0        0        0        9
22104 libbraiding0                       	       9        0        0        0        9
22105 libbrlapi-dev                      	       9        0        8        1        0
22106 libbtbb1                           	       9        0        0        0        9
22107 libc3p0-java                       	       9        0        0        0        9
22108 libc6-i686                         	       9        0        3        0        6
22109 libcdd-dev                         	       9        0        9        0        0
22110 libcdd-doc                         	       9        0        0        0        9
22111 libcdio10                          	       9        0        0        0        9
22112 libcdparanoia-dev                  	       9        0        9        0        0
22113 libcgal13                          	       9        0        0        0        9
22114 libcgi-simple-perl                 	       9        1        8        0        0
22115 libclamunrar9                      	       9        0        1        0        8
22116 libconfuse-doc                     	       9        0        0        0        9
22117 libcpputest-dev                    	       9        0        9        0        0
22118 libcrack2-dev                      	       9        0        8        1        0
22119 libcsirocsa0                       	       9        0        0        0        9
22120 libcsironn0                        	       9        0        0        0        9
22121 libcsv-java                        	       9        0        0        0        9
22122 libcunit1-dev                      	       9        1        8        0        0
22123 libcwiid-dev                       	       9        1        8        0        0
22124 libdbd-pgsql                       	       9        1        0        0        8
22125 libdbusmenu-lxqt0                  	       9        1        4        2        2
22126 libdbusmenu-qt5-dev                	       9        0        9        0        0
22127 libdds0                            	       9        0        0        0        9
22128 libdieharder3                      	       9        0        0        0        9
22129 libdigest-crc-perl                 	       9        0        0        0        9
22130 libdomain-publicsuffix-perl        	       9        0        9        0        0
22131 libdrumstick-plugins               	       9        0        0        0        9
22132 libec-dev                          	       9        0        9        0        0
22133 libecpg-compat3                    	       9        0        0        0        9
22134 libecpg-dev                        	       9        0        8        1        0
22135 libedata-cal-1.2-29                	       9        0        1        0        8
22136 libeidviewer0                      	       9        0        0        0        9
22137 libemail-outlook-message-perl      	       9        0        9        0        0
22138 libenchant-2-voikko                	       9        0        1        0        8
22139 libevdev-tools                     	       9        0        9        0        0
22140 libfec0                            	       9        0        0        0        9
22141 libfeedback-0.0-0                  	       9        2        1        0        6
22142 libfelix-bundlerepository-java     	       9        0        0        0        9
22143 libffmpegthumbnailer-dev           	       9        0        9        0        0
22144 libfile-slurp-tiny-perl            	       9        0        9        0        0
22145 libfltk-gl1.3t64                   	       9        0        0        0        9
22146 libfm-qt6-15                       	       9        1        4        2        2
22147 libfplll-dev                       	       9        0        9        0        0
22148 libfreemarker-java                 	       9        0        0        0        9
22149 libg15daemon-client1               	       9        0        0        0        9
22150 libg3d-plugins                     	       9        0        0        0        9
22151 libgarcon-1-dev                    	       9        0        9        0        0
22152 libgcc1-dbg                        	       9        0        9        0        0
22153 libgcj15                           	       9        0        0        0        9
22154 libgdome2-cpp-smart0v5             	       9        0        0        0        9
22155 libgedit-gtksourceview-300-3       	       9        0        0        0        9
22156 libgee2                            	       9        0        0        0        9
22157 libgeronimo-jta-1.2-spec-java      	       9        0        0        0        9
22158 libgf2x-dev                        	       9        0        9        0        0
22159 libghc-data-default-class-prof     	       9        0        0        0        9
22160 libghc-monad-control-dev           	       9        1        8        0        0
22161 libghc-safe-dev                    	       9        0        9        0        0
22162 libghc-semigroups-dev              	       9        0        9        0        0
22163 libghc-splitmix-prof               	       9        0        0        0        9
22164 libghc-typed-process-dev           	       9        0        9        0        0
22165 libgirepository-1.0-dev            	       9        0        5        4        0
22166 libgnuinet-java                    	       9        0        0        0        9
22167 libgnumail-java                    	       9        0        0        0        9
22168 libgo21                            	       9        0        0        0        9
22169 libgsoap-2.8.104                   	       9        0        0        0        9
22170 libgtkmathview0c2a                 	       9        0        0        0        9
22171 libgtkmm-3.0-doc                   	       9        0        9        0        0
22172 libgtksourceview-3.0-dev           	       9        0        9        0        0
22173 libguytools2                       	       9        0        0        0        9
22174 libhal-storage1                    	       9        0        0        0        9
22175 libhangul-data                     	       9        0        0        0        9
22176 libhangul1                         	       9        0        3        0        6
22177 libhash-merge-simple-perl          	       9        1        8        0        0
22178 libhashkit2t64                     	       9        3        1        0        5
22179 libhdf5-openmpi-103-1t64           	       9        0        0        0        9
22180 libhiredis-dev                     	       9        0        9        0        0
22181 libhomfly0                         	       9        0        0        0        9
22182 libhtmlcleaner-java                	       9        0        0        0        9
22183 libhtscodecs2                      	       9        0        0        0        9
22184 libhugs-alut-bundled               	       9        0        9        0        0
22185 libhugs-cabal-bundled              	       9        0        9        0        0
22186 libhugs-fgl-bundled                	       9        0        9        0        0
22187 libhugs-glut-bundled               	       9        0        9        0        0
22188 libhugs-haskell-src-bundled        	       9        0        9        0        0
22189 libhugs-haxml-bundled              	       9        0        9        0        0
22190 libhugs-hgl-bundled                	       9        0        9        0        0
22191 libhugs-hunit-bundled              	       9        0        9        0        0
22192 libhugs-mtl-bundled                	       9        0        9        0        0
22193 libhugs-network-bundled            	       9        0        9        0        0
22194 libhugs-openal-bundled             	       9        0        9        0        0
22195 libhugs-opengl-bundled             	       9        0        9        0        0
22196 libhugs-parsec-bundled             	       9        0        9        0        0
22197 libhugs-quickcheck-bundled         	       9        0        9        0        0
22198 libhugs-stm-bundled                	       9        0        9        0        0
22199 libhugs-time-bundled               	       9        0        9        0        0
22200 libhugs-unix-bundled               	       9        0        9        0        0
22201 libhugs-x11-bundled                	       9        0        9        0        0
22202 libhugs-xhtml-bundled              	       9        0        9        0        0
22203 libicu44                           	       9        0        0        0        9
22204 libicu60                           	       9        0        1        0        8
22205 libicu65                           	       9        2        0        0        7
22206 libij-java                         	       9        0        0        0        9
22207 libiml-dev                         	       9        0        9        0        0
22208 libio-dirent-perl                  	       9        0        0        0        9
22209 libirman0                          	       9        0        0        0        9
22210 libitext1-java                     	       9        0        0        0        9
22211 libitpp-dev                        	       9        1        8        0        0
22212 libj2ssh-java                      	       9        0        0        0        9
22213 libjavascriptcoregtk-4.1-dev       	       9        0        9        0        0
22214 libjettison-java                   	       9        0        0        0        9
22215 libjlayer-java                     	       9        0        0        0        9
22216 libjline-java-doc                  	       9        0        0        0        9
22217 libjopendocument-java              	       9        0        0        0        9
22218 libjoptsimple-java                 	       9        0        0        0        9
22219 libjs-lodash                       	       9        0        0        0        9
22220 libjs-mathjax-doc                  	       9        0        0        0        9
22221 libjs-mustache                     	       9        0        0        0        9
22222 libjs-term.js                      	       9        0        0        0        9
22223 libjsch-agent-proxy-java           	       9        0        0        0        9
22224 libkarma0                          	       9        0        0        0        9
22225 libkdcraw-data                     	       9        0        0        0        9
22226 libkdecorations3private1           	       9        2        0        1        6
22227 libkeduvocdocument5                	       9        0        0        0        9
22228 libkf5akonadicalendar5             	       9        0        0        0        9
22229 libkf5alarmcalendar5               	       9        0        0        0        9
22230 libkf5calendarsupport5             	       9        0        0        0        9
22231 libkf5declarative-dev              	       9        0        8        1        0
22232 libkf5eventviews5                  	       9        0        0        0        9
22233 libkf5gapicalendar5                	       9        0        0        0        9
22234 libkf5gapicontacts5                	       9        0        0        0        9
22235 libkf5gapicore5                    	       9        0        0        0        9
22236 libkf5gapitasks5                   	       9        0        0        0        9
22237 libkf5gravatar5                    	       9        0        0        0        9
22238 libkf5incidenceeditor5             	       9        0        0        0        9
22239 libkf5kcmutils-doc                 	       9        0        0        0        9
22240 libkf5mailcommon5                  	       9        0        0        0        9
22241 libkf5mediaplayer-data             	       9        0        0        0        9
22242 libkf5messagecomposer5             	       9        0        0        0        9
22243 libkf5messagecore5                 	       9        0        0        0        9
22244 libkf5messagelist5                 	       9        0        0        0        9
22245 libkf5messageviewer5               	       9        0        0        0        9
22246 libkf5notifyconfig-doc             	       9        0        0        0        9
22247 libkf5pimcommon5                   	       9        0        0        0        9
22248 libkf5pimtextedit5                 	       9        0        0        0        9
22249 libkf5syntaxhighlighting-dev       	       9        0        9        0        0
22250 libkf5sysguard-dev                 	       9        0        9        0        0
22251 libkf5threadweaver-dev             	       9        0        9        0        0
22252 libkf6dav6                         	       9        1        0        0        8
22253 libkf6textautocorrectionwidgets1   	       9        0        0        0        9
22254 libkf6textgrammarcheck1            	       9        0        0        0        9
22255 libkf6texttranslator1              	       9        0        0        0        9
22256 libkgantt-l10n                     	       9        0        0        0        9
22257 libkgantt6-3                       	       9        0        0        0        9
22258 libkolab1                          	       9        0        0        0        9
22259 libkpim6addressbookimportexport6   	       9        0        0        0        9
22260 libkpim6akonadiagentbase6          	       9        4        0        0        5
22261 libkpim6akonadicalendar6           	       9        7        2        0        0
22262 libkpim6akonadisearchcore6         	       9        4        0        0        5
22263 libkpim6akonadisearchdebug6        	       9        0        0        0        9
22264 libkpim6akonadisearchpim6          	       9        7        2        0        0
22265 libkpim6akonadisearchxapian6       	       9        4        0        0        5
22266 libkpim6calendarsupport6           	       9        0        0        0        9
22267 libkpim6eventviews6                	       9        0        0        0        9
22268 libkpim6gapicalendar6              	       9        0        0        0        9
22269 libkpim6gapicore6                  	       9        1        0        0        8
22270 libkpim6gapipeople6                	       9        0        0        0        9
22271 libkpim6gapitasks6                 	       9        0        0        0        9
22272 libkpim6imap6                      	       9        7        2        0        0
22273 libkpim6importwizard6              	       9        0        0        0        9
22274 libkpim6incidenceeditor6           	       9        0        0        0        9
22275 libkpim6itinerary6                 	       9        0        0        0        9
22276 libkpim6kmanagesieve6              	       9        0        0        0        9
22277 libkpim6ksieve6                    	       9        0        0        0        9
22278 libkpim6ksievecore6                	       9        0        0        0        9
22279 libkpim6ksieveui6                  	       9        0        0        0        9
22280 libkpim6ldapwidgets6               	       9        0        0        0        9
22281 libkpim6mailcommon6                	       9        4        0        0        5
22282 libkpim6mailimporter6              	       9        4        0        0        5
22283 libkpim6mailimporterakonadi6       	       9        0        0        0        9
22284 libkpim6messagecomposer6           	       9        7        2        0        0
22285 libkpim6messagelist6               	       9        4        0        0        5
22286 libkpim6pimcommonakonadi6          	       9        7        2        0        0
22287 libkpim6pkpass6                    	       9        0        0        0        9
22288 libkpim6smtp6                      	       9        0        0        0        9
22289 libkpim6templateparser6            	       9        7        2        0        0
22290 libkpim6tnef6                      	       9        0        0        0        9
22291 libktnef-data                      	       9        0        0        0        9
22292 libktpcommoninternals9abi1         	       9        0        4        0        5
22293 libktpmodels9abi1                  	       9        0        4        0        5
22294 libkwinglutils9                    	       9        0        0        0        9
22295 liblexical-sealrequirehints-perl   	       9        0        0        0        9
22296 liblfunction-dev                   	       9        0        9        0        0
22297 liblinbox-1.7.0-0                  	       9        0        0        0        9
22298 liblinbox-dev                      	       9        0        9        0        0
22299 liblink-grammar5t64                	       9        0        0        0        9
22300 liblrcalc-dev                      	       9        0        9        0        0
22301 liblrm2                            	       9        0        0        0        9
22302 liblsofui9                         	       9        0        0        0        9
22303 liblxqt-globalkeys2                	       9        1        4        2        2
22304 libm4ri-0.0.20200125               	       9        0        0        0        9
22305 libm4ri-dev                        	       9        0        9        0        0
22306 libm4rie-0.0.20200125              	       9        0        0        0        9
22307 libm4rie-dev                       	       9        0        9        0        0
22308 libmaa3                            	       9        0        0        0        9
22309 libmagickcore-6.q16-7              	       9        0        0        0        9
22310 libmagickwand-6.q16-7              	       9        0        0        0        9
22311 libmail-java-doc                   	       9        0        0        0        9
22312 libmailimporter-data               	       9        0        0        0        9
22313 libmariadbd-dev                    	       9        0        7        2        0
22314 libmariadbd19                      	       9        0        0        0        9
22315 libmatheval1                       	       9        0        0        0        9
22316 libmdbsql2                         	       9        0        0        0        9
22317 libmemcached11t64                  	       9        3        1        0        5
22318 libmessaging-menu0                 	       9        0        0        0        9
22319 libmicrohttpd10                    	       9        0        0        0        9
22320 libmime-base32-perl                	       9        2        7        0        0
22321 libmozilla-publicsuffix-perl       	       9        1        8        0        0
22322 libnepomukcore4                    	       9        1        0        0        8
22323 libnginx-mod-http-fancyindex       	       9        4        5        0        0
22324 libnjb5                            	       9        2        7        0        0
22325 libnss-libvirt                     	       9        1        1        0        7
22326 libnvidia-vksc-core                	       9        0        7        2        0
22327 libnxml0                           	       9        0        0        0        9
22328 libobs-dev                         	       9        0        9        0        0
22329 libocct-foundation-dev             	       9        0        9        0        0
22330 libocct-modeling-algorithms-dev    	       9        0        1        0        8
22331 libocct-modeling-data-dev          	       9        0        1        0        8
22332 libocct-ocaf-dev                   	       9        0        1        0        8
22333 libocct-visualization-dev          	       9        0        9        0        0
22334 libofx7t64                         	       9        0        0        0        9
22335 libogre-1.9.0v5                    	       9        0        0        0        9
22336 libogre1.12.10                     	       9        0        9        0        0
22337 libopenmpi2                        	       9        0        0        0        9
22338 libopenshot-audio6                 	       9        0        0        0        9
22339 libopenshot16                      	       9        0        0        0        9
22340 libopentracing-c-wrapper0          	       9        2        7        0        0
22341 libopentracing1                    	       9        2        7        0        0
22342 libpacparser1                      	       9        1        7        1        0
22343 libpam-python                      	       9        0        6        0        3
22344 libpaps0                           	       9        0        0        0        9
22345 libparmap-ocaml                    	       9        0        9        0        0
22346 libparted-i18n                     	       9        0        0        0        9
22347 libperl5.30                        	       9        2        7        0        0
22348 libpils2                           	       9        0        2        0        7
22349 libpipewire-0.3-modules-xrdp       	       9        0        0        0        9
22350 libplacebo2                        	       9        0        0        0        9
22351 libplist1                          	       9        0        0        0        9
22352 libplplot-data                     	       9        0        0        0        9
22353 libplplot17                        	       9        0        0        0        9
22354 libplumb2                          	       9        0        0        0        9
22355 libplumbgpl2                       	       9        0        0        0        9
22356 libpoco-dev                        	       9        0        9        0        0
22357 libpocofoundation70                	       9        0        0        0        9
22358 libpoconet70                       	       9        0        0        0        9
22359 libpoconet80                       	       9        0        0        0        9
22360 libpocoxml70                       	       9        0        0        0        9
22361 libpod2-base-perl                  	       9        0        9        0        0
22362 libppd0                            	       9        0        0        0        9
22363 libpqxx-6.4                        	       9        0        0        0        9
22364 libprimecount7                     	       9        0        0        0        9
22365 libproxy-dev                       	       9        0        9        0        0
22366 libproxychains4                    	       9        0        0        0        9
22367 libqgis-3d3.10.14                  	       9        0        0        0        9
22368 libqgis-app3.10.14                 	       9        0        0        0        9
22369 libqgis-gui3.10.14                 	       9        0        1        0        8
22370 libqglviewer2-qt5                  	       9        0        1        0        8
22371 libqpid-proton11                   	       9        0        0        0        9
22372 libqt5-ukui-style1                 	       9        0        0        0        9
22373 libqt53dquickanimation5            	       9        0        0        0        9
22374 libqt5location5-plugin-mapboxgl    	       9        0        0        0        9
22375 libqt5qxlsx0t64                    	       9        0        0        0        9
22376 libqt5sensors5-dev                 	       9        0        9        0        0
22377 libqt6webenginequickdelegatesqml6  	       9        0        0        0        9
22378 libquazip1-qt5-1t64                	       9        1        0        0        8
22379 libradare2-common                  	       9        0        0        0        9
22380 libradare2-dev                     	       9        1        8        0        0
22381 libratpoints-dev                   	       9        0        9        0        0
22382 libreadosm1                        	       9        0        0        0        9
22383 libreoffice-l10n-tr                	       9        0        9        0        0
22384 librist-dev                        	       9        0        8        1        0
22385 librnd3-cloud                      	       9        0        9        0        0
22386 librnd3-hid-gtk2-gdk               	       9        0        9        0        0
22387 librnd3-hid-gtk2-gl                	       9        0        9        0        0
22388 librnd3-lib-gl                     	       9        0        9        0        0
22389 librnd3-lib-gtk                    	       9        0        9        0        0
22390 librnd3-lib-gui                    	       9        0        9        0        0
22391 librnd3-pixmap                     	       9        0        9        0        0
22392 librtmidi7                         	       9        0        0        0        9
22393 librust-addr2line+cpp-demangle-dev 	       9        0        0        0        9
22394 librust-addr2line+default-dev      	       9        0        0        0        9
22395 librust-addr2line+object-dev       	       9        0        0        0        9
22396 librust-addr2line+rustc-demangle-dev	       9        0        0        0        9
22397 librust-addr2line+std-dev          	       9        0        0        0        9
22398 librust-addr2line+std-object-dev   	       9        0        0        0        9
22399 librust-flate2+miniz-oxide-dev     	       9        0        0        0        9
22400 librust-heck-dev                   	       9        0        0        0        9
22401 librust-unicode-segmentation-dev   	       9        0        0        0        9
22402 librw-dev                          	       9        0        9        0        0
22403 libscsynth1                        	       9        0        0        0        9
22404 libsdl-console                     	       9        0        0        0        9
22405 libsdl-sound1.2-dev                	       9        0        9        0        0
22406 libsfml-system2.6                  	       9        0        0        0        9
22407 libsimavr2                         	       9        0        0        0        9
22408 libsingular4-dev                   	       9        0        9        0        0
22409 libsingular4-dev-common            	       9        0        9        0        0
22410 libslicot0                         	       9        0        0        0        9
22411 libsnapd-qt-2-1                    	       9        0        1        0        8
22412 libsocialweb-client2               	       9        0        0        0        9
22413 libsocialweb-common                	       9        0        0        0        9
22414 libsoundio1                        	       9        0        0        0        9
22415 libspice-server-dev                	       9        0        9        0        0
22416 libspqr4                           	       9        0        0        0        9
22417 libspread-sheet-widget             	       9        0        0        0        9
22418 libsqlite0-dev                     	       9        0        9        0        0
22419 libsqliteodbc                      	       9        0        0        0        9
22420 libsrt-openssl-dev                 	       9        0        9        0        0
22421 libstatistics-descriptive-perl     	       9        0        9        0        0
22422 libstb-dev                         	       9        0        8        1        0
22423 libstdc++-12-dev-arm64-cross       	       9        1        8        0        0
22424 libstdcompat-ocaml                 	       9        0        9        0        0
22425 libstonith1                        	       9        0        0        0        9
22426 libsymmetrica-dev                  	       9        0        9        0        0
22427 libsymmetrica2-dev                 	       9        0        0        0        9
22428 libsyndication4                    	       9        0        0        0        9
22429 libsystemc                         	       9        0        0        0        9
22430 libsystemc-dev                     	       9        0        9        0        0
22431 libtecla1t64                       	       9        0        0        0        9
22432 libtidy-dev                        	       9        1        8        0        0
22433 libtokyocabinet-dev                	       9        0        9        0        0
22434 libtracker-control-1.0-0           	       9        0        0        0        9
22435 libtracker-sparql-0.14-0           	       9        0        9        0        0
22436 libttspico0                        	       9        0        0        0        9
22437 libtypes-path-tiny-perl            	       9        2        7        0        0
22438 libumockdev0                       	       9        0        4        0        5
22439 libunbound-dev                     	       9        0        9        0        0
22440 libunrar5                          	       9        0        0        0        9
22441 libvalacodegen-0.48-0              	       9        0        0        0        9
22442 libvarnishapi2                     	       9        0        0        0        9
22443 libvdeplug-dev                     	       9        0        8        1        0
22444 libvirt-doc                        	       9        0        0        0        9
22445 libvolk-dev                        	       9        0        7        2        0
22446 libvpb-dev                         	       9        0        9        0        0
22447 libvpx0                            	       9        0        0        0        9
22448 libwaffle-1-0                      	       9        0        0        0        9
22449 libwebkit2gtk-4.1-dev              	       9        0        9        0        0
22450 libwine-gecko-2.21                 	       9        0        0        0        9
22451 libwireshark5                      	       9        0        0        0        9
22452 libwpd-tools                       	       9        3        6        0        0
22453 libwxgtk-media3.0-0v5              	       9        0        0        0        9
22454 libwxsqlite3-3.0-0                 	       9        0        0        0        9
22455 libxcb-xvmc0                       	       9        0        0        0        9
22456 libxml-descent-perl                	       9        0        9        0        0
22457 libxmlada-input7                   	       9        0        0        0        9
22458 libxmlada-sax7                     	       9        0        0        0        9
22459 libxmlada-schema7                  	       9        0        0        0        9
22460 libxmlada-unicode7                 	       9        0        0        0        9
22461 libxsltc-java                      	       9        0        0        0        9
22462 libyanfs-java                      	       9        0        0        0        9
22463 libyuv-dev                         	       9        0        9        0        0
22464 libzn-poly-dev                     	       9        0        9        0        0
22465 linux-compiler-gcc-11-x86          	       9        0        0        0        9
22466 linux-headers-3.16.0-6-amd64       	       9        0        9        0        0
22467 linux-headers-3.16.0-6-common      	       9        0        9        0        0
22468 linux-headers-4.19.0-16-common     	       9        0        8        0        1
22469 linux-headers-4.19.0-20-amd64      	       9        0        9        0        0
22470 linux-headers-4.19.0-25-amd64      	       9        0        9        0        0
22471 linux-headers-4.19.0-26-common     	       9        0        9        0        0
22472 linux-headers-5.10.0-17-common     	       9        0        9        0        0
22473 linux-headers-6.1.0-15-common      	       9        0        9        0        0
22474 linux-headers-6.10.6+bpo-amd64     	       9        0        9        0        0
22475 linux-headers-6.10.6+bpo-common    	       9        0        9        0        0
22476 linux-headers-6.11.10-amd64        	       9        0        9        0        0
22477 linux-headers-6.11.10-common       	       9        0        9        0        0
22478 linux-headers-6.12.11-amd64        	       9        0        9        0        0
22479 linux-headers-6.12.11-common       	       9        0        9        0        0
22480 linux-image-3.16.0-11-amd64        	       9        0        9        0        0
22481 linux-image-3.16.0-5-amd64         	       9        1        8        0        0
22482 linux-image-4.19.0-11-amd64        	       9        0        8        0        1
22483 linux-image-4.19.0-14-686          	       9        0        9        0        0
22484 linux-image-4.19.0-6-amd64         	       9        0        8        0        1
22485 linux-image-4.19.0-8-amd64         	       9        0        9        0        0
22486 linux-image-5.10-amd64             	       9        0        0        0        9
22487 linux-image-6.1.0-0.deb11.17-amd64 	       9        2        7        0        0
22488 linux-image-6.1.0-23-686           	       9        0        9        0        0
22489 linux-image-6.10.7-amd64           	       9        1        8        0        0
22490 linux-image-arm64                  	       9        0        0        0        9
22491 linux-kbuild-6.10.6+bpo            	       9        0        0        0        9
22492 linux-kbuild-6.11.10               	       9        0        0        0        9
22493 lmarbles                           	       9        0        9        0        0
22494 lprng                              	       9        3        6        0        0
22495 lr                                 	       9        0        9        0        0
22496 lsb-core                           	       9        1        8        0        0
22497 lua-luaossl                        	       9        0        1        0        8
22498 luksmeta                           	       9        0        9        0        0
22499 mactelnet-client                   	       9        0        9        0        0
22500 madplay                            	       9        0        9        0        0
22501 mailutils-mh                       	       9        2        7        0        0
22502 makedeb                            	       9        0        8        1        0
22503 makedumpfile                       	       9        2        7        0        0
22504 makefs                             	       9        1        8        0        0
22505 manpages-de-dev                    	       9        0        0        0        9
22506 manpages-pt                        	       9        0        0        0        9
22507 manuskript                         	       9        0        9        0        0
22508 mate-polkit-bin                    	       9        1        8        0        0
22509 mate-xapp-status-applet            	       9        0        0        0        9
22510 maven-repo-helper                  	       9        0        9        0        0
22511 mcu8051ide                         	       9        0        8        1        0
22512 medcon                             	       9        0        9        0        0
22513 megacli                            	       9        3        4        0        2
22514 mergerfs                           	       9        1        7        1        0
22515 minetest-mod-mesecons              	       9        0        0        0        9
22516 minimodem                          	       9        0        9        0        0
22517 minisign                           	       9        1        8        0        0
22518 monodevelop                        	       9        1        8        0        0
22519 monodoc-browser                    	       9        0        9        0        0
22520 mpeg3-utils                        	       9        1        8        0        0
22521 mssh                               	       9        2        7        0        0
22522 multimon-ng                        	       9        0        9        0        0
22523 mwm                                	       9        0        9        0        0
22524 myspell-et                         	       9        0        9        0        0
22525 netcat6                            	       9        1        8        0        0
22526 netcdf-doc                         	       9        0        0        0        9
22527 netwox                             	       9        1        8        0        0
22528 neurodebian-archive-keyring        	       9        0        0        0        9
22529 newlisp                            	       9        0        9        0        0
22530 ng-utils                           	       9        2        7        0        0
22531 nsnake                             	       9        0        9        0        0
22532 nvidia-legacy-340xx-driver-bin     	       9        0        9        0        0
22533 nvidia-vaapi-driver                	       9        1        0        0        8
22534 onionshare                         	       9        0        8        1        0
22535 opencity                           	       9        1        8        0        0
22536 opencity-data                      	       9        0        0        0        9
22537 openjdk-11-demo                    	       9        0        0        0        9
22538 openuniverse                       	       9        2        7        0        0
22539 osm2pgsql                          	       9        1        7        1        0
22540 packaging-dev                      	       9        0        0        0        9
22541 packaging-tutorial                 	       9        0        0        0        9
22542 packeth                            	       9        1        8        0        0
22543 paps                               	       9        0        9        0        0
22544 pathogen                           	       9        0        9        0        0
22545 pax-utils                          	       9        1        8        0        0
22546 pdfproctools                       	       9        0        9        0        0
22547 pdlzip                             	       9        2        6        1        0
22548 penguins-eggs                      	       9        1        0        8        0
22549 perl-modules-5.30                  	       9        0        9        0        0
22550 pescetti                           	       9        0        9        0        0
22551 phalanx                            	       9        0        9        0        0
22552 phonon4qt5-backend-null            	       9        0        0        0        9
22553 php-fpdf                           	       9        2        7        0        0
22554 php-luasandbox                     	       9        4        5        0        0
22555 php-psr-simple-cache               	       9        2        7        0        0
22556 php-seclib                         	       9        1        8        0        0
22557 php-tidy                           	       9        0        0        0        9
22558 php-xmlrpc                         	       9        0        0        0        9
22559 php5.6-gd                          	       9        4        5        0        0
22560 php7.0-soap                        	       9        4        5        0        0
22561 php7.1-common                      	       9        2        7        0        0
22562 php7.3-imap                        	       9        3        6        0        0
22563 php7.4-apcu                        	       9        2        7        0        0
22564 php8.3-imagick                     	       9        4        5        0        0
22565 php8.3-zip                         	       9        4        5        0        0
22566 pidgin-hotkeys                     	       9        3        6        0        0
22567 pike8.0-core                       	       9        0        9        0        0
22568 pinentry-qt4                       	       9        0        9        0        0
22569 pipenightdreams                    	       9        0        9        0        0
22570 pipenightdreams-data               	       9        0        0        0        9
22571 piper                              	       9        0        9        0        0
22572 planets                            	       9        1        8        0        0
22573 plasma-runner-installer            	       9        0        1        0        8
22574 plasma-workspace-dev               	       9        0        8        1        0
22575 postfix-ldap                       	       9        0        9        0        0
22576 postfix-policyd-spf-python         	       9        4        5        0        0
22577 postgresql-15-postgis-3-scripts    	       9        0        0        0        9
22578 postgresql-postgis-scripts         	       9        0        0        0        9
22579 postgresql-server-dev-13           	       9        1        8        0        0
22580 postgresql-server-dev-15           	       9        0        8        1        0
22581 ppdfilt                            	       9        0        9        0        0
22582 ppl-dev                            	       9        0        9        0        0
22583 primecount-bin                     	       9        0        9        0        0
22584 printcore                          	       9        0        9        0        0
22585 pronsole                           	       9        0        9        0        0
22586 proot                              	       9        1        8        0        0
22587 proton-caller                      	       9        0        9        0        0
22588 prove6                             	       9        1        8        0        0
22589 proxychains4                       	       9        0        9        0        0
22590 pspg                               	       9        2        5        2        0
22591 puredata-import                    	       9        0        9        0        0
22592 pvrg-jpeg                          	       9        0        8        1        0
22593 pwauth                             	       9        0        9        0        0
22594 pylint-doc                         	       9        0        0        0        9
22595 pymol                              	       9        1        8        0        0
22596 pymol-data                         	       9        0        0        0        9
22597 python-alabaster                   	       9        0        9        0        0
22598 python-atomicwrites                	       9        1        8        0        0
22599 python-eyed3                       	       9        0        9        0        0
22600 python-imagesize                   	       9        0        9        0        0
22601 python-lazr.restfulclient          	       9        1        8        0        0
22602 python-lazr.uri                    	       9        1        8        0        0
22603 python-more-itertools              	       9        1        8        0        0
22604 python-pathlib                     	       9        0        9        0        0
22605 python-pexpect-doc                 	       9        0        0        0        9
22606 python-pluggy                      	       9        1        8        0        0
22607 python-qt4-dbus                    	       9        0        9        0        0
22608 python-rencode                     	       9        0        9        0        0
22609 python-wadllib                     	       9        1        8        0        0
22610 python3-antlr4                     	       9        0        9        0        0
22611 python3-avro                       	       9        0        9        0        0
22612 python3-bitarray                   	       9        0        6        3        0
22613 python3-boto                       	       9        0        9        0        0
22614 python3-bracex                     	       9        0        8        1        0
22615 python3-cartopy                    	       9        0        9        0        0
22616 python3-certbot-dns-gandi          	       9        0        9        0        0
22617 python3-cleo                       	       9        0        9        0        0
22618 python3-comm                       	       9        1        8        0        0
22619 python3-crashtest                  	       9        0        9        0        0
22620 python3-cryptography-vectors       	       9        0        9        0        0
22621 python3-curtsies                   	       9        0        9        0        0
22622 python3-cysignals-pari             	       9        0        8        0        1
22623 python3-dacite                     	       9        0        6        3        0
22624 python3-daemon                     	       9        0        9        0        0
22625 python3-fpylll                     	       9        0        9        0        0
22626 python3-geographiclib              	       9        0        9        0        0
22627 python3-installer                  	       9        0        8        1        0
22628 python3-json5                      	       9        1        8        0        0
22629 python3-keystoneauth1              	       9        0        9        0        0
22630 python3-libtmux                    	       9        0        9        0        0
22631 python3-marshmallow                	       9        0        9        0        0
22632 python3-msal                       	       9        0        9        0        0
22633 python3-multibootusb               	       9        0        8        1        0
22634 python3-nbsphinx                   	       9        1        8        0        0
22635 python3-os-service-types           	       9        0        9        0        0
22636 python3-portalocker                	       9        0        9        0        0
22637 python3-ppl                        	       9        0        8        1        0
22638 python3-psshlib                    	       9        2        7        0        0
22639 python3-pylev                      	       9        0        9        0        0
22640 python3-pymol                      	       9        1        8        0        0
22641 python3-pyo                        	       9        0        9        0        0
22642 python3-pyqt6.qsci                 	       9        0        8        1        0
22643 python3-rarfile                    	       9        0        9        0        0
22644 python3-setools                    	       9        0        8        1        0
22645 python3-spf-engine                 	       9        2        7        0        0
22646 python3-sphinx-bootstrap-theme     	       9        1        8        0        0
22647 python3-sphinxcontrib.jquery       	       9        0        9        0        0
22648 python3-sqlite-fts4                	       9        0        9        0        0
22649 python3-svg.path                   	       9        0        8        1        0
22650 python3-tomli-w                    	       9        0        8        1        0
22651 python3-urwidtrees                 	       9        0        8        1        0
22652 python3-virtualenvwrapper          	       9        2        7        0        0
22653 python3-wcmatch                    	       9        0        8        1        0
22654 python3-zeep                       	       9        0        9        0        0
22655 python3.10-dev                     	       9        0        9        0        0
22656 python3.13-doc                     	       9        0        0        0        9
22657 qalculate                          	       9        0        0        0        9
22658 qapt-batch                         	       9        0        9        0        0
22659 qemubuilder                        	       9        2        7        0        0
22660 qml-module-org-kde-kquickimageeditor	       9        0        0        0        9
22661 qml-module-qttest                  	       9        0        0        0        9
22662 qml6-module-org-kde-kirigamiaddons-labs-components	       9        0        0        0        9
22663 qml6-module-org-kde-kirigamiaddons-settings	       9        0        0        0        9
22664 qml6-module-qtquick3d              	       9        0        0        0        9
22665 qml6-module-qtquick3d-particles3d  	       9        0        0        0        9
22666 qt6-5compat-dev                    	       9        1        6        2        0
22667 qt6-wayland-dev-tools              	       9        0        8        1        0
22668 qt6-webchannel-dev                 	       9        0        7        2        0
22669 qtdatavisualization5-doc-html      	       9        0        0        0        9
22670 qthid-fcd-controller               	       9        2        7        0        0
22671 qtlocation5-dev                    	       9        0        9        0        0
22672 qtnetworkauth5-doc-html            	       9        0        0        0        9
22673 qtscxml5-doc-html                  	       9        0        0        0        9
22674 qtserialbus5-doc-html              	       9        0        0        0        9
22675 r-cran-ape                         	       9        1        8        0        0
22676 r-cran-colourpicker                	       9        1        8        0        0
22677 r-cran-contfrac                    	       9        1        8        0        0
22678 r-cran-cowplot                     	       9        2        7        0        0
22679 r-cran-extradistr                  	       9        2        7        0        0
22680 r-cran-gdtools                     	       9        1        8        0        0
22681 r-cran-hsaur3                      	       9        1        8        0        0
22682 r-cran-loo                         	       9        2        7        0        0
22683 r-cran-pan                         	       9        1        8        0        0
22684 r-cran-pdftools                    	       9        1        6        2        0
22685 r-cran-phangorn                    	       9        1        8        0        0
22686 r-cran-psych                       	       9        1        8        0        0
22687 r-cran-ranger                      	       9        1        8        0        0
22688 r-cran-rstan                       	       9        1        7        1        0
22689 r-cran-rstantools                  	       9        2        7        0        0
22690 r-cran-sna                         	       9        1        8        0        0
22691 r-cran-stanheaders                 	       9        2        7        0        0
22692 r-cran-truncnorm                   	       9        1        8        0        0
22693 r-cran-webshot                     	       9        1        8        0        0
22694 raku-getopt-long                   	       9        0        9        0        0
22695 raku-tap-harness                   	       9        0        9        0        0
22696 rbenv                              	       9        1        7        1        0
22697 rc                                 	       9        0        9        0        0
22698 rednotebook                        	       9        0        9        0        0
22699 remmina-plugin-kiosk               	       9        1        8        0        0
22700 rhythmbox-plugin-alternative-toolbar	       9        0        9        0        0
22701 rien-guest                         	       9        0        0        0        9
22702 rocm-cmake                         	       9        0        0        0        9
22703 ruby-build                         	       9        1        8        0        0
22704 ruby-gtk2                          	       9        1        8        0        0
22705 ruby-highline                      	       9        0        9        0        0
22706 ruby-jekyll-seo-tag                	       9        0        0        0        9
22707 ruby-mustache                      	       9        0        9        0        0
22708 ruby-trollop                       	       9        0        9        0        0
22709 ruby-turbolinks                    	       9        0        9        0        0
22710 sagemath                           	       9        0        9        0        0
22711 sagemath-jupyter                   	       9        0        0        0        9
22712 salliere                           	       9        0        9        0        0
22713 samplv1-common                     	       9        0        1        0        8
22714 scala                              	       9        0        9        0        0
22715 scanssh                            	       9        2        7        0        0
22716 searchandrescue                    	       9        0        9        0        0
22717 searchandrescue-common             	       9        0        0        0        9
22718 searchandrescue-data               	       9        0        0        0        9
22719 selinux-policy-default             	       9        0        0        0        9
22720 seq24                              	       9        0        9        0        0
22721 sextractor                         	       9        0        1        0        8
22722 sgmls-doc                          	       9        0        0        0        9
22723 shorewall-doc                      	       9        0        0        0        9
22724 silentjack                         	       9        0        9        0        0
22725 simutrans                          	       9        0        9        0        0
22726 simutrans-data                     	       9        0        0        0        9
22727 sirikali                           	       9        1        8        0        0
22728 sketch                             	       9        0        9        0        0
22729 smbc                               	       9        1        8        0        0
22730 speedtest                          	       9        0        9        0        0
22731 spfquery                           	       9        0        7        2        0
22732 sqlite-utils                       	       9        0        9        0        0
22733 sqlite3-pcre                       	       9        0        9        0        0
22734 squidclient                        	       9        1        8        0        0
22735 ssacli                             	       9        0        9        0        0
22736 stardict-common                    	       9        0        1        0        8
22737 stardict-plugin                    	       9        0        2        0        7
22738 strongswan-pki                     	       9        0        9        0        0
22739 sweep                              	       9        0        8        1        0
22740 sysprof                            	       9        0        9        0        0
22741 task-dutch-desktop                 	       9        0        0        0        9
22742 task-russian-kde-desktop           	       9        0        0        0        9
22743 tcl8.5-dev                         	       9        1        8        0        0
22744 tclcurl                            	       9        0        9        0        0
22745 tclx8.4                            	       9        0        9        0        0
22746 telegraf                           	       9        1        7        1        0
22747 telepathy-rakia                    	       9        0        9        0        0
22748 tellico-scripts                    	       9        0        0        0        9
22749 tenace                             	       9        0        9        0        0
22750 terminatorx                        	       9        2        7        0        0
22751 terminus                           	       9        0        9        0        0
22752 tesseract-ocr-ara                  	       9        0        0        0        9
22753 tesseract-ocr-aze                  	       9        0        0        0        9
22754 tesseract-ocr-aze-cyrl             	       9        0        0        0        9
22755 tesseract-ocr-chi-sim-vert         	       9        0        0        0        9
22756 tesseract-ocr-chi-tra-vert         	       9        0        0        0        9
22757 tesseract-ocr-dan                  	       9        0        0        0        9
22758 tesseract-ocr-fin                  	       9        0        0        0        9
22759 tesseract-ocr-frm                  	       9        0        0        0        9
22760 tesseract-ocr-gle                  	       9        0        0        0        9
22761 tesseract-ocr-glg                  	       9        0        0        0        9
22762 tesseract-ocr-grc                  	       9        0        0        0        9
22763 tesseract-ocr-heb                  	       9        0        0        0        9
22764 tesseract-ocr-hin                  	       9        0        0        0        9
22765 tesseract-ocr-por                  	       9        0        0        0        9
22766 tesseract-ocr-script-grek          	       9        0        0        0        9
22767 tesseract-ocr-tha                  	       9        0        0        0        9
22768 tesseract-ocr-vie                  	       9        0        0        0        9
22769 tesseract-ocr-yid                  	       9        0        0        0        9
22770 tetrinetx                          	       9        0        9        0        0
22771 texlive-plain-extra                	       9        0        0        0        9
22772 tiemu-skinedit                     	       9        1        8        0        0
22773 timelimit                          	       9        1        8        0        0
22774 tintin++                           	       9        0        9        0        0
22775 tkpng                              	       9        0        9        0        0
22776 tmux-themepack-jimeh               	       9        0        0        0        9
22777 tpm-tools                          	       9        1        8        0        0
22778 transcode-doc                      	       9        0        9        0        0
22779 transgui                           	       9        0        9        0        0
22780 ttf-engadget                       	       9        0        0        0        9
22781 ttf-xfree86-nonfree-syriac         	       9        0        0        0        9
22782 ttyload                            	       9        0        9        0        0
22783 tvheadend                          	       9        5        4        0        0
22784 twpsk                              	       9        0        9        0        0
22785 u-boot-qemu                        	       9        0        7        2        0
22786 unetbootin-translations            	       9        0        0        0        9
22787 unison-2.53                        	       9        1        8        0        0
22788 uwsgi-plugin-python3               	       9        2        7        0        0
22789 valac-0.48-vapi                    	       9        0        0        0        9
22790 vgrabbj                            	       9        0        9        0        0
22791 vim-autopep8                       	       9        0        0        0        9
22792 vim-ctrlp                          	       9        0        0        0        9
22793 vim-fugitive                       	       9        0        0        0        9
22794 vim-syntax-gtk                     	       9        0        0        0        9
22795 vim-ultisnips                      	       9        0        0        0        9
22796 virtualbox-ext-pack                	       9        0        0        0        9
22797 virtualbox-guest-utils             	       9        1        7        1        0
22798 virtuoso-opensource-7-bin          	       9        0        9        0        0
22799 vis                                	       9        1        8        0        0
22800 vmdb2                              	       9        1        8        0        0
22801 vmtouch                            	       9        1        8        0        0
22802 vodovod                            	       9        0        9        0        0
22803 vorta                              	       9        1        8        0        0
22804 w3c-sgml-lib                       	       9        0        0        0        9
22805 wazuh-agent                        	       9        1        7        1        0
22806 webapp-manager                     	       9        1        2        6        0
22807 webext-privacy-badger              	       9        3        6        0        0
22808 weblint-perl                       	       9        1        8        0        0
22809 whysynth                           	       9        0        9        0        0
22810 wine-devel                         	       9        2        7        0        0
22811 wine-devel-amd64                   	       9        1        8        0        0
22812 wmenu                              	       9        0        9        0        0
22813 wmload                             	       9        0        9        0        0
22814 wmstickynotes                      	       9        1        8        0        0
22815 wmsystemtray                       	       9        0        9        0        0
22816 woff2                              	       9        0        9        0        0
22817 wordnet-sense-index                	       9        0        0        0        9
22818 wordpress                          	       9        1        8        0        0
22819 wordpress-l10n                     	       9        0        0        0        9
22820 wx3.0-i18n                         	       9        0        0        0        9
22821 x3270                              	       9        1        8        0        0
22822 xaw3dg-dev                         	       9        1        8        0        0
22823 xchat                              	       9        0        9        0        0
22824 xfonts-ayu                         	       9        0        0        0        9
22825 xfonts-bolkhov-cp1251-misc         	       9        0        0        0        9
22826 xfonts-bolkhov-koi8r-75dpi         	       9        0        0        0        9
22827 xfonts-bolkhov-misc                	       9        0        0        0        9
22828 xfonts-cronyx-koi8r-75dpi          	       9        0        0        0        9
22829 xfonts-marumoji                    	       9        0        0        0        9
22830 xfonts-thai-poonlap                	       9        0        0        0        9
22831 xfonts-wqy                         	       9        0        0        0        9
22832 xgalaga++                          	       9        0        9        0        0
22833 xmms2-client-nycli                 	       9        1        8        0        0
22834 xmms2-plugin-ao                    	       9        1        8        0        0
22835 xmms2-plugin-asx                   	       9        1        8        0        0
22836 xmms2-plugin-avcodec               	       9        1        8        0        0
22837 xmms2-plugin-cdda                  	       9        1        8        0        0
22838 xmms2-plugin-cue                   	       9        1        8        0        0
22839 xmms2-plugin-mms                   	       9        1        8        0        0
22840 xmms2-plugin-musepack              	       9        1        8        0        0
22841 xmms2-plugin-normalize             	       9        1        8        0        0
22842 xmms2-plugin-rss                   	       9        1        8        0        0
22843 xmms2-plugin-speex                 	       9        1        8        0        0
22844 xmms2-plugin-vocoder               	       9        1        8        0        0
22845 xmms2-plugin-wavpack               	       9        1        8        0        0
22846 xmpuzzles                          	       9        0        9        0        0
22847 xorriso-tcltk                      	       9        0        9        0        0
22848 xsecurelock                        	       9        1        8        0        0
22849 xserver-xorg-input-libinput-dev    	       9        1        7        1        0
22850 xshisen                            	       9        0        9        0        0
22851 xsynth-dssi                        	       9        0        9        0        0
22852 yagiuda                            	       9        1        8        0        0
22853 yamagi-quake2                      	       9        0        9        0        0
22854 yamagi-quake2-core                 	       9        0        9        0        0
22855 yaz                                	       9        1        8        0        0
22856 yp-tools                           	       9        1        8        0        0
22857 ypbind-mt                          	       9        3        6        0        0
22858 yq                                 	       9        0        7        2        0
22859 ytree                              	       9        1        8        0        0
22860 yudit-doc                          	       9        0        0        0        9
22861 z80dasm                            	       9        0        9        0        0
22862 zabbix-frontend-php                	       9        0        9        0        0
22863 zaz                                	       9        0        9        0        0
22864 zaz-data                           	       9        0        0        0        9
22865 zita-alsa-pcmi-utils               	       9        0        9        0        0
22866 zita-bls1                          	       9        0        9        0        0
22867 zita-mu1                           	       9        0        9        0        0
22868 zita-njbridge                      	       9        0        9        0        0
22869 zita-resampler                     	       9        0        9        0        0
22870 zoom-player                        	       9        0        9        0        0
22871 1password                          	       8        1        1        0        6
22872 acroread                           	       8        2        6        0        0
22873 acroread-debian-files              	       8        2        6        0        0
22874 acroread-dictionary-en             	       8        0        8        0        0
22875 adobereader-enu                    	       8        2        6        0        0
22876 aerc                               	       8        2        5        1        0
22877 afl++                              	       8        0        8        0        0
22878 airspy                             	       8        0        8        0        0
22879 alsaplayer-xosd                    	       8        0        0        0        8
22880 amarok-common                      	       8        0        1        0        7
22881 amarok-utils                       	       8        0        7        1        0
22882 anarchism                          	       8        0        0        0        8
22883 and                                	       8        2        6        0        0
22884 antlr3.2                           	       8        0        8        0        0
22885 anyremote                          	       8        0        8        0        0
22886 anyremote-data                     	       8        0        0        0        8
22887 apsfilter                          	       8        1        7        0        0
22888 apt-cacher                         	       8        4        4        0        0
22889 apt-dpkg-ref                       	       8        0        0        0        8
22890 apt-offline                        	       8        1        7        0        0
22891 apt-venv                           	       8        0        8        0        0
22892 aptdaemon                          	       8        0        8        0        0
22893 arachne-pnr-chipdb                 	       8        0        0        0        8
22894 artha                              	       8        1        7        0        0
22895 asciijump                          	       8        0        8        0        0
22896 aspell-sk                          	       8        0        8        0        0
22897 atom4                              	       8        0        8        0        0
22898 aubio-tools                        	       8        0        8        0        0
22899 audiofile-tools                    	       8        1        7        0        0
22900 avarice                            	       8        0        8        0        0
22901 ax25-xtools                        	       8        1        7        0        0
22902 backup2l                           	       8        4        4        0        0
22903 backupninja                        	       8        2        6        0        0
22904 backuppc-rsync                     	       8        0        0        0        8
22905 bacula-fd                          	       8        3        5        0        0
22906 bashtop                            	       8        0        8        0        0
22907 bcc                                	       8        0        8        0        0
22908 bcompare                           	       8        0        6        2        0
22909 berusky2                           	       8        0        8        0        0
22910 berusky2-data                      	       8        0        0        0        8
22911 bf-utf-source                      	       8        0        0        0        8
22912 binutils-mipsel-linux-gnu          	       8        0        8        0        0
22913 birdfont-common                    	       8        0        0        0        8
22914 bisq                               	       8        0        8        0        0
22915 blepvco                            	       8        0        8        0        0
22916 blktrace                           	       8        1        7        0        0
22917 blobby                             	       8        1        7        0        0
22918 blobby-data                        	       8        0        0        0        8
22919 bpython                            	       8        0        8        0        0
22920 brother-udev-rule-type1            	       8        0        0        0        8
22921 brscan2                            	       8        0        8        0        0
22922 brscan5                            	       8        0        1        0        7
22923 bs1770gain                         	       8        0        8        0        0
22924 buici-clock                        	       8        1        7        0        0
22925 calcoo                             	       8        0        8        0        0
22926 caneda                             	       8        0        8        0        0
22927 cavepacker                         	       8        1        7        0        0
22928 cavepacker-data                    	       8        0        0        0        8
22929 cb2bib                             	       8        2        6        0        0
22930 ceni                               	       8        0        8        0        0
22931 cfengine3                          	       8        2        6        0        0
22932 chkboot                            	       8        4        4        0        0
22933 cinnamon-doc                       	       8        0        0        0        8
22934 cksfv                              	       8        0        8        0        0
22935 clamfs                             	       8        2        5        1        0
22936 clipman                            	       8        0        7        1        0
22937 clisp-module-pcre                  	       8        0        8        0        0
22938 clisp-module-postgresql            	       8        0        8        0        0
22939 clisp-module-zlib                  	       8        0        8        0        0
22940 cluster-glue                       	       8        0        8        0        0
22941 cnijfilter-common                  	       8        1        7        0        0
22942 cnrdrvcups-ufr2-us                 	       8        1        7        0        0
22943 coffeescript                       	       8        0        8        0        0
22944 coinor-clp                         	       8        0        8        0        0
22945 complexity                         	       8        0        8        0        0
22946 conda                              	       8        1        7        0        0
22947 copyq-doc                          	       8        0        0        0        8
22948 courier-mta                        	       8        3        5        0        0
22949 cpp-4.3                            	       8        0        8        0        0
22950 cpp-riscv64-linux-gnu              	       8        0        8        0        0
22951 cpplint                            	       8        1        6        1        0
22952 cqrlog                             	       8        1        7        0        0
22953 crossbuild-essential-armhf         	       8        0        0        0        8
22954 crrcsim                            	       8        0        8        0        0
22955 crrcsim-data                       	       8        0        0        0        8
22956 cryptcat                           	       8        0        8        0        0
22957 csound-doc                         	       8        0        0        0        8
22958 cxref                              	       8        0        8        0        0
22959 darkice                            	       8        0        8        0        0
22960 dbus-1-doc                         	       8        0        0        0        8
22961 debian-reference-fr                	       8        0        0        0        8
22962 debiandoc-sgml-doc                 	       8        0        0        0        8
22963 debmake-doc                        	       8        0        0        0        8
22964 deutex                             	       8        0        8        0        0
22965 devilspie2                         	       8        3        5        0        0
22966 dgit                               	       8        0        5        3        0
22967 dhcp3-client                       	       8        0        0        0        8
22968 dict-devil                         	       8        0        0        0        8
22969 dict-freedict-eng-spa              	       8        0        0        0        8
22970 diction                            	       8        1        7        0        0
22971 directvnc                          	       8        2        6        0        0
22972 djtools                            	       8        0        8        0        0
22973 doc-rfc-misc                       	       8        0        0        0        8
22974 doc-rfc-std-proposed               	       8        0        0        0        8
22975 dose-extra                         	       8        0        7        1        0
22976 doxygen-doxyparse                  	       8        0        8        0        0
22977 driverctl                          	       8        1        7        0        0
22978 drumkv1-common                     	       8        0        1        0        7
22979 dv4l                               	       8        0        8        0        0
22980 dvi2dvi                            	       8        0        8        0        0
22981 dvi2ps                             	       8        0        8        0        0
22982 dx                                 	       8        1        7        0        0
22983 dx-doc                             	       8        0        0        0        8
22984 dxsamples                          	       8        1        7        0        0
22985 dxvk-wine64-development            	       8        0        6        0        2
22986 ebook2odt                          	       8        0        8        0        0
22987 education-graphics                 	       8        0        0        0        8
22988 electronics-pcb                    	       8        0        0        0        8
22989 elks-libc                          	       8        0        8        0        0
22990 elpa-lv                            	       8        1        7        0        0
22991 environment-modules                	       8        0        7        1        0
22992 eog-plugin-exif-display            	       8        0        0        0        8
22993 eog-plugin-fit-to-width            	       8        0        0        0        8
22994 eog-plugin-fullscreen-background   	       8        0        0        0        8
22995 eog-plugins                        	       8        0        1        0        7
22996 eog-plugins-common                 	       8        0        0        0        8
22997 epson-inkjet-printer-escpr2        	       8        1        7        0        0
22998 eq10q                              	       8        1        7        0        0
22999 eric                               	       8        1        6        1        0
23000 erlang-doc                         	       8        0        7        1        0
23001 erlang-jiffy                       	       8        1        6        1        0
23002 erlang-manpages                    	       8        0        0        0        8
23003 erlang-p1-tls                      	       8        1        7        0        0
23004 erlang-p1-utils                    	       8        1        7        0        0
23005 erlang-p1-xml                      	       8        1        7        0        0
23006 erlang-proper                      	       8        0        7        1        0
23007 ettercap-graphical                 	       8        0        8        0        0
23008 euler                              	       8        0        8        0        0
23009 eureka                             	       8        0        8        0        0
23010 exim4-doc-html                     	       8        0        0        0        8
23011 famfamfam-silk                     	       8        0        0        0        8
23012 fb-music-high                      	       8        0        0        0        8
23013 fcitx5-chinese-addons-data         	       8        1        4        0        3
23014 fcitx5-module-fullwidth            	       8        1        1        0        6
23015 fcitx5-module-punctuation          	       8        1        4        0        3
23016 fcode-utils                        	       8        1        6        1        0
23017 fdpowermon                         	       8        2        6        0        0
23018 firefox-esr-l10n-zh-cn             	       8        2        6        0        0
23019 firefox-l10n-es-es                 	       8        0        6        2        0
23020 firmware-qcom-soc                  	       8        0        8        0        0
23021 flashbench                         	       8        2        6        0        0
23022 flashplayer-mozilla                	       8        0        8        0        0
23023 fomp                               	       8        1        7        0        0
23024 fontforge-nox                      	       8        0        8        0        0
23025 fonts-cns11643-pixmaps             	       8        0        0        0        8
23026 fonts-cns11643-sung                	       8        1        0        0        7
23027 fonts-cwtex-fs                     	       8        0        0        0        8
23028 fonts-cwtex-heib                   	       8        1        0        0        7
23029 fonts-cwtex-kai                    	       8        0        0        0        8
23030 fonts-cwtex-ming                   	       8        0        1        0        7
23031 fonts-cwtex-yen                    	       8        0        0        0        8
23032 fonts-meera-inimai                 	       8        0        0        0        8
23033 fonts-moe-standard-kai             	       8        0        0        0        8
23034 fonts-moe-standard-song            	       8        0        0        0        8
23035 fonts-naver-d2coding               	       8        0        0        0        8
23036 fonts-sil-shimenkan                	       8        0        0        0        8
23037 fonts-taml-tamu                    	       8        0        0        0        8
23038 fonts-thai-tlwg-ttf                	       8        0        0        0        8
23039 fonts-xfree86-nonfree-syriac       	       8        0        1        0        7
23040 fort77                             	       8        0        8        0        0
23041 fp-compiler-3.0.4                  	       8        0        8        0        0
23042 fp-units-rtl-3.0.4                 	       8        0        0        0        8
23043 fp-utils-3.0.4                     	       8        0        8        0        0
23044 fpa-debian-autoupdates             	       8        0        0        0        8
23045 fpa-debian-updater                 	       8        0        8        0        0
23046 fpc-source-3.0.4                   	       8        0        0        0        8
23047 fpga-icestorm-chipdb               	       8        0        0        0        8
23048 freerdp2-shadow-x11                	       8        0        8        0        0
23049 freerdp3-wayland                   	       8        0        6        2        0
23050 freesh-archive-keyring             	       8        0        0        0        8
23051 fscrypt                            	       8        0        6        2        0
23052 fstransform                        	       8        3        5        0        0
23053 ftools-fv                          	       8        0        8        0        0
23054 ftpd-ssl                           	       8        1        7        0        0
23055 fvwm-crystal                       	       8        1        7        0        0
23056 fzy                                	       8        0        8        0        0
23057 g++-13-multilib                    	       8        0        0        0        8
23058 gambas3-gb-qt5                     	       8        0        7        1        0
23059 gameconqueror                      	       8        0        8        0        0
23060 garden-of-coloured-lights          	       8        0        8        0        0
23061 garden-of-coloured-lights-data     	       8        0        0        0        8
23062 gcc-10-locales                     	       8        0        0        0        8
23063 gcc-11-multilib                    	       8        0        0        0        8
23064 gcc-4.2-base                       	       8        0        0        0        8
23065 gcc-riscv64-linux-gnu              	       8        0        8        0        0
23066 gcj-4.9-jre-lib                    	       8        0        0        0        8
23067 gcolor2                            	       8        0        8        0        0
23068 gerbera                            	       8        1        7        0        0
23069 gir1.2-ayatanaido3-0.4             	       8        0        0        0        8
23070 gir1.2-budgie-1.0                  	       8        0        1        0        7
23071 gir1.2-dbusmenu-gtk3-0.4           	       8        0        0        0        8
23072 gir1.2-garcon-1.0                  	       8        0        0        0        8
23073 gir1.2-tracker-1.0                 	       8        0        0        0        8
23074 gir1.2-zbar-1.0                    	       8        0        0        0        8
23075 git-hub                            	       8        0        8        0        0
23076 gkrellm-ibam                       	       8        2        6        0        0
23077 gkrellm-mailwatch                  	       8        1        7        0        0
23078 glhack                             	       8        0        8        0        0
23079 glob2                              	       8        0        8        0        0
23080 glob2-data                         	       8        0        0        0        8
23081 glogg                              	       8        0        8        0        0
23082 gltron                             	       8        1        7        0        0
23083 gmerlin-encoders-ffmpeg            	       8        0        0        0        8
23084 gmult                              	       8        0        8        0        0
23085 gnat-10                            	       8        1        7        0        0
23086 gnome-subtitles                    	       8        0        8        0        0
23087 gnss-sdr                           	       8        1        6        1        0
23088 gnunet-gtk                         	       8        1        7        0        0
23089 gnurobbo                           	       8        0        8        0        0
23090 gnurobbo-data                      	       8        0        0        0        8
23091 gnushogi                           	       8        0        8        0        0
23092 gnusim8085                         	       8        0        8        0        0
23093 golang-1.11                        	       8        0        0        0        8
23094 golang-1.7-go                      	       8        0        8        0        0
23095 golang-1.7-src                     	       8        0        8        0        0
23096 golang-golang-x-term-dev           	       8        0        0        0        8
23097 gopchop                            	       8        0        8        0        0
23098 gprbuild                           	       8        0        8        0        0
23099 gringo                             	       8        0        8        0        0
23100 gron                               	       8        0        8        0        0
23101 groundhog                          	       8        0        8        0        0
23102 grub                               	       8        0        0        0        8
23103 grub-emu                           	       8        0        8        0        0
23104 grub-legacy-doc                    	       8        0        0        0        8
23105 gsoap                              	       8        0        8        0        0
23106 gst-omx-amdgpu                     	       8        0        0        0        8
23107 gstreamer0.10-plugins-good         	       8        1        1        0        6
23108 gstreamer1.0-clutter               	       8        0        0        0        8
23109 gstreamer1.0-fdkaac                	       8        0        0        0        8
23110 gtk2-engines-moblin                	       8        0        8        0        0
23111 gtk2.0-examples                    	       8        0        8        0        0
23112 gtkmm-documentation                	       8        0        0        0        8
23113 gwc                                	       8        0        8        0        0
23114 gwhois                             	       8        0        8        0        0
23115 gxmms2                             	       8        1        7        0        0
23116 hal-info                           	       8        0        0        0        8
23117 hamradio-tasks                     	       8        0        0        0        8
23118 haruna                             	       8        0        7        1        0
23119 haskell98-tutorial                 	       8        0        0        0        8
23120 hercules                           	       8        0        8        0        0
23121 higan                              	       8        1        7        0        0
23122 hsakmt-roct-dev                    	       8        0        7        0        1
23123 hunspell-de-at-frami               	       8        0        0        0        8
23124 hunspell-eu                        	       8        0        8        0        0
23125 hunspell-gd                        	       8        0        0        0        8
23126 hunspell-is                        	       8        0        0        0        8
23127 hunspell-lv                        	       8        0        8        0        0
23128 hunspell-th                        	       8        0        0        0        8
23129 hyperrogue                         	       8        0        8        0        0
23130 hyperrogue-music                   	       8        0        0        0        8
23131 hyperv-daemons                     	       8        3        5        0        0
23132 hyphen-ro                          	       8        0        0        0        8
23133 i2p                                	       8        0        8        0        0
23134 i2p-router                         	       8        0        8        0        0
23135 iamerican-huge                     	       8        0        8        0        0
23136 ibritish-huge                      	       8        0        8        0        0
23137 ibritish-large                     	       8        0        8        0        0
23138 ibulgarian                         	       8        0        7        1        0
23139 ibus-hangul                        	       8        0        8        0        0
23140 iceowl-extension                   	       8        1        0        0        7
23141 id3ren                             	       8        0        8        0        0
23142 imagej                             	       8        0        8        0        0
23143 inetutils-talk                     	       8        1        6        1        0
23144 ink-generator                      	       8        0        0        0        8
23145 invada-studio-plugins-lv2          	       8        0        8        0        0
23146 iputils-clockdiff                  	       8        1        7        0        0
23147 irqtop                             	       8        0        7        1        0
23148 irssi-plugin-otr                   	       8        0        0        0        8
23149 irtt                               	       8        0        6        2        0
23150 iscan-network-nt                   	       8        0        8        0        0
23151 j4-dmenu-desktop                   	       8        0        8        0        0
23152 jacktrip                           	       8        0        8        0        0
23153 jailkit                            	       8        0        8        0        0
23154 jbig2                              	       8        0        8        0        0
23155 jdk-21                             	       8        0        7        1        0
23156 jeex                               	       8        0        8        0        0
23157 jftp                               	       8        0        7        1        0
23158 jigzo                              	       8        0        8        0        0
23159 jigzo-data                         	       8        0        0        0        8
23160 jitsi-archive-keyring              	       8        0        0        0        8
23161 jpegjudge                          	       8        0        8        0        0
23162 js8call                            	       8        0        8        0        0
23163 jsmath                             	       8        0        0        0        8
23164 jsmath-fonts                       	       8        0        0        0        8
23165 juffed                             	       8        0        8        0        0
23166 juffed-plugins                     	       8        0        0        0        8
23167 jupyter-sphinx-theme-common        	       8        0        0        0        8
23168 jython-doc                         	       8        0        0        0        8
23169 kaffeine-trinity                   	       8        3        5        0        0
23170 kbackup                            	       8        0        8        0        0
23171 kde-base-artwork                   	       8        0        0        0        8
23172 kde-config-fcitx5                  	       8        0        5        1        2
23173 kde-telepathy-kaccounts            	       8        0        4        0        4
23174 kde-telepathy-kpeople              	       8        0        1        0        7
23175 kdesudo                            	       8        0        8        0        0
23176 kdesvn-kio-plugins                 	       8        1        0        0        7
23177 kdevelop-php                       	       8        0        7        1        0
23178 kdiff3-qt                          	       8        0        0        0        8
23179 kgendesignerplugin                 	       8        0        0        0        8
23180 kgendesignerplugin-bin             	       8        1        7        0        0
23181 kicad-doc-ru                       	       8        0        0        0        8
23182 kio-admin                          	       8        0        0        0        8
23183 kirigami2-dev                      	       8        1        7        0        0
23184 kitchensync-trinity                	       8        0        8        0        0
23185 klick                              	       8        0        8        0        0
23186 klog                               	       8        1        7        0        0
23187 knews                              	       8        0        8        0        0
23188 kodi-audioencoder-lame             	       8        0        0        0        8
23189 kodi-audioencoder-vorbis           	       8        0        0        0        8
23190 kodi-audioencoder-wav              	       8        0        0        0        8
23191 kodi-eventclients-common           	       8        0        2        0        6
23192 krb5-admin-server                  	       8        2        6        0        0
23193 krecorder                          	       8        0        6        2        0
23194 ktechlab                           	       8        0        7        1        0
23195 kubectl                            	       8        1        4        3        0
23196 labplot                            	       8        0        7        1        0
23197 labplot-data                       	       8        0        0        0        8
23198 latex-make                         	       8        0        0        0        8
23199 lazarus-ide-qt5                    	       8        0        0        0        8
23200 lcdproc-extra-drivers              	       8        0        1        0        7
23201 lcl-qt5-2.2                        	       8        0        8        0        0
23202 ldapvi                             	       8        0        7        1        0
23203 lib32gcc-11-dev                    	       8        0        0        0        8
23204 lib32stdc++-13-dev                 	       8        0        8        0        0
23205 lib3mf1t64                         	       8        0        0        0        8
23206 libakai0                           	       8        0        0        0        8
23207 libanyevent-http-perl              	       8        0        8        0        0
23208 libapache2-mod-auth-gssapi         	       8        1        7        0        0
23209 libapache2-mod-php8.4              	       8        5        2        1        0
23210 libasan5-arm64-cross               	       8        0        0        0        8
23211 libasan6-armel-cross               	       8        0        0        0        8
23212 libasan8-riscv64-cross             	       8        0        0        0        8
23213 libassimp-dev                      	       8        0        8        0        0
23214 libaudqt0                          	       8        0        0        0        8
23215 libavalon-framework-java-doc       	       8        0        0        0        8
23216 libavc1394-tools                   	       8        0        8        0        0
23217 libavkys8                          	       8        0        0        0        8
23218 libaxc0                            	       8        2        1        0        5
23219 libayatana-ido3-dev                	       8        0        8        0        0
23220 libbackuppc-xs-perl                	       8        4        3        1        0
23221 libboost-chrono1.67-dev            	       8        0        0        0        8
23222 libboost-date-time1.67-dev         	       8        0        0        0        8
23223 libboost-fiber1.67.0               	       8        0        0        0        8
23224 libboost-json1.83-dev              	       8        0        0        0        8
23225 libboost-json1.83.0                	       8        0        0        0        8
23226 libboost-math1.67.0                	       8        0        0        0        8
23227 libboost-program-options1.67-dev   	       8        0        0        0        8
23228 libboost-signals1.55.0             	       8        0        0        0        8
23229 libboost-url1.83-dev               	       8        0        0        0        8
23230 libboost-url1.83.0                 	       8        0        0        0        8
23231 libboost1.74-doc                   	       8        0        8        0        0
23232 libbpfcc                           	       8        0        0        0        8
23233 libbraiding-dev                    	       8        0        8        0        0
23234 libbrial-dev                       	       8        0        8        0        0
23235 libbrial-groebner-dev              	       8        0        8        0        0
23236 libbtparse1                        	       8        0        0        0        8
23237 libbyte-buddy-java                 	       8        0        0        0        8
23238 libc6-x32-cross                    	       8        0        0        0        8
23239 libcache-fastmmap-perl             	       8        0        0        0        8
23240 libcairo-5c0                       	       8        0        0        0        8
23241 libcairomm-1.16-dev                	       8        0        8        0        0
23242 libcamel-1.2-49                    	       8        0        0        0        8
23243 libcamera-v4l2                     	       8        0        0        0        8
23244 libcantorlibs28                    	       8        0        0        0        8
23245 libcec-dev                         	       8        0        7        1        0
23246 libcec4                            	       8        0        0        0        8
23247 libclang-cpp-dev                   	       8        0        0        0        8
23248 libclang-cpp15t64                  	       8        1        7        0        0
23249 libcliquer-dev                     	       8        0        8        0        0
23250 libcln-dev                         	       8        2        6        0        0
23251 libcmis-0.2-0                      	       8        0        0        0        8
23252 libcodec2-1.1                      	       8        1        1        0        6
23253 libcolord-dev                      	       8        0        7        1        0
23254 libcontext-preserve-perl           	       8        1        7        0        0
23255 libcrypt-openssl-dsa-perl          	       8        0        0        0        8
23256 libcrypt-pbkdf2-perl               	       8        0        8        0        0
23257 libcrypto++9                       	       8        0        1        0        7
23258 libcwidget-dev                     	       8        0        8        0        0
23259 libdaq2                            	       8        1        1        0        6
23260 libdata-password-perl              	       8        0        8        0        0
23261 libdata-printer-perl               	       8        0        8        0        0
23262 libdata-serializer-perl            	       8        0        8        0        0
23263 libdatetime-format-mysql-perl      	       8        0        8        0        0
23264 libdav1d1                          	       8        0        0        0        8
23265 libdb++-dev                        	       8        0        0        0        8
23266 libdbd-mysql                       	       8        2        0        0        6
23267 libdbd-sqlite3                     	       8        2        0        0        6
23268 libdbi-dev                         	       8        0        8        0        0
23269 libdbi-test-perl                   	       8        0        8        0        0
23270 libdevel-dprof-perl                	       8        0        7        1        0
23271 libdlib-data                       	       8        0        0        0        8
23272 libdnet                            	       8        0        0        0        8
23273 libdraco-dev                       	       8        0        8        0        0
23274 libdrumstick-file2                 	       8        0        0        0        8
23275 libdsk4                            	       8        0        0        0        8
23276 libdumb1-dev                       	       8        0        8        0        0
23277 libdwarf-dev                       	       8        0        8        0        0
23278 libebackend-1.2-7                  	       8        0        0        0        8
23279 libeclipse-jdt-core-compiler-batch-java	       8        0        8        0        0
23280 libedataserver-1.2-16              	       8        0        0        0        8
23281 libedataserver-1.2-18              	       8        0        0        0        8
23282 libedataserverui4-1.0-0t64         	       8        0        0        0        8
23283 libegl1-nvidia-legacy-340xx        	       8        1        0        0        7
23284 libeiskaltdcpp2.4                  	       8        0        0        0        8
23285 libfann2                           	       8        0        0        0        8
23286 libfelix-utils-java                	       8        0        0        0        8
23287 libffcall1                         	       8        0        0        0        8
23288 libfftw3-3                         	       8        0        0        0        8
23289 libfftw3-doc                       	       8        0        0        0        8
23290 libfido2-dev                       	       8        0        8        0        0
23291 libflickcurl0                      	       8        0        0        0        8
23292 libflxmlrpc1                       	       8        0        0        0        8
23293 libfontforge2                      	       8        0        0        0        8
23294 libfuture-xs-perl                  	       8        0        0        0        8
23295 libgail-dev                        	       8        0        8        0        0
23296 libganglia1                        	       8        2        5        0        1
23297 libgcc-10-dev-arm64-cross          	       8        0        0        0        8
23298 libgcc-10-dev-armhf-cross          	       8        0        0        0        8
23299 libgcc-7-dev                       	       8        0        0        0        8
23300 libgcu0v5                          	       8        1        7        0        0
23301 libgdal34                          	       8        0        0        0        8
23302 libgdata19                         	       8        0        0        0        8
23303 libgdata2.1-cil                    	       8        0        8        0        0
23304 libgedit-gfls-1-0                  	       8        0        0        0        8
23305 libgedit-gfls-common               	       8        0        0        0        8
23306 libgedit-tepl-6-2                  	       8        0        0        0        8
23307 libgeronimo-osgi-support-java      	       8        0        0        0        8
23308 libghc-cereal-dev                  	       8        0        8        0        0
23309 libghc-exceptions-dev              	       8        0        8        0        0
23310 libghc-hashable-prof               	       8        0        0        0        8
23311 libghc-haskell-lexer-dev           	       8        0        8        0        0
23312 libghc-pandoc-citeproc-data        	       8        0        0        0        8
23313 libghc-pretty-show-dev             	       8        0        8        0        0
23314 libghc-scientific-prof             	       8        0        0        0        8
23315 libghc-transformers-compat-prof    	       8        0        0        0        8
23316 libghc-unordered-containers-prof   	       8        0        0        0        8
23317 libghc-vector-prof                 	       8        0        0        0        8
23318 libghc-xdg-basedir-dev             	       8        0        8        0        0
23319 libgiac-dev                        	       8        0        8        0        0
23320 libgkeyfile1.0-cil                 	       8        0        0        0        8
23321 libgl1-amdgpu-mesa-dri             	       8        1        6        0        1
23322 libgl1-amdgpu-mesa-glx             	       8        1        5        0        2
23323 libgl1-nvidia-legacy-340xx-glx     	       8        1        3        0        4
23324 libglapi-amdgpu-mesa               	       8        1        5        0        2
23325 libglew1.7                         	       8        0        0        0        8
23326 libglw1-mesa                       	       8        0        0        0        8
23327 libgmerlin2                        	       8        0        0        0        8
23328 libgnat-util10                     	       8        0        0        0        8
23329 libgnokii6                         	       8        0        0        0        8
23330 libgnome-keyring-dev               	       8        0        8        0        0
23331 libgnuradio-analog3.10.11          	       8        0        1        0        7
23332 libgnuradio-audio3.10.11           	       8        0        1        0        7
23333 libgnuradio-blocks3.10.11          	       8        0        1        0        7
23334 libgnuradio-channels3.10.11        	       8        0        0        0        8
23335 libgnuradio-digital3.10.11         	       8        0        1        0        7
23336 libgnuradio-dtv3.10.11             	       8        0        0        0        8
23337 libgnuradio-fec3.10.11             	       8        0        0        0        8
23338 libgnuradio-fft3.10.11             	       8        0        1        0        7
23339 libgnuradio-filter3.10.11          	       8        0        1        0        7
23340 libgnuradio-iio3.10.11             	       8        0        0        0        8
23341 libgnuradio-network3.10.11         	       8        0        1        0        7
23342 libgnuradio-pdu3.10.11             	       8        0        0        0        8
23343 libgnuradio-pmt3.10.11             	       8        0        1        0        7
23344 libgnuradio-qtgui3.10.11           	       8        0        0        0        8
23345 libgnuradio-runtime3.10.11         	       8        0        1        0        7
23346 libgnuradio-soapy3.10.11           	       8        0        0        0        8
23347 libgnuradio-trellis3.10.11         	       8        0        0        0        8
23348 libgnuradio-uhd3.10.11             	       8        0        1        0        7
23349 libgnuradio-video-sdl3.10.11       	       8        0        0        0        8
23350 libgnuradio-vocoder3.10.11         	       8        0        0        0        8
23351 libgnuradio-wavelet3.10.11         	       8        0        0        0        8
23352 libgnuradio-zeromq3.10.11          	       8        0        0        0        8
23353 libgoffice-0.8-8                   	       8        0        8        0        0
23354 libgphobos2                        	       8        0        0        0        8
23355 libgraphblas3                      	       8        0        0        0        8
23356 libgroup-service1                  	       8        0        0        0        8
23357 libgsoap-2.8.135                   	       8        0        0        0        8
23358 libgsoap5                          	       8        0        0        0        8
23359 libgspell-1-dev                    	       8        0        7        1        0
23360 libgtk-sharp-beans-cil             	       8        0        0        0        8
23361 libgtkdatabox0                     	       8        0        0        0        8
23362 libgtop2-dev                       	       8        0        8        0        0
23363 libgwenhywfar79t64                 	       8        0        0        0        8
23364 libhdf4-doc                        	       8        0        0        0        8
23365 libhdf5-8                          	       8        0        0        0        8
23366 libhdf5-doc                        	       8        0        0        0        8
23367 libhdhomerun4                      	       8        1        1        0        6
23368 libhomfly-dev                      	       8        0        8        0        0
23369 libhtmlcxx3v5                      	       8        0        0        0        8
23370 libhunspell-1.2-0                  	       8        0        0        0        8
23371 libice-doc                         	       8        0        0        0        8
23372 libicu-le-hb0                      	       8        0        0        0        8
23373 libieee1284-3-dev                  	       8        0        8        0        0
23374 libimobiledevice-doc               	       8        0        0        0        8
23375 libindicate5                       	       8        1        0        0        7
23376 libinsighttoolkit4.13              	       8        0        8        0        0
23377 libipc-sharedcache-perl            	       8        1        7        0        0
23378 libirecovery-1.0-3                 	       8        0        0        0        8
23379 libirecovery-common                	       8        0        8        0        0
23380 libisl22                           	       8        0        0        0        8
23381 libjargs-java                      	       8        0        0        0        8
23382 libjbig2enc0t64                    	       8        0        0        0        8
23383 libjbigi-jni                       	       8        1        7        0        0
23384 libjcodings-java                   	       8        0        0        0        8
23385 libjexcelapi-java                  	       8        0        0        0        8
23386 libjpeg-progs                      	       8        1        7        0        0
23387 libjpeg-tools                      	       8        0        8        0        0
23388 libjpeg8-dev                       	       8        0        8        0        0
23389 libjpf-java                        	       8        0        0        0        8
23390 libjs-jquery-form                  	       8        0        0        0        8
23391 libkcompactdisc6-5                 	       8        0        0        0        8
23392 libkdecorations4abi2               	       8        1        0        0        7
23393 libkdepim-plugins                  	       8        0        0        0        8
23394 libkeyutils-dev                    	       8        0        8        0        0
23395 libkf5emoticons-dev                	       8        1        7        0        0
23396 libkf5mediaplayer5                 	       8        0        0        0        8
23397 libkf5mediawiki5                   	       8        0        0        0        8
23398 libkf5newstuff-doc                 	       8        0        0        0        8
23399 libkf5unitconversion-dev           	       8        0        7        1        0
23400 libkf5wallet-dev                   	       8        0        8        0        0
23401 libkidletime4                      	       8        1        0        0        7
23402 libkiwix11                         	       8        0        0        0        8
23403 libktplogger9abi1                  	       8        0        2        0        6
23404 libktpwidgets9                     	       8        0        4        0        4
23405 libkunitconversion4                	       8        1        0        0        7
23406 liblastfm1                         	       8        0        0        0        8
23407 liblavfile-2.2-0t64                	       8        0        0        0        8
23408 liblavjpeg-2.2-0t64                	       8        0        0        0        8
23409 liblavplay-2.2-0t64                	       8        0        0        0        8
23410 libldb-dev                         	       8        0        7        1        0
23411 liblept4                           	       8        0        0        0        8
23412 liblivemedia-dev                   	       8        0        8        0        0
23413 liblivemedia66                     	       8        0        0        0        8
23414 libllhttp9.1                       	       8        0        0        0        8
23415 libllvm17                          	       8        2        2        0        4
23416 liblouis-bin                       	       8        0        7        1        0
23417 liblucene2-java                    	       8        1        7        0        0
23418 liblucene3-contrib-java            	       8        0        0        0        8
23419 liblucene3-java                    	       8        0        0        0        8
23420 liblxqt-globalkeys-ui2             	       8        1        4        2        1
23421 liblzma2                           	       8        0        0        0        8
23422 libmatchbox1                       	       8        0        0        0        8
23423 libmaven-reporting-impl-java       	       8        0        0        0        8
23424 libmediaart-1.0-0                  	       8        0        0        0        8
23425 libmetacity1                       	       8        0        0        0        8
23426 libmgl-data                        	       8        0        0        0        8
23427 libminc2-5.3.0                     	       8        0        0        0        8
23428 libmission-control-plugins0t64     	       8        0        0        0        8
23429 libmozjs10d                        	       8        0        0        0        8
23430 libmrss0                           	       8        0        0        0        8
23431 libmutter-15-0                     	       8        0        0        0        8
23432 libnet-upnp-perl                   	       8        1        7        0        0
23433 libnginx-mod-http-headers-more-filter	       8        3        5        0        0
23434 libnotify0.4-cil                   	       8        0        0        0        8
23435 libnvidia-legacy-340xx-cfg1        	       8        0        1        0        7
23436 libnvidia-legacy-340xx-ml1         	       8        0        0        0        8
23437 liboauth-signpost-java             	       8        0        0        0        8
23438 libobjc-11-dev                     	       8        0        0        0        8
23439 libocct-data-exchange-dev          	       8        0        8        0        0
23440 libofficebean-java                 	       8        0        8        0        0
23441 libois-1.3.0v5                     	       8        0        0        0        8
23442 libomemo0                          	       8        2        1        0        5
23443 libopal3.10.10                     	       8        0        8        0        0
23444 libopenimageio1.6                  	       8        0        0        0        8
23445 libopenoffice-oodoc-perl           	       8        0        8        0        0
23446 libopenvdb3.2                      	       8        0        0        0        8
23447 libotr5-bin                        	       8        0        8        0        0
23448 libpam-cracklib                    	       8        2        0        0        6
23449 libpam-u2f                         	       8        0        2        0        6
23450 libpangomm-2.48-dev                	       8        0        8        0        0
23451 libphp-simplepie                   	       8        0        8        0        0
23452 libplanarity-dev                   	       8        0        8        0        0
23453 libplrpc-perl                      	       8        0        8        0        0
23454 libpoppler126t64                   	       8        0        0        0        8
23455 libpostproc53                      	       8        0        0        0        8
23456 libpreferencepanes1                	       8        0        8        0        0
23457 libpromises3                       	       8        0        0        0        8
23458 libprotoc17                        	       8        0        0        0        8
23459 libproxy1-plugin-kconfig           	       8        1        0        0        7
23460 libpvm3                            	       8        0        0        0        8
23461 libpyside1.2                       	       8        0        0        0        8
23462 libpython2.7-dbg                   	       8        0        8        0        0
23463 libpython3-all-dbg                 	       8        0        0        0        8
23464 libpython3.8-minimal               	       8        0        8        0        0
23465 libqca-qt5-2-dev                   	       8        0        8        0        0
23466 libqgisgrass7-3.10.14              	       8        0        0        0        8
23467 libqt5gstreamerui-1.0-0            	       8        0        0        0        8
23468 libqt5gstreamerutils-1.0-0         	       8        0        0        0        8
23469 libqt5scintilla2-l10n              	       8        0        0        0        8
23470 libqt5serialbus5                   	       8        0        0        0        8
23471 libqt5webview5-dev                 	       8        0        8        0        0
23472 libqt5xdg-dev                      	       8        0        8        0        0
23473 libqt5xdgiconloader-dev            	       8        0        8        0        0
23474 libqt6dbus6t64                     	       8        0        0        0        8
23475 libqt6gui6t64                      	       8        0        0        0        8
23476 libqt6network6t64                  	       8        0        0        0        8
23477 libqt6opengl6t64                   	       8        0        0        0        8
23478 libqt6widgets6t64                  	       8        0        0        0        8
23479 libqtwebkit-dev                    	       8        0        8        0        0
23480 libquorum5                         	       8        0        2        0        6
23481 libqupzilla1                       	       8        0        0        0        8
23482 librabbitmq-dev                    	       8        0        7        1        0
23483 librasterlite2-1                   	       8        0        0        0        8
23484 libreadline-java                   	       8        0        8        0        0
23485 libreoffice-kde                    	       8        1        7        0        0
23486 libreoffice-l10n-ca                	       8        1        7        0        0
23487 libreoffice-l10n-fi                	       8        0        8        0        0
23488 libreoffice-l10n-ko                	       8        0        6        2        0
23489 libretro-bsnes-mercury-accuracy    	       8        0        0        0        8
23490 librlog5v5                         	       8        0        0        0        8
23491 librrd8t64                         	       8        0        1        0        7
23492 librtmidi-dev                      	       8        0        8        0        0
23493 librust-libloading-dev             	       8        0        0        0        8
23494 librust-proc-macro-error-attr-dev  	       8        0        0        0        8
23495 librust-proc-macro-error-dev       	       8        0        0        0        8
23496 librust-syn-mid-dev                	       8        0        0        0        8
23497 libservice-wrapper-java            	       8        0        0        0        8
23498 libservice-wrapper-jni             	       8        1        7        0        0
23499 libsfml-audio2.6                   	       8        0        0        0        8
23500 libsfml-graphics2.6                	       8        0        0        0        8
23501 libsfml-window2.6                  	       8        0        0        0        8
23502 libshout-idjc3                     	       8        0        0        0        8
23503 libsmokebase3v5                    	       8        0        0        0        8
23504 libsmokeqtcore4-3                  	       8        0        0        0        8
23505 libsmokeqtgui4-3                   	       8        0        0        0        8
23506 libsmokeqtnetwork4-3               	       8        0        0        0        8
23507 libsnack-alsa                      	       8        0        8        0        0
23508 libspatialite8                     	       8        0        1        0        7
23509 libsqljet-java                     	       8        0        0        0        8
23510 libstax-java                       	       8        0        0        0        8
23511 libstring-similarity-perl          	       8        0        0        0        8
23512 libsuil-dev                        	       8        0        8        0        0
23513 libsvnkit-java                     	       8        0        0        0        8
23514 libswresample0                     	       8        0        0        0        8
23515 libsysstat-qt6-1                   	       8        0        1        0        7
23516 libtaglibs-standard-jstlel-java    	       8        0        0        0        8
23517 libtcmalloc-minimal4t64            	       8        0        0        0        8
23518 libterm-readpassword-perl          	       8        1        7        0        0
23519 libtest-differences-perl           	       8        0        8        0        0
23520 libtevent-dev                      	       8        0        6        2        0
23521 libthrift-0.19.0t64                	       8        0        0        0        8
23522 libtinyxml2.6.2                    	       8        0        0        0        8
23523 libtorrent-rasterbar7              	       8        0        0        0        8
23524 libtorrent20                       	       8        0        0        0        8
23525 libtpm-unseal1                     	       8        0        0        0        8
23526 libtracker-miner-1.0-0             	       8        0        0        0        8
23527 libtree                            	       8        0        8        0        0
23528 libtrilead-ssh2-java               	       8        0        0        0        8
23529 libuhd4.7.0                        	       8        0        1        0        7
23530 libuninum5                         	       8        0        0        0        8
23531 libusbmuxd1                        	       8        0        0        0        8
23532 libutempter-dev                    	       8        0        8        0        0
23533 libvarnishapi3                     	       8        0        0        0        8
23534 libvirt-daemon-driver-storage-zfs  	       8        2        5        0        1
23535 libvirt-dbus                       	       8        0        8        0        0
23536 libvirtualpg0                      	       8        0        0        0        8
23537 libvlccore-dev                     	       8        0        8        0        0
23538 libvotequorum8                     	       8        0        0        0        8
23539 libwagon-java                      	       8        0        0        0        8
23540 libwebsockets-dev                  	       8        0        8        0        0
23541 libwebsockets19t64                 	       8        1        0        0        7
23542 libwraster5                        	       8        0        0        0        8
23543 libwxgtk3.0-dev                    	       8        0        0        0        8
23544 libx32asan3                        	       8        0        0        0        8
23545 libx32gcc-11-dev                   	       8        0        0        0        8
23546 libx32gcc-6-dev                    	       8        0        0        0        8
23547 libx32stdc++-13-dev                	       8        0        8        0        0
23548 libxalan2-java-doc                 	       8        0        8        0        0
23549 libxatracker2-amdgpu               	       8        0        0        0        8
23550 libxcb-xvmc0-dev                   	       8        0        8        0        0
23551 libxcomp3t64                       	       8        0        1        0        7
23552 libxfce4util4                      	       8        0        0        0        8
23553 libxkbregistry-dev                 	       8        0        8        0        0
23554 libxml2-doc                        	       8        0        0        0        8
23555 libxmlgraphics-commons-java-doc    	       8        0        0        0        8
23556 libxt-doc                          	       8        0        0        0        8
23557 libyaml-shell-perl                 	       8        0        8        0        0
23558 libyara10                          	       8        0        0        0        8
23559 lilv-utils                         	       8        0        8        0        0
23560 linkchecker                        	       8        0        8        0        0
23561 linux-config-4.19                  	       8        0        0        0        8
23562 linux-headers-3.16.0-5-common      	       8        0        8        0        0
23563 linux-headers-4.19.0-14-common     	       8        0        7        0        1
23564 linux-headers-4.19.0-22-common     	       8        0        8        0        0
23565 linux-headers-4.19.0-26-amd64      	       8        0        8        0        0
23566 linux-headers-4.9.0-6-amd64        	       8        0        8        0        0
23567 linux-headers-6.1.0-15-amd64       	       8        0        8        0        0
23568 linux-headers-6.1.0-7-common       	       8        0        8        0        0
23569 linux-headers-6.1.0-9-common       	       8        0        8        0        0
23570 linux-headers-6.11.5+bpo-common    	       8        0        8        0        0
23571 linux-image-2.6-amd64              	       8        0        0        0        8
23572 linux-image-4.19.0-19-amd64        	       8        0        8        0        0
23573 linux-image-5.10.0-23-686          	       8        0        7        0        1
23574 linux-image-6.1.0-6-amd64          	       8        1        7        0        0
23575 linux-image-6.12.13-amd64          	       8        4        4        0        0
23576 linux-image-6.6.15-amd64           	       8        1        7        0        0
23577 linux-image-6.9.10+bpo-amd64       	       8        0        8        0        0
23578 linux-image-6.9.12-amd64           	       8        2        6        0        0
23579 linux-kbuild-6.11.5+bpo            	       8        0        0        0        8
23580 linux-source-4.19                  	       8        0        0        0        8
23581 linuxdoc-tools-latex               	       8        0        0        0        8
23582 linuxdoc-tools-text                	       8        0        0        0        8
23583 lirc-doc                           	       8        0        0        0        8
23584 lirc-drv-irman                     	       8        0        0        0        8
23585 lokalize-data                      	       8        0        0        0        8
23586 lokalize-doc                       	       8        0        0        0        8
23587 lua-cqueues                        	       8        2        3        0        3
23588 lwm                                	       8        0        8        0        0
23589 lxshortcut                         	       8        0        8        0        0
23590 m17n-contrib                       	       8        0        0        0        8
23591 mapserver-bin                      	       8        1        7        0        0
23592 mariadb-server-compat              	       8        1        5        2        0
23593 masmemoria                         	       8        0        0        0        8
23594 matchbox-keyboard-im               	       8        0        0        0        8
23595 matchbox-window-manager            	       8        0        8        0        0
23596 mbmon                              	       8        1        7        0        0
23597 mdbtools-doc                       	       8        0        0        0        8
23598 mecab-ipadic                       	       8        0        0        0        8
23599 mesa-amdgpu-omx-drivers            	       8        0        8        0        0
23600 mesa-amdgpu-va-drivers             	       8        0        4        0        4
23601 mesa-amdgpu-vdpau-drivers          	       8        0        1        0        7
23602 minidjvu                           	       8        0        8        0        0
23603 mkcert                             	       8        1        7        0        0
23604 mkgmap                             	       8        0        7        1        0
23605 mknfonts.tool                      	       8        0        8        0        0
23606 mmm-mode                           	       8        1        7        0        0
23607 modemmanager-doc                   	       8        0        0        0        8
23608 mongodb-mongosh                    	       8        1        4        3        0
23609 monopd                             	       8        2        6        0        0
23610 mozplugger                         	       8        1        7        0        0
23611 mp3report                          	       8        1        7        0        0
23612 mrename                            	       8        3        5        0        0
23613 mtink                              	       8        1        7        0        0
23614 multimedia-jack                    	       8        0        0        0        8
23615 multimon                           	       8        1        7        0        0
23616 mupen64plus-qt                     	       8        0        8        0        0
23617 music123                           	       8        0        8        0        0
23618 mx-cleanup                         	       8        1        2        5        0
23619 mx-tools                           	       8        1        2        5        0
23620 mydumper                           	       8        1        7        0        0
23621 myspell-fr                         	       8        1        7        0        0
23622 mysql-server-core-5.5              	       8        1        7        0        0
23623 mythes-ro                          	       8        0        0        0        8
23624 nemo-python                        	       8        0        2        0        6
23625 ngspice-dev                        	       8        0        0        0        8
23626 nip2                               	       8        0        8        0        0
23627 node-abab                          	       8        0        0        0        8
23628 node-clean-css                     	       8        0        8        0        0
23629 node-cssom                         	       8        0        0        0        8
23630 node-cssstyle                      	       8        0        8        0        0
23631 node-d3-queue                      	       8        0        0        0        8
23632 node-entities                      	       8        0        8        0        0
23633 node-jquery-ui                     	       8        0        0        0        8
23634 node-jsdom                         	       8        0        8        0        0
23635 node-parse5                        	       8        0        0        0        8
23636 node-path-to-regexp                	       8        0        0        0        8
23637 node-rw                            	       8        0        8        0        0
23638 node-typescript                    	       8        2        6        0        0
23639 node-webidl-conversions            	       8        0        0        0        8
23640 nodeenv                            	       8        0        7        1        0
23641 ntpsec-ntpviz                      	       8        3        5        0        0
23642 nudoku                             	       8        0        8        0        0
23643 nvidia-legacy-340xx-driver         	       8        0        0        0        8
23644 nvidia-legacy-340xx-driver-libs    	       8        0        0        0        8
23645 nvidia-legacy-340xx-kernel-dkms    	       8        1        7        0        0
23646 nvidia-legacy-340xx-kernel-support 	       8        1        3        0        4
23647 obexfs                             	       8        0        8        0        0
23648 obs-advanced-scene-switcher        	       8        0        0        0        8
23649 obs-advanced-scene-switcher-data   	       8        0        0        0        8
23650 octave-control                     	       8        0        0        0        8
23651 octave-io                          	       8        0        0        0        8
23652 onedrive                           	       8        1        6        1        0
23653 ooohg                              	       8        0        0        0        8
23654 opam-doc                           	       8        0        0        0        8
23655 open-adventure                     	       8        0        8        0        0
23656 openhpid                           	       8        0        8        0        0
23657 openjdk-11-source                  	       8        0        7        1        0
23658 openjdk-17-dbg                     	       8        0        7        1        0
23659 openoffice-en-us                   	       8        0        0        0        8
23660 openoffice-en-us-base              	       8        0        0        0        8
23661 openoffice-en-us-calc              	       8        0        0        0        8
23662 openoffice-en-us-draw              	       8        0        0        0        8
23663 openoffice-en-us-help              	       8        0        0        0        8
23664 openoffice-en-us-impress           	       8        0        0        0        8
23665 openoffice-en-us-math              	       8        0        0        0        8
23666 openoffice-en-us-res               	       8        0        0        0        8
23667 openoffice-en-us-writer            	       8        0        0        0        8
23668 osdclock                           	       8        0        8        0        0
23669 osmctools                          	       8        2        5        1        0
23670 p11-kit-doc                        	       8        0        0        0        8
23671 packetsender                       	       8        1        7        0        0
23672 pamu2fcfg                          	       8        1        6        1        0
23673 pandoc-citeproc                    	       8        0        8        0        0
23674 pandoc-plantuml-filter             	       8        0        6        2        0
23675 password-gorilla                   	       8        2        6        0        0
23676 pdf.js-common                      	       8        0        0        0        8
23677 pdfminer-data                      	       8        0        0        0        8
23678 peazip                             	       8        0        8        0        0
23679 pgdbf                              	       8        1        6        1        0
23680 pgformatter                        	       8        1        6        1        0
23681 pgloader                           	       8        1        7        0        0
23682 pgmodeler                          	       8        1        7        0        0
23683 pgmodeler-common                   	       8        0        0        0        8
23684 phonon4qt5settings                 	       8        0        8        0        0
23685 php-mdb2                           	       8        2        6        0        0
23686 php-net-url2                       	       8        2        6        0        0
23687 php5-apcu                          	       8        2        6        0        0
23688 php5-pgsql                         	       8        2        6        0        0
23689 php5.6-curl                        	       8        5        3        0        0
23690 php5.6-mbstring                    	       8        3        5        0        0
23691 php7.0-imap                        	       8        3        5        0        0
23692 php7.3-bcmath                      	       8        5        3        0        0
23693 php7.3-bz2                         	       8        5        3        0        0
23694 php8.0-cgi                         	       8        0        8        0        0
23695 php8.0-pspell                      	       8        2        6        0        0
23696 php8.0-sqlite3                     	       8        3        5        0        0
23697 php8.1-imap                        	       8        0        8        0        0
23698 php8.3                             	       8        0        0        0        8
23699 php8.3-mysql                       	       8        4        4        0        0
23700 pidgin-encryption                  	       8        1        7        0        0
23701 pidgin-latex                       	       8        3        5        0        0
23702 pidgin-sipe                        	       8        3        5        0        0
23703 pinta                              	       8        0        8        0        0
23704 plasma-runner-telepathy-contact    	       8        0        3        0        5
23705 plotutils                          	       8        1        7        0        0
23706 postgresql-15-postgis-3            	       8        2        6        0        0
23707 postgresql-client-12               	       8        1        7        0        0
23708 postgresql-contrib-9.4             	       8        1        7        0        0
23709 postgresql-doc-9.6                 	       8        0        0        0        8
23710 postgresql-postgis                 	       8        0        0        0        8
23711 primrose                           	       8        0        8        0        0
23712 prometheus-node-exporter           	       8        5        3        0        0
23713 pronterface                        	       8        0        8        0        0
23714 pslib1                             	       8        0        0        0        8
23715 puma                               	       8        0        7        1        0
23716 purple-lurch                       	       8        2        1        0        5
23717 pvm                                	       8        0        8        0        0
23718 pxz                                	       8        1        7        0        0
23719 pyqt5.qsci-dev                     	       8        0        7        0        1
23720 python-bluez                       	       8        0        8        0        0
23721 python-characteristic              	       8        0        8        0        0
23722 python-configobj-doc               	       8        0        0        0        8
23723 python-dbus-doc                    	       8        0        0        0        8
23724 python-dbus.mainloop.pyqt5         	       8        0        8        0        0
23725 python-enchant                     	       8        0        8        0        0
23726 python-greenlet                    	       8        3        5        0        0
23727 python-launchpadlib                	       8        1        7        0        0
23728 python-lxml-doc                    	       8        0        0        0        8
23729 python-ndg-httpsclient             	       8        1        7        0        0
23730 python-openssl-doc                 	       8        0        0        0        8
23731 python-ply-doc                     	       8        0        0        0        8
23732 python-ppl-doc                     	       8        0        0        0        8
23733 python-protobuf                    	       8        0        8        0        0
23734 python-pygments-doc                	       8        0        0        0        8
23735 python-pyside                      	       8        0        0        0        8
23736 python-pyside.phonon               	       8        0        8        0        0
23737 python-pyside.qtcore               	       8        0        8        0        0
23738 python-pyside.qtdeclarative        	       8        0        8        0        0
23739 python-pyside.qtgui                	       8        0        8        0        0
23740 python-pyside.qthelp               	       8        0        8        0        0
23741 python-pyside.qtnetwork            	       8        0        8        0        0
23742 python-pyside.qtopengl             	       8        0        8        0        0
23743 python-pyside.qtscript             	       8        0        8        0        0
23744 python-pyside.qtsql                	       8        0        8        0        0
23745 python-pyside.qtsvg                	       8        0        8        0        0
23746 python-pyside.qttest               	       8        0        8        0        0
23747 python-pyside.qtuitools            	       8        0        8        0        0
23748 python-pyside.qtwebkit             	       8        0        8        0        0
23749 python-pyside.qtxml                	       8        0        8        0        0
23750 python-scipy-doc                   	       8        0        0        0        8
23751 python-simplegeneric               	       8        0        8        0        0
23752 python-suds                        	       8        2        6        0        0
23753 python-svn                         	       8        0        8        0        0
23754 python-sympy-doc                   	       8        0        0        0        8
23755 python-zbar                        	       8        0        8        0        0
23756 python-zmq                         	       8        1        7        0        0
23757 python3-adal                       	       8        0        8        0        0
23758 python3-affine                     	       8        0        8        0        0
23759 python3-alsaaudio                  	       8        1        7        0        0
23760 python3-ansible-compat             	       8        0        7        1        0
23761 python3-aptdaemon.gtk3widgets      	       8        0        8        0        0
23762 python3-aubio                      	       8        0        8        0        0
23763 python3-azure                      	       8        0        8        0        0
23764 python3-bidict                     	       8        1        7        0        0
23765 python3-cerberus                   	       8        0        7        1        0
23766 python3-crcmod                     	       8        1        7        0        0
23767 python3-cson                       	       8        0        8        0        0
23768 python3-cymruwhois                 	       8        0        8        0        0
23769 python3-cypari2                    	       8        0        8        0        0
23770 python3-engineio                   	       8        1        7        0        0
23771 python3-enrich                     	       8        0        7        1        0
23772 python3-flask-socketio             	       8        1        7        0        0
23773 python3-fuzzywuzzy                 	       8        0        8        0        0
23774 python3-gps                        	       8        1        7        0        0
23775 python3-gunicorn                   	       8        0        7        1        0
23776 python3-hatch-vcs                  	       8        0        8        0        0
23777 python3-hatchling                  	       8        0        8        0        0
23778 python3-jupyter-sphinx-theme       	       8        1        7        0        0
23779 python3-keystoneclient             	       8        0        8        0        0
23780 python3-logbook                    	       8        0        8        0        0
23781 python3-memory-allocator           	       8        0        8        0        0
23782 python3-mistune0                   	       8        0        8        0        0
23783 python3-msal-extensions            	       8        0        8        0        0
23784 python3-msrest                     	       8        0        8        0        0
23785 python3-msrestazure                	       8        0        8        0        0
23786 python3-multipart                  	       8        0        8        0        0
23787 python3-pep517                     	       8        0        7        1        0
23788 python3-plist                      	       8        0        8        0        0
23789 python3-poetry                     	       8        0        8        0        0
23790 python3-primecountpy               	       8        0        8        0        0
23791 python3-pyqt4                      	       8        0        8        0        0
23792 python3-sage                       	       8        0        8        0        0
23793 python3-sagenb-export              	       8        0        8        0        0
23794 python3-socketio                   	       8        1        7        0        0
23795 python3-speg                       	       8        0        8        0        0
23796 python3-sphinx-copybutton          	       8        0        8        0        0
23797 python3-subprocess-tee             	       8        0        7        1        0
23798 python3-tmuxp                      	       8        0        8        0        0
23799 python3-uamqp                      	       8        0        8        0        0
23800 python3-vlc                        	       8        0        7        1        0
23801 python3-zombie-imp                 	       8        0        5        3        0
23802 python3.13-gdbm                    	       8        0        5        3        0
23803 qt5-styles-ukui                    	       8        0        0        0        8
23804 qt6-pdf-dev                        	       8        0        7        1        0
23805 qt6-positioning-dev                	       8        0        7        1        0
23806 qt6-webengine-dev                  	       8        0        7        1        0
23807 qt6-webengine-dev-tools            	       8        0        7        1        0
23808 qt6-xdgdesktopportal-platformtheme 	       8        0        1        0        7
23809 qtgamepad5-doc-html                	       8        0        0        0        8
23810 qtop                               	       8        0        8        0        0
23811 quake                              	       8        0        8        0        0
23812 quake2                             	       8        0        8        0        0
23813 quassel-client                     	       8        1        5        2        0
23814 qxw                                	       8        0        8        0        0
23815 r-cran-actuar                      	       8        1        7        0        0
23816 r-cran-bayesfactor                 	       8        1        7        0        0
23817 r-cran-bayesplot                   	       8        1        7        0        0
23818 r-cran-bridgesampling              	       8        1        7        0        0
23819 r-cran-brms                        	       8        1        7        0        0
23820 r-cran-brobdingnag                 	       8        1        7        0        0
23821 r-cran-broom.mixed                 	       8        1        7        0        0
23822 r-cran-desolve                     	       8        1        7        0        0
23823 r-cran-distributional              	       8        1        7        0        0
23824 r-cran-elliptic                    	       8        1        7        0        0
23825 r-cran-expint                      	       8        1        7        0        0
23826 r-cran-fbasics                     	       8        2        6        0        0
23827 r-cran-formatr                     	       8        1        7        0        0
23828 r-cran-gamm4                       	       8        1        7        0        0
23829 r-cran-ggfortify                   	       8        1        7        0        0
23830 r-cran-glmmtmb                     	       8        1        7        0        0
23831 r-cran-gparotation                 	       8        1        7        0        0
23832 r-cran-gss                         	       8        1        7        0        0
23833 r-cran-hypergeo                    	       8        1        7        0        0
23834 r-cran-jomo                        	       8        1        7        0        0
23835 r-cran-learnbayes                  	       8        1        7        0        0
23836 r-cran-mice                        	       8        1        7        0        0
23837 r-cran-mitml                       	       8        1        7        0        0
23838 r-cran-mitools                     	       8        1        7        0        0
23839 r-cran-ordinal                     	       8        1        7        0        0
23840 r-cran-pander                      	       8        0        6        2        0
23841 r-cran-posterior                   	       8        0        7        1        0
23842 r-cran-projpred                    	       8        1        7        0        0
23843 r-cran-psychtools                  	       8        1        7        0        0
23844 r-cran-rstanarm                    	       8        1        7        0        0
23845 r-cran-rtdists                     	       8        1        7        0        0
23846 r-cran-rwiener                     	       8        1        7        0        0
23847 r-cran-shinystan                   	       8        1        7        0        0
23848 r-cran-shinythemes                 	       8        1        7        0        0
23849 r-cran-splines2                    	       8        0        7        1        0
23850 r-cran-stabledist                  	       8        1        7        0        0
23851 r-cran-survey                      	       8        1        7        0        0
23852 r-cran-tensora                     	       8        1        7        0        0
23853 r-cran-threejs                     	       8        1        7        0        0
23854 r-cran-tmb                         	       8        1        7        0        0
23855 r-cran-vgam                        	       8        1        7        0        0
23856 rabbitmq-server                    	       8        2        6        0        0
23857 raku                               	       8        0        0        0        8
23858 raku-file-find                     	       8        0        8        0        0
23859 raku-file-which                    	       8        0        8        0        0
23860 raku-hash-merge                    	       8        0        8        0        0
23861 raku-json-class                    	       8        0        8        0        0
23862 raku-json-fast                     	       8        0        8        0        0
23863 raku-json-marshal                  	       8        0        8        0        0
23864 raku-json-name                     	       8        0        8        0        0
23865 raku-json-optin                    	       8        0        8        0        0
23866 raku-json-unmarshal                	       8        0        8        0        0
23867 raku-librarycheck                  	       8        0        8        0        0
23868 raku-license-spdx                  	       8        0        8        0        0
23869 raku-log                           	       8        0        8        0        0
23870 raku-meta6                         	       8        0        8        0        0
23871 raku-readline                      	       8        0        8        0        0
23872 raku-test-meta                     	       8        0        8        0        0
23873 raku-uri                           	       8        0        8        0        0
23874 raku-zef                           	       8        1        7        0        0
23875 rasdaemon                          	       8        2        6        0        0
23876 remmina-plugin-kwallet             	       8        1        0        0        7
23877 resource-agents                    	       8        0        8        0        0
23878 rig                                	       8        0        8        0        0
23879 rsstail                            	       8        0        8        0        0
23880 ruby-htmlentities                  	       8        0        8        0        0
23881 ruby-jquery-rails                  	       8        0        8        0        0
23882 rust-src                           	       8        0        8        0        0
23883 s3cmd                              	       8        0        8        0        0
23884 s6                                 	       8        0        8        0        0
23885 sagetex                            	       8        0        0        0        8
23886 samba-testsuite                    	       8        2        6        0        0
23887 scim                               	       8        1        7        0        0
23888 scim-gtk-immodule                  	       8        1        3        0        4
23889 scim-im-agent                      	       8        1        7        0        0
23890 scim-modules-socket                	       8        1        3        0        4
23891 sct                                	       8        2        6        0        0
23892 sdl-ball                           	       8        0        8        0        0
23893 sdl-ball-data                      	       8        0        0        0        8
23894 sent                               	       8        0        8        0        0
23895 service-wrapper                    	       8        1        7        0        0
23896 shfmt                              	       8        1        6        1        0
23897 showfoto                           	       8        0        7        1        0
23898 simavr                             	       8        0        8        0        0
23899 simulavr                           	       8        0        8        0        0
23900 sitecopy                           	       8        0        8        0        0
23901 skladnik                           	       8        0        7        1        0
23902 snapper-gui                        	       8        0        7        1        0
23903 snort-common                       	       8        1        7        0        0
23904 snort-common-libraries             	       8        1        7        0        0
23905 sopwith                            	       8        0        8        0        0
23906 sordi                              	       8        0        8        0        0
23907 soundmodem                         	       8        1        7        0        0
23908 spatialite-bin                     	       8        0        8        0        0
23909 spectrwm                           	       8        0        8        0        0
23910 spl                                	       8        1        1        0        6
23911 sssd-dbus                          	       8        0        8        0        0
23912 stardict-gtk                       	       8        1        7        0        0
23913 starplot                           	       8        0        8        0        0
23914 statserial                         	       8        1        7        0        0
23915 stm32flash                         	       8        0        8        0        0
23916 stretchplayer                      	       8        0        7        1        0
23917 subdownloader                      	       8        0        8        0        0
23918 svtools                            	       8        0        8        0        0
23919 swi-prolog-x                       	       8        1        7        0        0
23920 synfigstudio                       	       8        0        8        0        0
23921 syslog-summary                     	       8        1        7        0        0
23922 systempreferences.app              	       8        1        7        0        0
23923 task-cyrillic-kde-desktop          	       8        0        0        0        8
23924 task-finnish                       	       8        0        0        0        8
23925 tcl-itcl4                          	       8        0        0        0        8
23926 tcllib-critcl                      	       8        0        0        0        8
23927 tdfsb                              	       8        0        8        0        0
23928 tecnoballz                         	       8        0        8        0        0
23929 tecnoballz-data                    	       8        0        0        0        8
23930 telegram-cli                       	       8        1        7        0        0
23931 temurin-17-jdk                     	       8        0        8        0        0
23932 tesseract-ocr-afr                  	       8        0        0        0        8
23933 tesseract-ocr-all                  	       8        0        0        0        8
23934 tesseract-ocr-amh                  	       8        0        0        0        8
23935 tesseract-ocr-asm                  	       8        0        0        0        8
23936 tesseract-ocr-bel                  	       8        0        0        0        8
23937 tesseract-ocr-ben                  	       8        0        0        0        8
23938 tesseract-ocr-bod                  	       8        0        0        0        8
23939 tesseract-ocr-bos                  	       8        0        0        0        8
23940 tesseract-ocr-bul                  	       8        0        0        0        8
23941 tesseract-ocr-cat                  	       8        0        0        0        8
23942 tesseract-ocr-ceb                  	       8        0        0        0        8
23943 tesseract-ocr-chr                  	       8        0        0        0        8
23944 tesseract-ocr-cym                  	       8        0        0        0        8
23945 tesseract-ocr-dzo                  	       8        0        0        0        8
23946 tesseract-ocr-epo                  	       8        0        0        0        8
23947 tesseract-ocr-est                  	       8        0        0        0        8
23948 tesseract-ocr-eus                  	       8        0        0        0        8
23949 tesseract-ocr-fas                  	       8        0        0        0        8
23950 tesseract-ocr-fry                  	       8        0        0        0        8
23951 tesseract-ocr-guj                  	       8        0        0        0        8
23952 tesseract-ocr-hat                  	       8        0        0        0        8
23953 tesseract-ocr-hrv                  	       8        0        0        0        8
23954 tesseract-ocr-hun                  	       8        0        0        0        8
23955 tesseract-ocr-iku                  	       8        0        0        0        8
23956 tesseract-ocr-ind                  	       8        0        0        0        8
23957 tesseract-ocr-isl                  	       8        0        0        0        8
23958 tesseract-ocr-jav                  	       8        0        0        0        8
23959 tesseract-ocr-kan                  	       8        0        0        0        8
23960 tesseract-ocr-kat                  	       8        0        0        0        8
23961 tesseract-ocr-kat-old              	       8        0        0        0        8
23962 tesseract-ocr-kaz                  	       8        0        0        0        8
23963 tesseract-ocr-khm                  	       8        0        0        0        8
23964 tesseract-ocr-kir                  	       8        0        0        0        8
23965 tesseract-ocr-kor                  	       8        0        0        0        8
23966 tesseract-ocr-lao                  	       8        0        0        0        8
23967 tesseract-ocr-lav                  	       8        0        0        0        8
23968 tesseract-ocr-lit                  	       8        0        0        0        8
23969 tesseract-ocr-mal                  	       8        0        0        0        8
23970 tesseract-ocr-mar                  	       8        0        0        0        8
23971 tesseract-ocr-mkd                  	       8        0        0        0        8
23972 tesseract-ocr-mlt                  	       8        0        0        0        8
23973 tesseract-ocr-msa                  	       8        0        0        0        8
23974 tesseract-ocr-mya                  	       8        0        0        0        8
23975 tesseract-ocr-nep                  	       8        0        0        0        8
23976 tesseract-ocr-nor                  	       8        0        0        0        8
23977 tesseract-ocr-ori                  	       8        0        0        0        8
23978 tesseract-ocr-pan                  	       8        0        0        0        8
23979 tesseract-ocr-pus                  	       8        0        0        0        8
23980 tesseract-ocr-san                  	       8        0        0        0        8
23981 tesseract-ocr-script-cans          	       8        0        0        0        8
23982 tesseract-ocr-script-cher          	       8        0        0        0        8
23983 tesseract-ocr-script-frak          	       8        0        0        0        8
23984 tesseract-ocr-script-hans          	       8        0        0        0        8
23985 tesseract-ocr-script-hans-vert     	       8        0        0        0        8
23986 tesseract-ocr-script-hant          	       8        0        0        0        8
23987 tesseract-ocr-script-hant-vert     	       8        0        0        0        8
23988 tesseract-ocr-script-hebr          	       8        0        0        0        8
23989 tesseract-ocr-sin                  	       8        0        0        0        8
23990 tesseract-ocr-slv                  	       8        0        0        0        8
23991 tesseract-ocr-sqi                  	       8        0        0        0        8
23992 tesseract-ocr-srp                  	       8        0        0        0        8
23993 tesseract-ocr-srp-latn             	       8        0        0        0        8
23994 tesseract-ocr-swa                  	       8        0        0        0        8
23995 tesseract-ocr-syr                  	       8        0        0        0        8
23996 tesseract-ocr-tam                  	       8        0        0        0        8
23997 tesseract-ocr-tel                  	       8        0        0        0        8
23998 tesseract-ocr-tgk                  	       8        0        0        0        8
23999 tesseract-ocr-tir                  	       8        0        0        0        8
24000 tesseract-ocr-tur                  	       8        0        0        0        8
24001 tesseract-ocr-uig                  	       8        0        0        0        8
24002 tesseract-ocr-urd                  	       8        0        0        0        8
24003 tesseract-ocr-uzb                  	       8        0        0        0        8
24004 tesseract-ocr-uzb-cyrl             	       8        0        0        0        8
24005 textedit.app                       	       8        1        7        0        0
24006 thunar-dropbox-plugin              	       8        0        2        0        6
24007 thunderbird-l10n-nl                	       8        1        6        1        0
24008 thunderbird-l10n-sv-se             	       8        0        8        0        0
24009 timewarrior                        	       8        0        8        0        0
24010 tipa-doc                           	       8        0        0        0        8
24011 tmuxp                              	       8        0        8        0        0
24012 tootle                             	       8        0        8        0        0
24013 trace-cmd                          	       8        0        8        0        0
24014 transcalc                          	       8        0        8        0        0
24015 treeline                           	       8        2        6        0        0
24016 trigger-rally-data                 	       8        0        0        0        8
24017 ttf-lyx                            	       8        0        0        0        8
24018 ttf-wqy-microhei                   	       8        0        0        0        8
24019 ttysnoop                           	       8        0        8        0        0
24020 tvtime                             	       8        0        8        0        0
24021 twclock                            	       8        0        8        0        0
24022 tworld                             	       8        0        8        0        0
24023 tworld-data                        	       8        0        8        0        0
24024 txt2regex                          	       8        0        8        0        0
24025 tzwatch                            	       8        1        7        0        0
24026 ufiformat                          	       8        0        8        0        0
24027 uisp                               	       8        0        8        0        0
24028 unmass                             	       8        1        7        0        0
24029 urfkill                            	       8        0        8        0        0
24030 user-mode-linux                    	       8        0        7        1        0
24031 vdr                                	       8        1        7        0        0
24032 vim-addon-mw-utils                 	       8        0        0        0        8
24033 vim-ale                            	       8        0        0        0        8
24034 vim-editorconfig                   	       8        0        0        0        8
24035 vim-python-jedi                    	       8        0        0        0        8
24036 visualboyadvance                   	       8        0        8        0        0
24037 vstream-client-dev                 	       8        0        8        0        0
24038 vulkan-validationlayers-dev        	       8        0        8        0        0
24039 w64codecs                          	       8        0        8        0        0
24040 waterfox                           	       8        1        6        1        0
24041 waypipe                            	       8        0        7        1        0
24042 webex                              	       8        0        8        0        0
24043 webext-noscript                    	       8        0        0        0        8
24044 webfs                              	       8        1        7        0        0
24045 websocketd                         	       8        2        6        0        0
24046 wesnoth-1.14                       	       8        0        0        0        8
24047 wesnoth-1.14-aoi                   	       8        0        0        0        8
24048 wesnoth-1.14-core                  	       8        0        8        0        0
24049 wesnoth-1.14-did                   	       8        0        0        0        8
24050 wesnoth-1.14-dm                    	       8        0        0        0        8
24051 wesnoth-1.14-dw                    	       8        0        0        0        8
24052 wesnoth-1.14-ei                    	       8        0        0        0        8
24053 wesnoth-1.14-httt                  	       8        0        0        0        8
24054 wesnoth-1.14-l                     	       8        0        0        0        8
24055 wesnoth-1.14-low                   	       8        0        0        0        8
24056 wesnoth-1.14-nr                    	       8        0        0        0        8
24057 wesnoth-1.14-sof                   	       8        0        0        0        8
24058 wesnoth-1.14-sota                  	       8        0        0        0        8
24059 wesnoth-1.14-sotbe                 	       8        0        0        0        8
24060 wesnoth-1.14-thot                  	       8        0        0        0        8
24061 wesnoth-1.14-trow                  	       8        0        0        0        8
24062 wesnoth-1.14-tsg                   	       8        0        0        0        8
24063 wesnoth-1.14-ttb                   	       8        0        0        0        8
24064 wesnoth-1.14-utbs                  	       8        0        0        0        8
24065 whatsdesk                          	       8        2        2        0        4
24066 wide-dhcpv6-client                 	       8        1        7        0        0
24067 win32-loader                       	       8        0        0        0        8
24068 winehq-devel                       	       8        1        7        0        0
24069 wmnd                               	       8        2        6        0        0
24070 wmsun                              	       8        0        8        0        0
24071 woff-tools                         	       8        0        8        0        0
24072 wx3.2-doc                          	       8        0        0        0        8
24073 x11proto-print-dev                 	       8        0        7        0        1
24074 x2goserver-x2gokdrive              	       8        0        0        0        8
24075 xbattbar                           	       8        0        8        0        0
24076 xdg-desktop-portal-lxqt            	       8        1        1        2        4
24077 xe                                 	       8        0        8        0        0
24078 xen-hypervisor-4.17-amd64          	       8        0        0        0        8
24079 xen-utils-4.17                     	       8        0        8        0        0
24080 xfireworks                         	       8        0        8        0        0
24081 xfonts-a12k12                      	       8        0        0        0        8
24082 xfonts-bolkhov-75dpi               	       8        0        1        0        7
24083 xfonts-bolkhov-cp1251-75dpi        	       8        0        0        0        8
24084 xfonts-bolkhov-isocyr-misc         	       8        0        0        0        8
24085 xfonts-bolkhov-koi8u-misc          	       8        0        0        0        8
24086 xfonts-cronyx-cp1251-100dpi        	       8        0        0        0        8
24087 xfonts-cronyx-cp1251-misc          	       8        0        0        0        8
24088 xfonts-cronyx-isocyr-100dpi        	       8        0        0        0        8
24089 xfonts-cronyx-isocyr-misc          	       8        0        0        0        8
24090 xfonts-cronyx-koi8u-100dpi         	       8        0        0        0        8
24091 xfonts-cronyx-koi8u-misc           	       8        0        0        0        8
24092 xfonts-kaname                      	       8        0        0        0        8
24093 xfonts-libdockapp                  	       8        0        0        0        8
24094 xjig                               	       8        0        8        0        0
24095 xmabacus                           	       8        2        6        0        0
24096 xmacro                             	       8        0        8        0        0
24097 xmbmon                             	       8        1        6        1        0
24098 xmms2-plugin-airplay               	       8        1        7        0        0
24099 xmms2-plugin-apefile               	       8        1        7        0        0
24100 xmms2-plugin-karaoke               	       8        1        7        0        0
24101 xmms2-plugin-tta                   	       8        1        7        0        0
24102 xmms2-plugin-xspf                  	       8        1        7        0        0
24103 xpp                                	       8        0        8        0        0
24104 xrootconsole                       	       8        1        7        0        0
24105 xserver-x2gokdrive                 	       8        1        7        0        0
24106 xserver-xorg-video-nvidia-legacy-340xx	       8        2        6        0        0
24107 xshogi                             	       8        0        8        0        0
24108 xsoldier                           	       8        0        8        0        0
24109 xtail                              	       8        2        6        0        0
24110 xxhash                             	       8        0        8        0        0
24111 xye                                	       8        0        8        0        0
24112 xye-data                           	       8        0        0        0        8
24113 xygrib-maps                        	       8        0        0        0        8
24114 yacpi                              	       8        0        8        0        0
24115 ypserv                             	       8        2        6        0        0
24116 ytnef-tools                        	       8        0        8        0        0
24117 zbarcam-gtk                        	       8        1        7        0        0
24118 zint-qt                            	       8        1        6        1        0
24119 zita-lrx                           	       8        0        8        0        0
24120 zoneminder-doc                     	       8        0        0        0        8
24121 zopfli                             	       8        1        7        0        0
24122 2ping                              	       7        1        6        0        0
24123 9mount                             	       7        1        6        0        0
24124 aac-enc                            	       7        1        6        0        0
24125 activity-aware-firefox             	       7        1        5        1        0
24126 ada-reference-manual-2020          	       7        0        0        0        7
24127 adonthell                          	       7        0        7        0        0
24128 adonthell-data                     	       7        0        7        0        0
24129 adoptopenjdk-8-hotspot             	       7        0        7        0        0
24130 aeson-pretty                       	       7        1        6        0        0
24131 afl++-doc                          	       7        0        7        0        0
24132 afuse                              	       7        0        7        0        0
24133 airdroid                           	       7        0        0        0        7
24134 akonadi-import-wizard              	       7        0        6        1        0
24135 alarm-clock-applet                 	       7        2        5        0        0
24136 album                              	       7        0        6        1        0
24137 alembic                            	       7        0        6        0        1
24138 alex4                              	       7        0        7        0        0
24139 alex4-data                         	       7        0        0        0        7
24140 amarok                             	       7        0        6        1        0
24141 android-sdk-libsparse-utils        	       7        0        7        0        0
24142 aom-tools                          	       7        0        7        0        0
24143 apertium                           	       7        1        6        0        0
24144 apitrace                           	       7        0        7        0        0
24145 apitrace-tracers                   	       7        0        0        0        7
24146 apmd                               	       7        1        6        0        0
24147 apngasm                            	       7        1        6        0        0
24148 apngdis                            	       7        1        6        0        0
24149 aptitude-doc-cs                    	       7        0        0        0        7
24150 asc                                	       7        0        7        0        0
24151 asc-data                           	       7        0        0        0        7
24152 asc-music                          	       7        0        0        0        7
24153 aspell-bg                          	       7        0        6        1        0
24154 aspell-da                          	       7        0        7        0        0
24155 aspell-ga                          	       7        0        7        0        0
24156 aspell-hsb                         	       7        0        7        0        0
24157 aspell-pt-pt                       	       7        1        6        0        0
24158 astropy-utils                      	       7        0        7        0        0
24159 aumix-gtk                          	       7        0        7        0        0
24160 automake-1.15                      	       7        1        6        0        0
24161 autoproject                        	       7        2        5        0        0
24162 avldrums.lv2                       	       7        1        6        0        0
24163 ax25-apps                          	       7        1        6        0        0
24164 ax25mail-utils                     	       7        1        6        0        0
24165 ayatana-indicator-bluetooth        	       7        2        5        0        0
24166 bidiv                              	       7        0        7        0        0
24167 binutils-mips-linux-gnu            	       7        0        7        0        0
24168 bochs-sdl                          	       7        0        1        0        6
24169 boot-info-script                   	       7        0        7        0        0
24170 bpftool                            	       7        0        7        0        0
24171 broadcom-sta-common                	       7        0        0        0        7
24172 browsh                             	       7        0        7        0        0
24173 bucklespring                       	       7        0        7        0        0
24174 bucklespring-data                  	       7        0        0        0        7
24175 budgie-desktop-view                	       7        0        7        0        0
24176 budgie-extras-common               	       7        0        0        0        7
24177 bustle                             	       7        0        7        0        0
24178 bustle-pcap                        	       7        0        7        0        0
24179 bzr-doc                            	       7        0        0        0        7
24180 c++-annotations-html               	       7        0        0        0        7
24181 cambiainit-trinity                 	       7        0        0        0        7
24182 carla                              	       7        0        7        0        0
24183 carla-data                         	       7        0        0        0        7
24184 caveexpress                        	       7        0        7        0        0
24185 caveexpress-data                   	       7        0        0        0        7
24186 cbindgen                           	       7        0        7        0        0
24187 cbonsai                            	       7        0        7        0        0
24188 cccc                               	       7        0        7        0        0
24189 cdlabelgen                         	       7        0        7        0        0
24190 ceph-common                        	       7        0        7        0        0
24191 chase                              	       7        2        5        0        0
24192 check-mk-agent                     	       7        1        6        0        0
24193 chezscheme                         	       7        1        6        0        0
24194 chicken-bin                        	       7        0        7        0        0
24195 clamsmtp                           	       7        5        2        0        0
24196 clang-6.0                          	       7        0        7        0        0
24197 clang-tidy-11                      	       7        0        6        0        1
24198 clang-tools-13                     	       7        0        7        0        0
24199 cleancss                           	       7        0        7        0        0
24200 clevis-initramfs                   	       7        0        0        0        7
24201 cli-shell-utils                    	       7        0        0        0        7
24202 clisp-module-berkeley-db           	       7        0        7        0        0
24203 clisp-module-dbus                  	       7        0        7        0        0
24204 clisp-module-gdbm                  	       7        0        7        0        0
24205 clustalo                           	       7        0        7        0        0
24206 cnrdrvcups-ufr2-uk                 	       7        0        6        1        0
24207 codeblocks-dev                     	       7        0        7        0        0
24208 coinor-libsymphony3                	       7        0        0        0        7
24209 colortail                          	       7        0        7        0        0
24210 common-lisp-controller             	       7        0        7        0        0
24211 compton-conf-l10n                  	       7        0        0        0        7
24212 confget                            	       7        1        6        0        0
24213 conntrackd                         	       7        5        2        0        0
24214 cpp-10-aarch64-linux-gnu           	       7        0        7        0        0
24215 cpp-10-arm-linux-gnueabihf         	       7        0        7        0        0
24216 cpp-14-i686-linux-gnu              	       7        1        6        0        0
24217 cpp-4.7                            	       7        0        7        0        0
24218 cpp-8-aarch64-linux-gnu            	       7        0        7        0        0
24219 cpputest                           	       7        0        7        0        0
24220 cpustat                            	       7        1        6        0        0
24221 cqrlog-data                        	       7        0        0        0        7
24222 crafty                             	       7        1        6        0        0
24223 cramfsprogs                        	       7        0        7        0        0
24224 cream                              	       7        1        6        0        0
24225 crispy-doom                        	       7        1        6        0        0
24226 crossfire-client-images            	       7        0        0        0        7
24227 csoundqt                           	       7        0        7        0        0
24228 csoundqt-examples                  	       7        0        0        0        7
24229 cutycapt                           	       7        0        6        1        0
24230 cwdaemon                           	       7        2        5        0        0
24231 dante-client                       	       7        1        5        1        0
24232 datamash                           	       7        0        7        0        0
24233 davmail                            	       7        2        5        0        0
24234 davmail-server                     	       7        2        5        0        0
24235 db5.3-doc                          	       7        0        0        0        7
24236 dbconfig-pgsql                     	       7        0        0        0        7
24237 dbtoepub                           	       7        0        7        0        0
24238 debian-edu-artwork-homeworld       	       7        1        6        0        0
24239 debian-reference                   	       7        0        0        0        7
24240 debsigs                            	       7        1        6        0        0
24241 deepin-notifications               	       7        1        6        0        0
24242 deepin-shortcut-viewer             	       7        0        7        0        0
24243 deheader                           	       7        0        7        0        0
24244 derivations                        	       7        0        0        0        7
24245 devilspie                          	       7        0        7        0        0
24246 dh-golang                          	       7        1        6        0        0
24247 dh-ocaml                           	       7        0        7        0        0
24248 dhcp3-common                       	       7        0        0        0        7
24249 dia-libs                           	       7        0        0        0        7
24250 dialect                            	       7        3        4        0        0
24251 dict-freedict-eng-ita              	       7        0        0        0        7
24252 dict-freedict-spa-eng              	       7        1        0        0        6
24253 dict-moby-thesaurus                	       7        0        0        0        7
24254 digitemp                           	       7        0        7        0        0
24255 diploma                            	       7        0        0        0        7
24256 disc-cover                         	       7        0        7        0        0
24257 displaycal                         	       7        1        6        0        0
24258 distrobuilder                      	       7        0        4        3        0
24259 distrobuilder-images               	       7        0        0        0        7
24260 dleyna-renderer                    	       7        0        7        0        0
24261 dmktools                           	       7        0        7        0        0
24262 doc-rfc-experimental               	       7        0        0        0        7
24263 doc-rfc-fyi-bcp                    	       7        0        0        0        7
24264 doc-rfc-informational              	       7        0        0        0        7
24265 doc-rfc-old-std                    	       7        0        0        0        7
24266 doc-rfc-others                     	       7        0        0        0        7
24267 docbook-xsl-doc-pdf                	       7        0        0        0        7
24268 docbook2x                          	       7        0        7        0        0
24269 dov4l                              	       7        1        6        0        0
24270 dovecot-antispam                   	       7        1        6        0        0
24271 dovecot-gssapi                     	       7        2        5        0        0
24272 dovecot-lucene                     	       7        1        6        0        0
24273 doxyqml                            	       7        1        6        0        0
24274 drumgizmo                          	       7        0        7        0        0
24275 drumkv1                            	       7        0        7        0        0
24276 dupot-easy-flatpak                 	       7        0        0        0        7
24277 dvi2ps-fontdata-ja                 	       7        0        0        0        7
24278 e3                                 	       7        0        7        0        0
24279 ecatools                           	       7        1        6        0        0
24280 education-astronomy                	       7        0        0        0        7
24281 education-chemistry                	       7        0        0        0        7
24282 education-common                   	       7        0        0        0        7
24283 education-language                 	       7        0        0        0        7
24284 education-logic-games              	       7        0        0        0        7
24285 education-mathematics              	       7        0        0        0        7
24286 education-misc                     	       7        0        0        0        7
24287 education-music                    	       7        0        0        0        7
24288 education-physics                  	       7        0        0        0        7
24289 eiskaltdcpp-common                 	       7        0        0        0        7
24290 electronics-radio-dev              	       7        0        0        0        7
24291 elpa-caml                          	       7        1        6        0        0
24292 elpa-ghub                          	       7        2        5        0        0
24293 elpa-go-mode                       	       7        1        5        1        0
24294 elpa-magit-popup                   	       7        2        5        0        0
24295 elpa-treepy                        	       7        2        5        0        0
24296 emacs24-el                         	       7        0        0        0        7
24297 emu8051                            	       7        0        6        1        0
24298 endless-sky-high-dpi               	       7        0        0        0        7
24299 engauge-digitizer                  	       7        0        7        0        0
24300 eog-plugin-disable-dark-theme      	       7        0        0        0        7
24301 eog-plugin-export-to-folder        	       7        0        0        0        7
24302 eog-plugin-map                     	       7        0        0        0        7
24303 eog-plugin-maximize-windows        	       7        0        0        0        7
24304 eog-plugin-python-console          	       7        0        0        0        7
24305 eog-plugin-send-by-mail            	       7        0        0        0        7
24306 eog-plugin-slideshow-shuffle       	       7        0        0        0        7
24307 ephoto                             	       7        1        6        0        0
24308 eric-api-files                     	       7        0        0        0        7
24309 erlang-goldrush                    	       7        0        6        1        0
24310 erlang-lager                       	       7        1        5        1        0
24311 erlang-p1-cache-tab                	       7        1        6        0        0
24312 erlang-p1-stringprep               	       7        1        6        0        0
24313 erlang-p1-stun                     	       7        0        7        0        0
24314 erlang-p1-yaml                     	       7        1        6        0        0
24315 erlang-p1-zlib                     	       7        1        6        0        0
24316 espeak-ng-espeak                   	       7        0        7        0        0
24317 evilwm                             	       7        0        7        0        0
24318 fakeroot-ng                        	       7        0        7        0        0
24319 fcitx5-chinese-addons              	       7        0        0        0        7
24320 fcitx5-chinese-addons-bin          	       7        0        6        1        0
24321 fcitx5-module-chttrans             	       7        1        1        0        5
24322 fcitx5-module-cloudpinyin          	       7        1        3        0        3
24323 fcitx5-module-pinyinhelper         	       7        1        5        0        1
24324 fcitx5-pinyin                      	       7        1        3        0        3
24325 fcitx5-table                       	       7        0        1        0        6
24326 feedgnuplot                        	       7        1        6        0        0
24327 ffmsindex                          	       7        0        7        0        0
24328 firefox-esr-l10n-ca                	       7        2        5        0        0
24329 firefox-esr-l10n-ko                	       7        1        4        2        0
24330 firefox-esr-l10n-tr                	       7        1        6        0        0
24331 firefox-l10n-de                    	       7        0        4        2        1
24332 firmware-qcom-media                	       7        0        1        0        6
24333 flash-kernel                       	       7        0        7        0        0
24334 flightgear-phi                     	       7        0        0        0        7
24335 flmsg                              	       7        1        6        0        0
24336 florence                           	       7        0        7        0        0
24337 flwrap                             	       7        1        6        0        0
24338 fnt                                	       7        0        7        0        0
24339 fonts-alegreya-sans                	       7        0        0        0        7
24340 fonts-cwtex-docs                   	       7        0        0        0        7
24341 fonts-dclfonts                     	       7        0        0        0        7
24342 fonts-ldco                         	       7        0        0        0        7
24343 fonts-lohit-deva-marathi           	       7        1        0        0        6
24344 fonts-lohit-deva-nepali            	       7        0        0        0        7
24345 fonts-mikachan                     	       7        0        0        0        7
24346 fonts-monlam                       	       7        0        0        0        7
24347 fonts-rit-sundar                   	       7        0        0        0        7
24348 fonts-sil-shimenkan-gsm            	       7        0        0        0        7
24349 fonts-sil-shimenkan-guifan         	       7        0        0        0        7
24350 fonts-sil-shimenkan-mas            	       7        0        0        0        7
24351 fonts-sil-shimenkan-mgs            	       7        0        0        0        7
24352 fonts-sil-shimenkan-salaowu        	       7        0        0        0        7
24353 fonts-sil-shimenkan-sapushan       	       7        0        0        0        7
24354 fonts-sil-shimenkan-taogu          	       7        0        0        0        7
24355 fonts-sil-shimenkan-zonghe         	       7        0        0        0        7
24356 fonts-woowa-bm                     	       7        0        0        0        7
24357 forensics-samples-tools            	       7        0        7        0        0
24358 fortunes-de                        	       7        0        7        0        0
24359 fortunes-ru                        	       7        0        0        0        7
24360 fp-units-rtl                       	       7        0        0        0        7
24361 fpa-ansible-essentials             	       7        0        0        0        7
24362 fpa-config-vim                     	       7        0        7        0        0
24363 fpa-general-x                      	       7        0        6        1        0
24364 fpa-gitman                         	       7        0        6        1        0
24365 fpa-network-scripts                	       7        0        6        1        0
24366 fpa-sync-scripts                   	       7        0        7        0        0
24367 fpa-system-monitor-temps           	       7        0        7        0        0
24368 fpc-3.2.0                          	       7        0        0        0        7
24369 fpc-laz                            	       7        0        7        0        0
24370 fpc-src                            	       7        0        7        0        0
24371 fpga-icestorm                      	       7        1        6        0        0
24372 freebsd-manpages                   	       7        0        0        0        7
24373 freetds-bin                        	       7        0        7        0        0
24374 fruit                              	       7        0        7        0        0
24375 fsharp                             	       7        1        6        0        0
24376 furo                               	       7        0        7        0        0
24377 fvwm3                              	       7        1        6        0        0
24378 galois                             	       7        0        7        0        0
24379 games-puzzle                       	       7        0        0        0        7
24380 gcc-10-aarch64-linux-gnu-base      	       7        0        0        0        7
24381 gcc-10-arm-linux-gnueabihf-base    	       7        0        0        0        7
24382 gcc-12-cross-base-mipsen           	       7        0        0        0        7
24383 gcc-12-locales                     	       7        0        0        0        7
24384 gcc-14-i686-linux-gnu              	       7        0        6        1        0
24385 gcc-4.7                            	       7        0        7        0        0
24386 gcc-6-multilib                     	       7        0        0        0        7
24387 gcc-8-aarch64-linux-gnu            	       7        0        7        0        0
24388 gcc-8-aarch64-linux-gnu-base       	       7        0        0        0        7
24389 gccgo-12                           	       7        1        6        0        0
24390 gccintro                           	       7        0        0        0        7
24391 gchempaint                         	       7        1        6        0        0
24392 gcj-6-jre-headless                 	       7        1        6        0        0
24393 gdu                                	       7        0        6        1        0
24394 gearhead                           	       7        0        7        0        0
24395 gegl                               	       7        0        7        0        0
24396 gelemental                         	       7        0        7        0        0
24397 gentoo                             	       7        0        7        0        0
24398 gfpoken                            	       7        0        7        0        0
24399 ghostess                           	       7        0        7        0        0
24400 gimagereader-qt5                   	       7        0        7        0        0
24401 gir1.2-clutter-gst-2.0             	       7        0        7        0        0
24402 gir1.2-entangle-0.1                	       7        0        7        0        0
24403 gir1.2-gst-plugins-base-0.10       	       7        0        7        0        0
24404 gir1.2-gtksource-5                 	       7        1        0        0        6
24405 git-daemon-run                     	       7        0        0        0        7
24406 git-el                             	       7        1        6        0        0
24407 gitinspector                       	       7        1        6        0        0
24408 gjiten                             	       7        1        6        0        0
24409 gkrellm-radio                      	       7        1        6        0        0
24410 glaurung                           	       7        0        7        0        0
24411 gmerlin-encoders-good              	       7        0        0        0        7
24412 gmerlin-plugins-avdecoder          	       7        0        0        0        7
24413 gnome-breakout                     	       7        0        7        0        0
24414 gnome-desktop-testing              	       7        0        7        0        0
24415 gnome-shell-extension-appindicator 	       7        0        0        0        7
24416 gnome-shell-extension-hide-activities	       7        0        0        0        7
24417 gnuminishogi                       	       7        0        7        0        0
24418 gnupg-doc                          	       7        0        0        0        7
24419 gnupg1-curl                        	       7        1        6        0        0
24420 golang-golang-x-crypto-dev         	       7        0        0        0        7
24421 google-android-platform-tools-installer	       7        0        7        0        0
24422 google-chrome-beta                 	       7        1        5        0        1
24423 google-perftools                   	       7        0        7        0        0
24424 grafana                            	       7        2        5        0        0
24425 grafx2                             	       7        0        7        0        0
24426 graphmonkey                        	       7        0        7        0        0
24427 grepmail                           	       7        0        6        1        0
24428 gretl-common                       	       7        0        0        0        7
24429 groovy-doc                         	       7        0        0        0        7
24430 gsl-ref-psdoc                      	       7        0        0        0        7
24431 gstreamer1.0-plugins-bad-apps      	       7        0        7        0        0
24432 gtkatlantic                        	       7        0        7        0        0
24433 guile-1.8                          	       7        0        7        0        0
24434 guile-cairo                        	       7        0        0        0        7
24435 gworkspace.app                     	       7        1        6        0        0
24436 hardening-runtime                  	       7        0        6        0        1
24437 heimdall                           	       7        1        6        0        0
24438 hershey-fonts-data                 	       7        0        0        0        7
24439 hexter                             	       7        0        7        0        0
24440 hip-runtime-amd                    	       7        0        3        0        4
24441 hipcc                              	       7        0        7        0        0
24442 hledger                            	       7        0        7        0        0
24443 holotz-castle                      	       7        0        7        0        0
24444 holotz-castle-data                 	       7        0        0        0        7
24445 human-icon-theme                   	       7        0        0        0        7
24446 hunspell-ar                        	       7        0        0        0        7
24447 hunspell-gl                        	       7        0        0        0        7
24448 hunspell-he                        	       7        0        0        0        7
24449 hunspell-kk                        	       7        0        0        0        7
24450 hunspell-lt                        	       7        0        0        0        7
24451 hunspell-sk                        	       7        0        0        0        7
24452 hunspell-sl                        	       7        0        0        0        7
24453 hunspell-sr                        	       7        0        0        0        7
24454 hunspell-uz                        	       7        0        0        0        7
24455 hunspell-vi                        	       7        0        0        0        7
24456 hwb                                	       7        0        0        0        7
24457 hyphen-nl                          	       7        0        0        0        7
24458 iamerican-insane                   	       7        0        7        0        0
24459 iamerican-large                    	       7        0        7        0        0
24460 icatalan                           	       7        0        7        0        0
24461 icecat                             	       7        1        6        0        0
24462 icewm-experimental                 	       7        2        5        0        0
24463 idanish                            	       7        0        7        0        0
24464 idevicerestore                     	       7        0        7        0        0
24465 ifupdown-ng                        	       7        0        7        0        0
24466 imediff                            	       7        0        7        0        0
24467 impose+                            	       7        0        7        0        0
24468 incus                              	       7        1        4        1        1
24469 incus-client                       	       7        1        4        2        0
24470 indent-doc                         	       7        0        0        0        7
24471 inetutils-ping                     	       7        1        6        0        0
24472 influxdb                           	       7        1        6        0        0
24473 instead                            	       7        0        7        0        0
24474 instead-data                       	       7        0        0        0        7
24475 ipsec-tools                        	       7        1        6        0        0
24476 ir.lv2                             	       7        0        7        0        0
24477 iselect                            	       7        0        7        0        0
24478 isenkram                           	       7        3        4        0        0
24479 itop                               	       7        2        5        0        0
24480 jbigkit-bin                        	       7        0        7        0        0
24481 jconvolver                         	       7        0        7        0        0
24482 jconvolver-config-files            	       7        0        0        0        7
24483 jdk-22                             	       7        0        6        1        0
24484 jitsi                              	       7        0        7        0        0
24485 jshon                              	       7        1        6        0        0
24486 julia-doc                          	       7        0        0        0        7
24487 junit5                             	       7        0        0        0        7
24488 kde-telepathy-send-file            	       7        0        7        0        0
24489 kdesvn                             	       7        0        7        0        0
24490 kdiskmark                          	       7        0        7        0        0
24491 kicad-doc-es                       	       7        0        0        0        7
24492 kmplayer                           	       7        0        7        0        0
24493 knot                               	       7        2        5        0        0
24494 knot-resolver                      	       7        2        5        0        0
24495 kodi-audioencoder-flac             	       7        0        0        0        7
24496 kodi-visualization-shadertoy-data  	       7        0        0        0        7
24497 koffice-data-trinity               	       7        0        0        0        7
24498 koffice-libs-trinity               	       7        1        6        0        0
24499 kookbook                           	       7        0        7        0        0
24500 kphotoalbum                        	       7        0        7        0        0
24501 kristall                           	       7        0        7        0        0
24502 kshutdown                          	       7        0        6        1        0
24503 kylin-burner                       	       7        0        6        1        0
24504 lame-doc                           	       7        0        0        0        7
24505 latex2rtf-doc                      	       7        0        0        0        7
24506 lazarus-ide-gtk2-2.0               	       7        0        7        0        0
24507 lcl                                	       7        0        0        0        7
24508 lcl-units                          	       7        0        0        0        7
24509 leiningen                          	       7        0        7        0        0
24510 lft                                	       7        1        6        0        0
24511 lib32asan3                         	       7        0        0        0        7
24512 lib32gcc-6-dev                     	       7        0        0        0        7
24513 libadplug-2.2.1-0v5                	       7        0        0        0        7
24514 libakonadi-kde4                    	       7        1        6        0        0
24515 libakonadi-kmime4                  	       7        1        0        0        6
24516 libakonadiprotocolinternals1       	       7        1        0        0        6
24517 libalgorithm-combinatorics-perl    	       7        0        0        0        7
24518 liballegro5-dev                    	       7        0        7        0        0
24519 libambix-utils                     	       7        0        7        0        0
24520 libamd2.2.0                        	       7        0        0        0        7
24521 libapache2-mod-geoip               	       7        5        2        0        0
24522 libapache2-mod-uwsgi               	       7        2        5        0        0
24523 libapache2-mod-wsgi                	       7        2        5        0        0
24524 libapiguardian-java                	       7        0        0        0        7
24525 libapparmor-dev                    	       7        0        7        0        0
24526 libaqhbci24                        	       7        0        0        0        7
24527 libasan2                           	       7        0        0        0        7
24528 libasan5-armhf-cross               	       7        0        0        0        7
24529 libatasmart-bin                    	       7        1        5        1        0
24530 libautocomplete-java               	       7        0        0        0        7
24531 libavcodec52                       	       7        0        2        0        5
24532 libavdevice53                      	       7        0        0        0        7
24533 libavfilter-extra8                 	       7        0        1        0        6
24534 libavfilter3                       	       7        0        0        0        7
24535 libavformat52                      	       7        0        2        0        5
24536 libavformat54                      	       7        0        0        0        7
24537 libavutil49                        	       7        0        2        0        5
24538 libbcel-java-doc                   	       7        0        0        0        7
24539 libbibutils7                       	       7        0        0        0        7
24540 libblosc2-4                        	       7        0        0        0        7
24541 libboost-atomic1.67-dev            	       7        0        0        0        7
24542 libboost-container1.67.0           	       7        0        0        0        7
24543 libboost-coroutine1.67.0           	       7        0        0        0        7
24544 libboost-mpi1.83-dev               	       7        0        0        0        7
24545 libboost-numpy1.67.0               	       7        0        0        0        7
24546 libboost-program-options1.49.0     	       7        0        0        0        7
24547 libboost-stacktrace1.67.0          	       7        0        0        0        7
24548 libboost-test1.62.0                	       7        0        0        0        7
24549 libboost-timer1.62.0               	       7        0        0        0        7
24550 libboost-type-erasure1.67.0        	       7        0        0        0        7
24551 libboost-wave1.67.0                	       7        0        0        0        7
24552 libbotan-1.10-1                    	       7        0        0        0        7
24553 libbrlapi0.5                       	       7        0        0        0        7
24554 libbullet-dev                      	       7        0        7        0        0
24555 libc++1-11                         	       7        0        6        0        1
24556 libc++abi1-11                      	       7        0        6        0        1
24557 libc6-amd64-x32-cross              	       7        0        0        0        7
24558 libcache-lru-perl                  	       7        0        7        0        0
24559 libcamera0.3                       	       7        0        3        0        4
24560 libcdt4                            	       7        0        0        0        7
24561 libcelt0-0                         	       7        0        0        0        7
24562 libcerf1                           	       7        0        0        0        7
24563 libcharls1                         	       7        0        0        0        7
24564 libchicken-dev                     	       7        0        7        0        0
24565 libchm-bin                         	       7        0        7        0        0
24566 libclalsadrv-dev                   	       7        0        7        0        0
24567 libclang-common-6.0-dev            	       7        0        7        0        0
24568 libclang-rt-15-dev                 	       7        0        7        0        0
24569 libclass-accessor-grouped-perl     	       7        1        6        0        0
24570 libclass-accessor-lite-perl        	       7        0        7        0        0
24571 libclass-c3-componentised-perl     	       7        1        6        0        0
24572 libclass-makemethods-perl          	       7        1        6        0        0
24573 libclipboard-perl                  	       7        0        7        0        0
24574 libcogl-dev                        	       7        0        7        0        0
24575 libcogl-path-dev                   	       7        0        0        0        7
24576 libcoin-dev                        	       7        0        7        0        0
24577 libcoin80v5                        	       7        0        0        0        7
24578 libcolamd2.7.1                     	       7        0        0        0        7
24579 libcommons-collections3-java-doc   	       7        0        0        0        7
24580 libcommons-fileupload-java         	       7        0        0        0        7
24581 libcompress-lz4-perl               	       7        0        0        0        7
24582 libcompress-snappy-perl            	       7        0        0        0        7
24583 libconfuse1                        	       7        0        0        0        7
24584 libcoverart1                       	       7        0        0        0        7
24585 libcoverartcc1v5                   	       7        0        0        0        7
24586 libcowsql0                         	       7        1        1        1        4
24587 libcr0                             	       7        0        0        0        7
24588 libcsnd6-6.0v5                     	       7        0        0        0        7
24589 libctemplate3                      	       7        0        0        0        7
24590 libcw6                             	       7        1        2        0        4
24591 libdata-visitor-perl               	       7        1        6        0        0
24592 libdatetime-event-ical-perl        	       7        0        7        0        0
24593 libdatetime-event-recurrence-perl  	       7        0        7        0        0
24594 libdatetime-format-dateparse-perl  	       7        0        7        0        0
24595 libdatetime-format-ical-perl       	       7        0        7        0        0
24596 libdb-java                         	       7        0        0        0        7
24597 libdbd-xbase-perl                  	       7        2        5        0        0
24598 libdbix-class-perl                 	       7        2        5        0        0
24599 libdbix-connector-perl             	       7        0        7        0        0
24600 libdbusmenu-gtk3-dev               	       7        0        7        0        0
24601 libdcmtk-dev                       	       7        0        5        2        0
24602 libdcmtk19                         	       7        0        0        0        7
24603 libdecoration0t64                  	       7        3        0        1        3
24604 libdigest-jhash-perl               	       7        0        0        0        7
24605 libdisplay-info-bin                	       7        0        2        5        0
24606 libdistorm3-3                      	       7        0        0        0        7
24607 libdlm3                            	       7        0        2        0        5
24608 libdockapp-dev                     	       7        0        7        0        0
24609 libdrumstick-alsa1                 	       7        0        0        0        7
24610 libdvbcsa-dev                      	       7        0        7        0        0
24611 libdx4                             	       7        0        7        0        0
24612 libee0                             	       7        0        0        0        7
24613 libegl1-amdgpu-mesa                	       7        1        5        0        1
24614 libegl1-amdgpu-mesa-drivers        	       7        0        0        0        7
24615 libelemental0                      	       7        0        0        0        7
24616 libenchant-dev                     	       7        0        7        0        0
24617 libenet-doc                        	       7        0        0        0        7
24618 libepc-common                      	       7        0        0        0        7
24619 libesd0-dev                        	       7        0        7        0        0
24620 libestools2.1                      	       7        0        0        0        7
24621 libevdi0                           	       7        0        0        0        7
24622 libexiv2-9                         	       7        0        0        0        7
24623 libfile-share-perl                 	       7        0        7        0        0
24624 libfileclasses1                    	       7        0        0        0        7
24625 libflorence-1.0-1                  	       7        0        0        0        7
24626 libfolks-telepathy25               	       7        0        0        0        7
24627 libfparser4                        	       7        0        0        0        7
24628 libfreerdp-client2-2t64            	       7        0        0        0        7
24629 libg2c0d                           	       7        0        0        0        7
24630 libgbinder                         	       7        0        0        0        7
24631 libgbm1-amdgpu                     	       7        1        5        0        1
24632 libgcc-4.7-dev                     	       7        0        0        0        7
24633 libgcc-5-dev                       	       7        0        0        0        7
24634 libgcc-8-dev-arm64-cross           	       7        0        0        0        7
24635 libgdata13                         	       7        0        0        0        7
24636 libgdk-pixbuf2.0-doc               	       7        0        0        0        7
24637 libgdraw5                          	       7        0        0        0        7
24638 libgeos++-dev                      	       7        0        6        1        0
24639 libgepub-0.6-0                     	       7        0        1        0        6
24640 libgetopt-tabular-perl             	       7        0        7        0        0
24641 libghc-attoparsec-prof             	       7        0        0        0        7
24642 libghc-blaze-builder-prof          	       7        0        0        0        7
24643 libghc-blaze-html-prof             	       7        0        0        0        7
24644 libghc-blaze-markup-prof           	       7        0        0        0        7
24645 libghc-call-stack-dev              	       7        0        7        0        0
24646 libghc-integer-logarithms-prof     	       7        0        0        0        7
24647 libghc-network-prof                	       7        0        0        0        7
24648 libghc-resourcet-prof              	       7        0        0        0        7
24649 libghc-split-prof                  	       7        0        0        0        7
24650 libghc-xml-types-prof              	       7        0        0        0        7
24651 libghc-zlib-prof                   	       7        0        0        0        7
24652 libgiza0                           	       7        0        0        0        7
24653 libgles1-nvidia-legacy-340xx       	       7        0        0        0        7
24654 libgles2-nvidia-legacy-340xx       	       7        0        0        0        7
24655 libglibutil                        	       7        0        0        0        7
24656 libglusterfs-dev                   	       7        0        7        0        0
24657 libgmime2.6-cil                    	       7        0        0        0        7
24658 libgmsh4.8                         	       7        0        0        0        7
24659 libgnatprj10                       	       7        0        0        0        7
24660 libgnomecanvas2-dev                	       7        0        7        0        0
24661 libgnomecanvasmm-2.6-1v5           	       7        0        0        0        7
24662 libgnomekbd7                       	       7        0        0        0        7
24663 libgnunetgtk3                      	       7        0        0        0        7
24664 libgnuradio-fft3.8.2               	       7        0        0        0        7
24665 libgnuradio-filter3.8.2            	       7        0        0        0        7
24666 libgo-12-dev                       	       7        0        0        0        7
24667 libgpgmepp-dev                     	       7        0        6        1        0
24668 libgphobos1                        	       7        0        0        0        7
24669 libgranite-7-7                     	       7        1        0        0        6
24670 libgranite-7-common                	       7        0        0        0        7
24671 libgraphics-colornames-perl        	       7        0        7        0        0
24672 libgrilo-0.2-1                     	       7        0        0        0        7
24673 libgrpc++1                         	       7        0        0        0        7
24674 libgs-dev                          	       7        0        6        1        0
24675 libgstreamerd-3-0                  	       7        0        0        0        7
24676 libgtkhtml-4.0-0                   	       7        0        0        0        7
24677 libgtkhtml-editor-4.0-0            	       7        0        0        0        7
24678 libgtkmm-4.0-dev                   	       7        0        7        0        0
24679 libgudev1.0-cil                    	       7        0        0        0        7
24680 libguichan-opengl-0.8.1-1v5        	       7        0        0        0        7
24681 libgwengui-gtk3-79t64              	       7        0        0        0        7
24682 libgwengui-qt5-79                  	       7        0        0        0        7
24683 libgzstream0                       	       7        0        0        0        7
24684 libharminv3                        	       7        0        0        0        7
24685 libhashkit-dev                     	       7        0        7        0        0
24686 libhpdf-dev                        	       7        0        7        0        0
24687 libhtmlparser-java                 	       7        0        0        0        7
24688 libhts3                            	       7        0        0        0        7
24689 libhtsjdk-java                     	       7        0        0        0        7
24690 libicu4j-4.2-java                  	       7        0        0        0        7
24691 libio-pty-easy-perl                	       7        0        7        0        0
24692 libipset11                         	       7        0        0        0        7
24693 libiscsi1                          	       7        0        0        0        7
24694 libjackson2-dataformat-yaml        	       7        0        0        0        7
24695 libjakarta-servlet-api-java        	       7        0        0        0        7
24696 libjaylink-dev                     	       7        0        5        2        0
24697 libjcommander-java-doc             	       7        0        0        0        7
24698 libjdom1-java-doc                  	       7        0        0        0        7
24699 libjnr-enxio-java                  	       7        0        0        0        7
24700 libjnr-unixsocket-java             	       7        0        0        0        7
24701 libjpeg9                           	       7        0        0        0        7
24702 libjs-raphael                      	       7        0        0        0        7
24703 libjson-any-perl                   	       7        0        7        0        0
24704 libjson-c4                         	       7        0        1        0        6
24705 libjson-webtoken-perl              	       7        0        7        0        0
24706 libjwt-gnutls0                     	       7        0        2        0        5
24707 libjxl-tools                       	       7        1        4        2        0
24708 libkactivities-models1             	       7        0        0        0        7
24709 libkcalcore4                       	       7        1        0        0        6
24710 libkcalutils4                      	       7        1        0        0        6
24711 libkdecorations3private2           	       7        5        0        0        2
24712 libkdsingleapplication-qt6-1.0     	       7        0        1        0        6
24713 libkeduvocdocument5abi2            	       7        0        0        0        7
24714 libkf5akonadiserver-dev            	       7        0        7        0        0
24715 libkf5dnssd-dev                    	       7        0        7        0        0
24716 libkf5emoticons-doc                	       7        0        0        0        7
24717 libkf5kdelibs4support-dev          	       7        0        6        1        0
24718 libkf5texteditor-dev               	       7        0        7        0        0
24719 libkf5threadweaver-doc             	       7        0        0        0        7
24720 libkf5torrent6                     	       7        0        0        0        7
24721 libkf5wallet-doc                   	       7        0        0        0        7
24722 libkholidays4                      	       7        1        6        0        0
24723 libkmod-dev                        	       7        0        7        0        0
24724 libkpathsea5                       	       7        0        0        0        7
24725 libksba-dev                        	       7        0        7        0        0
24726 libkutils4                         	       7        0        0        0        7
24727 libleveldb1v5                      	       7        0        0        0        7
24728 liblightdm-qt5-3-0                 	       7        0        0        0        7
24729 libllvm12                          	       7        0        2        0        5
24730 liblog-dispatch-filerotate-perl    	       7        0        7        0        0
24731 liblog4j1.2-java-doc               	       7        0        0        0        7
24732 libmagick++5                       	       7        0        0        0        7
24733 libmagickcore-6.q16hdri-6          	       7        0        0        0        7
24734 libmagickwand-6.q16hdri-6          	       7        0        0        0        7
24735 libmailtransport4                  	       7        1        6        0        0
24736 libmaloc1                          	       7        0        0        0        7
24737 libmath-bigint-gmp-perl            	       7        0        0        0        7
24738 libmatio-dev                       	       7        0        7        0        0
24739 libmaven-plugin-tools-java         	       7        0        0        0        7
24740 libmemcached-dev                   	       7        0        7        0        0
24741 libmicroblog4                      	       7        0        0        0        7
24742 libmini18n1                        	       7        0        0        0        7
24743 libminini1                         	       7        0        0        0        7
24744 libmjson-java                      	       7        0        0        0        7
24745 libmlt++-7-7                       	       7        0        0        0        7
24746 libmlt-7-7                         	       7        0        0        0        7
24747 libmlt7-data                       	       7        0        0        0        7
24748 libmodbus-dev                      	       7        0        7        0        0
24749 libmodern-perl-perl                	       7        0        7        0        0
24750 libmodule-manifest-skip-perl       	       7        0        7        0        0
24751 libmoosex-has-sugar-perl           	       7        0        7        0        0
24752 libmoosex-nonmoose-perl            	       7        1        6        0        0
24753 libmoosex-semiaffordanceaccessor-perl	       7        0        7        0        0
24754 libmoox-types-mooselike-numeric-perl	       7        0        7        0        0
24755 libmp3splt0                        	       7        0        0        0        7
24756 libmpris-qt5-1                     	       7        0        0        0        7
24757 libmpx0                            	       7        0        0        0        7
24758 libmygui.ogreplatform0debian1v5    	       7        0        0        0        7
24759 libnetfilter-queue-dev             	       7        0        6        1        0
24760 libnfc-bin                         	       7        0        7        0        0
24761 libnftnl-dev                       	       7        0        7        0        0
24762 libnghttp3-3                       	       7        0        0        0        7
24763 libnginx-mod-http-ndk              	       7        2        5        0        0
24764 libngs-java                        	       7        0        0        0        7
24765 libnng1                            	       7        1        0        0        6
24766 libnotify-doc                      	       7        0        0        0        7
24767 libnotify1                         	       7        0        0        0        7
24768 libnova-dev                        	       7        0        7        0        0
24769 libnova-dev-bin                    	       7        0        7        0        0
24770 libntfs10                          	       7        0        0        0        7
24771 libnvidia-egl-wayland-dev          	       7        0        0        0        7
24772 libnvidia-fatbinaryloader          	       7        0        0        0        7
24773 liboath0t64                        	       7        0        0        0        7
24774 libobject-event-perl               	       7        0        7        0        0
24775 libofx6                            	       7        0        0        0        7
24776 libopencolorio-dev                 	       7        0        7        0        0
24777 libopencv-videoio410               	       7        0        0        0        7
24778 libopencv-videostab406t64          	       7        0        0        0        7
24779 libopentest4j-java                 	       7        0        0        0        7
24780 libopentest4j-reporting-java       	       7        0        0        0        7
24781 libosgi-annotation-java-doc        	       7        0        0        0        7
24782 libosgi-compendium-java-doc        	       7        0        0        0        7
24783 libosgi-core-java-doc              	       7        0        0        0        7
24784 libpackage-variant-perl            	       7        1        6        0        0
24785 libpalm-pdb-perl                   	       7        0        7        0        0
24786 libpalm-perl                       	       7        0        7        0        0
24787 libpar-dist-perl                   	       7        0        7        0        0
24788 libpcp-import1                     	       7        0        0        0        7
24789 libpcp-pmda3                       	       7        1        1        0        5
24790 libpcp3                            	       7        1        1        0        5
24791 libperl5.38                        	       7        0        7        0        0
24792 libperlx-maybe-perl                	       7        0        7        0        0
24793 libpgplot0                         	       7        0        0        0        7
24794 libpgtcl                           	       7        0        0        0        7
24795 libpinyin-data                     	       7        0        1        0        6
24796 libpocozip80                       	       7        0        0        0        7
24797 libpodofo-dev                      	       7        2        5        0        0
24798 libpoe-loop-tk-perl                	       7        0        7        0        0
24799 libpopplerkit0                     	       7        0        7        0        0
24800 libpostgis-java                    	       7        0        0        0        7
24801 libprintsys                        	       7        1        6        0        0
24802 libprison0                         	       7        1        0        0        6
24803 libpt2.10.11                       	       7        0        7        0        0
24804 libpython2.6                       	       7        0        7        0        0
24805 libpython3.8-stdlib                	       7        0        7        0        0
24806 libpython3.9-dbg                   	       7        1        6        0        0
24807 libqaccessibilityclient0           	       7        0        0        0        7
24808 libqrcodegencpp-dev                	       7        0        0        0        7
24809 libqt3-mt                          	       7        0        7        0        0
24810 libqt5datavisualization5-dev       	       7        0        7        0        0
24811 libqt5feedback5                    	       7        0        1        0        6
24812 libqt5pdfwidgets5                  	       7        0        0        0        7
24813 libqt5publishsubscribe5            	       7        0        0        0        7
24814 libqt6hunspellinputmethod6         	       7        0        0        0        7
24815 libqwt6abi1                        	       7        0        0        0        7
24816 libradosstriper1                   	       7        0        0        0        7
24817 libraft0                           	       7        2        1        1        3
24818 libraw-bin                         	       7        0        7        0        0
24819 libregexp-common-email-address-perl	       7        1        6        0        0
24820 libregina3                         	       7        0        0        0        7
24821 libreoffice-help-fi                	       7        0        0        0        7
24822 libreoffice-help-ko                	       7        0        0        0        7
24823 librest-extras-0.7-0               	       7        0        0        0        7
24824 libretro-snes9x                    	       7        0        0        0        7
24825 librevenge-dev                     	       7        0        7        0        0
24826 librte-eal23                       	       7        0        0        0        7
24827 librte-ethdev23                    	       7        0        0        0        7
24828 librte-kvargs23                    	       7        0        0        0        7
24829 librte-mbuf23                      	       7        0        0        0        7
24830 librte-mempool23                   	       7        0        0        0        7
24831 librte-meter23                     	       7        0        0        0        7
24832 librte-net23                       	       7        0        0        0        7
24833 librte-ring23                      	       7        0        0        0        7
24834 librte-telemetry23                 	       7        0        0        0        7
24835 librust-bytes-dev                  	       7        0        0        0        7
24836 librust-glib-sys-dev               	       7        0        7        0        0
24837 librust-glob-dev                   	       7        0        0        0        7
24838 librust-gobject-sys-dev            	       7        0        7        0        0
24839 librust-itoa-dev                   	       7        0        0        0        7
24840 librust-mio-dev                    	       7        0        0        0        7
24841 librust-parking-lot-dev            	       7        0        0        0        7
24842 librust-signal-hook-registry-dev   	       7        0        0        0        7
24843 librust-socket2-dev                	       7        0        0        0        7
24844 librust-tokio-dev                  	       7        0        0        0        7
24845 librust-tokio-macros-dev           	       7        0        0        0        7
24846 librust-tracing-attributes-dev     	       7        0        0        0        7
24847 librust-tracing-core-dev           	       7        0        0        0        7
24848 librust-tracing-dev                	       7        0        0        0        7
24849 librust-valuable-derive-dev        	       7        0        0        0        7
24850 librust-valuable-dev               	       7        0        0        0        7
24851 libs6-2.11                         	       7        0        0        0        7
24852 libsaga-api8                       	       7        0        0        0        7
24853 libsaga-gdi8                       	       7        0        0        0        7
24854 libsdl-pango-dev                   	       7        0        7        0        0
24855 libsdl1.2-compat                   	       7        0        0        0        7
24856 libservlet-api-java-doc            	       7        0        0        0        7
24857 libskarnet2.13                     	       7        0        3        0        4
24858 libsm-doc                          	       7        0        0        0        7
24859 libsocialweb0                      	       7        0        0        0        7
24860 libsofthsm2                        	       7        0        7        0        0
24861 libspatialindex7                   	       7        0        0        0        7
24862 libspelling-1-2                    	       7        0        0        0        7
24863 libspelling-common                 	       7        0        0        0        7
24864 libspring-jdbc-java                	       7        0        0        0        7
24865 libspring-transaction-java         	       7        0        0        0        7
24866 libsql-translator-perl             	       7        2        5        0        0
24867 libsrt-gnutls-dev                  	       7        0        6        1        0
24868 libssh-gcrypt-dev                  	       7        0        7        0        0
24869 libstdc++-10-doc                   	       7        0        0        0        7
24870 libstring-formatter-perl           	       7        0        7        0        0
24871 libsvtav1enc0                      	       7        0        1        0        6
24872 libsvtav1enc3                      	       7        4        0        0        3
24873 libswami1                          	       7        0        0        0        7
24874 libswamigui1                       	       7        0        0        0        7
24875 libswing-layout-java-doc           	       7        0        0        0        7
24876 libswscale0                        	       7        0        3        0        4
24877 libswt-gtk-3-jni                   	       7        0        7        0        0
24878 libsys-sigaction-perl              	       7        2        5        0        0
24879 libt4k-common0t64                  	       7        0        0        0        7
24880 libtasn1-3-bin                     	       7        0        0        0        7
24881 libtatsu0                          	       7        0        0        0        7
24882 libtelepathy-qt5-farstream0        	       7        0        0        0        7
24883 libtest-most-perl                  	       7        0        7        0        0
24884 libtext-bidi-perl                  	       7        0        0        0        7
24885 libtext-tabulardisplay-perl        	       7        1        6        0        0
24886 libtgl-0.0.0.20160623-0            	       7        0        0        0        7
24887 libthreadar1000                    	       7        0        0        0        7
24888 libtie-toobject-perl               	       7        1        6        0        0
24889 libtiled1                          	       7        0        0        0        7
24890 libtls26                           	       7        0        0        0        7
24891 libtokyotyrant3                    	       7        0        1        0        6
24892 libtomlplusplus3t64                	       7        1        1        0        5
24893 libtss2-fapi1                      	       7        0        7        0        0
24894 libudisks2-qt5-0                   	       7        0        0        0        7
24895 libumfpack5.4.0                    	       7        0        0        0        7
24896 libunicap2                         	       7        0        1        0        6
24897 libunivocity-parsers-java          	       7        0        0        0        7
24898 libuptimed0                        	       7        0        0        0        7
24899 liburing-dev                       	       7        0        7        0        0
24900 libusbredirparser-dev              	       7        0        7        0        0
24901 libuser                            	       7        0        7        0        0
24902 libvips-tools                      	       7        0        7        0        0
24903 libvmaf-dev                        	       7        0        7        0        0
24904 libvolume-id0                      	       7        0        0        0        7
24905 libvpl-dev                         	       7        0        7        0        0
24906 libvterm-dev                       	       7        0        7        0        0
24907 libvtk7.1                          	       7        0        0        0        7
24908 libwagon-ftp-java                  	       7        0        0        0        7
24909 libwayland-amdgpu-client0          	       7        1        5        0        1
24910 libwayland-amdgpu-server0          	       7        1        5        0        1
24911 libwebkit2gtk-4.0-37-gtk2          	       7        0        0        0        7
24912 libwebkit2gtk-4.0-doc              	       7        0        0        0        7
24913 libwebsockets-evlib-ev             	       7        0        0        0        7
24914 libwebsockets-evlib-glib           	       7        0        0        0        7
24915 libwebsockets-evlib-uv             	       7        0        0        0        7
24916 libwf-config1                      	       7        0        0        0        7
24917 libwnck-dev                        	       7        0        7        0        0
24918 libwxgtk-webview3.0-gtk3-dev       	       7        0        0        0        7
24919 libwxsvg-tools                     	       7        0        7        0        0
24920 libx264-132                        	       7        0        0        0        7
24921 libx264-150                        	       7        0        0        0        7
24922 libx265-116                        	       7        0        0        0        7
24923 libx265-87                         	       7        0        0        0        7
24924 libxbean-java                      	       7        0        0        0        7
24925 libxfce4ui-1-dev                   	       7        0        7        0        0
24926 libxml-commonns-perl               	       7        0        7        0        0
24927 libxml-filter-buffertext-perl      	       7        0        7        0        0
24928 libxml-namespacefactory-perl       	       7        0        7        0        0
24929 libxml-sax-writer-perl             	       7        0        7        0        0
24930 libxml-security-c17v5              	       7        0        0        0        7
24931 libxmlada-dom8                     	       7        0        0        0        7
24932 libxmlbird1                        	       7        0        0        0        7
24933 libxmltok1                         	       7        0        0        0        7
24934 libyang2                           	       7        3        2        0        2
24935 libyder2.0                         	       7        0        0        0        7
24936 libytnef0-dev                      	       7        0        7        0        0
24937 libzipios++-dev                    	       7        0        7        0        0
24938 libzlui-qt4                        	       7        0        7        0        0
24939 libzpool4linux                     	       7        0        0        0        7
24940 libzulucrypt-plugins               	       7        0        0        0        7
24941 lincity                            	       7        0        7        0        0
24942 linux-headers-3.16.0-5-amd64       	       7        0        7        0        0
24943 linux-headers-4.19.0-18-amd64      	       7        0        7        0        0
24944 linux-headers-4.19.0-19-common     	       7        0        7        0        0
24945 linux-headers-4.19.0-22-amd64      	       7        0        7        0        0
24946 linux-headers-4.9.0-13-686-pae     	       7        0        7        0        0
24947 linux-headers-4.9.0-15-amd64       	       7        0        6        0        1
24948 linux-headers-4.9.0-15-common      	       7        0        6        0        1
24949 linux-headers-4.9.0-17-amd64       	       7        0        7        0        0
24950 linux-headers-4.9.0-17-common      	       7        0        7        0        0
24951 linux-headers-4.9.0-18-amd64       	       7        0        7        0        0
24952 linux-headers-4.9.0-18-common      	       7        0        7        0        0
24953 linux-headers-5.10.0-17-amd64      	       7        0        7        0        0
24954 linux-headers-6.1.0-7-amd64        	       7        0        7        0        0
24955 linux-headers-6.1.0-9-amd64        	       7        0        7        0        0
24956 linux-headers-6.11.5+bpo-amd64     	       7        0        7        0        0
24957 linux-headers-6.9.7+bpo-amd64      	       7        1        6        0        0
24958 linux-headers-6.9.7+bpo-common     	       7        1        6        0        0
24959 linux-image-3.16.0-7-amd64         	       7        0        7        0        0
24960 linux-image-4.19.0-26-686          	       7        0        7        0        0
24961 linux-image-4.19.0-27-686-pae      	       7        0        7        0        0
24962 linux-image-4.9.0-11-686-pae       	       7        0        6        1        0
24963 linux-image-4.9.0-13-686-pae       	       7        0        7        0        0
24964 linux-image-4.9.227-vs2.3.9.12-beng	       7        2        5        0        0
24965 linux-image-5.10.0-26-686          	       7        0        7        0        0
24966 linux-image-6.1.0-0.deb11.13-amd64 	       7        1        6        0        0
24967 linux-image-6.1.0-21-686           	       7        0        7        0        0
24968 linux-image-6.1.0-32-686           	       7        0        0        7        0
24969 linux-image-6.10.4-amd64           	       7        0        7        0        0
24970 linux-image-6.12.0                 	       7        0        6        0        1
24971 linux-image-6.12.19-amd64          	       7        2        0        5        0
24972 linux-image-6.7.7-amd64            	       7        0        7        0        0
24973 linux-image-6.9.10-amd64           	       7        1        6        0        0
24974 linux-image-6.9.8-amd64            	       7        0        7        0        0
24975 linux-kbuild-6.0                   	       7        0        0        0        7
24976 linux-kbuild-6.9.7+bpo             	       7        0        0        0        7
24977 linuxdoc-tools-info                	       7        0        0        0        7
24978 live-usb-maker                     	       7        1        1        5        0
24979 lld-11                             	       7        0        6        0        1
24980 lld-16                             	       7        0        7        0        0
24981 logtop                             	       7        0        7        0        0
24982 lollypop                           	       7        0        6        1        0
24983 lprng-doc                          	       7        0        0        0        7
24984 lsyncd                             	       7        1        6        0        0
24985 lttoolbox                          	       7        0        7        0        0
24986 lua-compat53                       	       7        0        0        0        7
24987 lua-lpeg-patterns                  	       7        0        0        0        7
24988 lua-sql-sqlite3                    	       7        0        0        0        7
24989 lugaru-data                        	       7        0        0        0        7
24990 lv2vocoder                         	       7        0        7        0        0
24991 lxqt-build-tools                   	       7        0        7        0        0
24992 lzd                                	       7        1        5        1        0
24993 macutils                           	       7        1        6        0        0
24994 magic                              	       7        0        7        0        0
24995 mailx                              	       7        0        0        0        7
24996 mairix                             	       7        2        5        0        0
24997 mariadb-client-compat              	       7        2        5        0        0
24998 mathomatic                         	       7        2        5        0        0
24999 mbpfan                             	       7        1        6        0        0
25000 mbrola-en1                         	       7        0        0        0        7
25001 mbrola-us2                         	       7        0        0        0        7
25002 mbrola-us3                         	       7        0        0        0        7
25003 mdm                                	       7        0        6        1        0
25004 melt7                              	       7        0        6        1        0
25005 metar                              	       7        2        5        0        0
25006 metasploit-framework               	       7        0        7        0        0
25007 mgba-common                        	       7        0        0        0        7
25008 microcode.ctl                      	       7        0        0        0        7
25009 minetestmapper                     	       7        0        7        0        0
25010 minidisc-utils                     	       7        1        5        1        0
25011 mint-themes                        	       7        0        0        0        7
25012 mit-scheme                         	       7        0        7        0        0
25013 mkdocs-doc                         	       7        0        0        0        7
25014 mkdocs-nature                      	       7        0        0        0        7
25015 mkgmapgui                          	       7        0        6        1        0
25016 mktrayicon                         	       7        1        6        0        0
25017 mnemosyne                          	       7        0        7        0        0
25018 mp4h                               	       7        0        6        1        0
25019 mrtg                               	       7        3        4        0        0
25020 mrxvt                              	       7        0        7        0        0
25021 mrxvt-common                       	       7        0        0        0        7
25022 msodbcsql17                        	       7        1        6        0        0
25023 munin-libvirt-plugins              	       7        3        4        0        0
25024 musescore-general-soundfont-lossless	       7        0        0        0        7
25025 mx-live-usb-maker                  	       7        1        1        5        0
25026 mx-locale                          	       7        1        1        5        0
25027 mx-user                            	       7        2        1        4        0
25028 myspell-de-de-1901                 	       7        0        7        0        0
25029 myspell-ga                         	       7        0        0        0        7
25030 mysql-apt-config                   	       7        0        0        0        7
25031 mythes-uk                          	       7        0        0        0        7
25032 nagios-plugins                     	       7        0        0        0        7
25033 nautilus-dropbox                   	       7        0        7        0        0
25034 nemiver                            	       7        1        6        0        0
25035 netatalk                           	       7        2        5        0        0
25036 nethack-spoilers                   	       7        0        0        0        7
25037 netmask                            	       7        1        6        0        0
25038 nettle-bin                         	       7        0        7        0        0
25039 netwox-doc                         	       7        0        0        0        7
25040 newsbeuter                         	       7        0        7        0        0
25041 nfoview                            	       7        1        6        0        0
25042 nginx-doc                          	       7        0        0        0        7
25043 nickle                             	       7        0        7        0        0
25044 nicstat                            	       7        1        6        0        0
25045 nitrokey-app                       	       7        1        6        0        0
25046 node-domelementtype                	       7        0        7        0        0
25047 node-domhandler                    	       7        0        7        0        0
25048 node-merge-descriptors             	       7        0        2        0        5
25049 node-requirejs                     	       7        0        7        0        0
25050 node-turbolinks                    	       7        0        0        0        7
25051 ntfs-3g-dev                        	       7        0        7        0        0
25052 nuget                              	       7        0        7        0        0
25053 nvidia-kernel-open-dkms            	       7        0        5        1        1
25054 nvidia-libopencl1                  	       7        1        1        0        5
25055 nvramtool                          	       7        1        6        0        0
25056 obexpushd                          	       7        0        7        0        0
25057 obs-scene-collection-manager       	       7        0        0        0        7
25058 obs-transition-table               	       7        0        0        0        7
25059 octave-signal                      	       7        0        0        0        7
25060 ogamesim                           	       7        0        7        0        0
25061 onionshare-cli                     	       7        0        6        1        0
25062 openal-info                        	       7        0        7        0        0
25063 openhantek                         	       7        0        7        0        0
25064 openjdk-17-demo                    	       7        0        0        0        7
25065 openmpi-doc                        	       7        0        0        0        7
25066 openmsx-catapult                   	       7        0        7        0        0
25067 openmw-cs                          	       7        0        7        0        0
25068 openoffice-brand-en-us             	       7        0        0        0        7
25069 openvpn-dco-dkms                   	       7        0        7        0        0
25070 orbit2                             	       7        0        7        0        0
25071 osc                                	       7        0        6        1        0
25072 osmo-sdr                           	       7        1        6        0        0
25073 otpclient-cli                      	       7        1        6        0        0
25074 pageedit                           	       7        0        6        1        0
25075 pamtester                          	       7        1        6        0        0
25076 partimage-doc                      	       7        0        0        0        7
25077 passwin                            	       7        0        0        0        7
25078 pax-britannica                     	       7        0        7        0        0
25079 pax-britannica-data                	       7        0        0        0        7
25080 pcp-conf                           	       7        0        6        0        1
25081 pcre2-utils                        	       7        1        5        1        0
25082 pd-cyclone                         	       7        0        7        0        0
25083 pd-ggee                            	       7        0        7        0        0
25084 pd-iemnet                          	       7        0        7        0        0
25085 pd-list-abs                        	       7        0        7        0        0
25086 pd-pddp                            	       7        0        7        0        0
25087 pd-zexy                            	       7        0        7        0        0
25088 pdl                                	       7        2        5        0        0
25089 pdns-server                        	       7        2        5        0        0
25090 pelican                            	       7        0        7        0        0
25091 photocollage                       	       7        0        7        0        0
25092 php-date                           	       7        2        5        0        0
25093 php-guzzlehttp-guzzle              	       7        2        5        0        0
25094 php-guzzlehttp-promises            	       7        2        5        0        0
25095 php-guzzlehttp-psr7                	       7        2        5        0        0
25096 php-net-url                        	       7        2        5        0        0
25097 php-psr-http-client                	       7        2        5        0        0
25098 php-ssh2                           	       7        2        1        0        4
25099 php5-sqlite                        	       7        0        7        0        0
25100 php5.6-mysql                       	       7        4        3        0        0
25101 php5.6-xml                         	       7        4        3        0        0
25102 php7.0-xmlrpc                      	       7        1        6        0        0
25103 php7.3-apcu                        	       7        2        5        0        0
25104 php7.3-gmp                         	       7        4        3        0        0
25105 php7.3-xmlrpc                      	       7        3        4        0        0
25106 php7.4-dev                         	       7        1        6        0        0
25107 php8.0-apcu                        	       7        3        4        0        0
25108 php8.0-bz2                         	       7        2        5        0        0
25109 php8.0-imap                        	       7        1        6        0        0
25110 php8.0-tidy                        	       7        2        5        0        0
25111 php8.0-xsl                         	       7        0        0        0        7
25112 php8.1-bcmath                      	       7        1        6        0        0
25113 php8.1-fpm                         	       7        1        6        0        0
25114 php8.1-gmp                         	       7        1        6        0        0
25115 php8.1-soap                        	       7        0        7        0        0
25116 php8.1-sqlite3                     	       7        1        6        0        0
25117 php8.3-bcmath                      	       7        3        4        0        0
25118 php8.3-bz2                         	       7        4        3        0        0
25119 php8.4-curl                        	       7        4        2        1        0
25120 php8.4-imagick                     	       7        3        4        0        0
25121 php8.4-mbstring                    	       7        5        1        1        0
25122 php8.4-mysql                       	       7        5        1        1        0
25123 pidentd                            	       7        2        5        0        0
25124 pidgin-skype-common                	       7        2        5        0        0
25125 pike8.0-image                      	       7        0        7        0        0
25126 pipewire-module-xrdp               	       7        0        0        0        7
25127 plasma-desktop-dev                 	       7        0        0        0        7
25128 plasma-sdk                         	       7        1        6        0        0
25129 pluma-doc                          	       7        0        0        0        7
25130 pmccabe                            	       7        0        7        0        0
25131 polyphone                          	       7        0        7        0        0
25132 pong2                              	       7        0        7        0        0
25133 postgresql-13-postgis-3            	       7        0        7        0        0
25134 postgresql-13-postgis-3-scripts    	       7        0        0        0        7
25135 postgresql-doc-11                  	       7        0        0        0        7
25136 ppp-dev                            	       7        0        6        1        0
25137 price.app                          	       7        1        6        0        0
25138 printrun                           	       7        0        1        0        6
25139 protonmail-bridge                  	       7        3        3        1        0
25140 psgml                              	       7        1        6        0        0
25141 pulseaudio-dlna                    	       7        0        7        0        0
25142 pulseaudio-module-raop             	       7        0        7        0        0
25143 pyside-tools                       	       7        0        7        0        0
25144 pyside2-tools                      	       7        0        7        0        0
25145 python-blinker-doc                 	       7        0        0        0        7
25146 python-cairocffi-doc               	       7        0        0        0        7
25147 python-certbot-nginx               	       7        0        1        0        6
25148 python-collada                     	       7        0        7        0        0
25149 python-gdal                        	       7        0        7        0        0
25150 python-gtkglext1                   	       7        0        7        0        0
25151 python-ipy                         	       7        0        7        0        0
25152 python-ipython-genutils            	       7        0        7        0        0
25153 python-lzo                         	       7        0        7        0        0
25154 python-markdown-doc                	       7        0        0        0        7
25155 python-matplotlib-doc              	       7        0        0        0        7
25156 python-openpyxl                    	       7        1        5        0        1
25157 python-parsedatetime               	       7        0        7        0        0
25158 python-pivy                        	       7        0        7        0        0
25159 python-pylibacl                    	       7        0        7        0        0
25160 python-pyopencl-doc                	       7        0        6        0        1
25161 python-pyparsing-doc               	       7        0        5        0        2
25162 python-sqlite                      	       7        1        6        0        0
25163 python-subversion                  	       7        0        7        0        0
25164 python-urlgrabber                  	       7        0        7        0        0
25165 python2.5                          	       7        0        7        0        0
25166 python2.7-dbg                      	       7        0        7        0        0
25167 python3-anyjson                    	       7        0        7        0        0
25168 python3-args                       	       7        0        7        0        0
25169 python3-asciitree                  	       7        0        7        0        0
25170 python3-av                         	       7        0        5        2        0
25171 python3-azure-storage              	       7        0        7        0        0
25172 python3-beaker                     	       7        0        7        0        0
25173 python3-bleak                      	       7        1        6        0        0
25174 python3-brial                      	       7        0        7        0        0
25175 python3-cdio                       	       7        0        6        1        0
25176 python3-ceph-argparse              	       7        0        7        0        0
25177 python3-cephfs                     	       7        0        7        0        0
25178 python3-cfgv                       	       7        0        6        1        0
25179 python3-click-threading            	       7        0        7        0        0
25180 python3-clint                      	       7        0        7        0        0
25181 python3-compose                    	       7        0        7        0        0
25182 python3-dbus-fast                  	       7        1        5        1        0
25183 python3-debmutate                  	       7        0        6        1        0
25184 python3-ecasound                   	       7        0        7        0        0
25185 python3-enet                       	       7        0        7        0        0
25186 python3-fabric                     	       7        0        7        0        0
25187 python3-feedgenerator              	       7        0        7        0        0
25188 python3-fiona                      	       7        0        7        0        0
25189 python3-gbinder                    	       7        1        6        0        0
25190 python3-geojson                    	       7        0        7        0        0
25191 python3-geopy                      	       7        0        7        0        0
25192 python3-gevent-websocket           	       7        0        7        0        0
25193 python3-git-big-picture            	       7        0        7        0        0
25194 python3-imdbpy                     	       7        0        7        0        0
25195 python3-intelhex                   	       7        0        7        0        0
25196 python3-jsmin                      	       7        0        7        0        0
25197 python3-kivy                       	       7        2        5        0        0
25198 python3-matrix-nio                 	       7        0        7        0        0
25199 python3-mimeparse                  	       7        1        6        0        0
25200 python3-notmuch2                   	       7        0        7        0        0
25201 python3-numcodecs                  	       7        0        6        1        0
25202 python3-olm                        	       7        0        7        0        0
25203 python3-pipdeptree                 	       7        0        7        0        0
25204 python3-pyaxmlparser               	       7        0        6        1        0
25205 python3-pyclamd                    	       7        2        4        1        0
25206 python3-pydicom                    	       7        0        7        0        0
25207 python3-pynvml                     	       7        0        6        1        0
25208 python3-pyosmium                   	       7        0        6        1        0
25209 python3-pyte                       	       7        0        7        0        0
25210 python3-pytzdata                   	       7        0        6        1        0
25211 python3-rados                      	       7        0        7        0        0
25212 python3-rasterio                   	       7        0        7        0        0
25213 python3-rply                       	       7        0        7        0        0
25214 python3-sagetex                    	       7        0        7        0        0
25215 python3-snuggs                     	       7        0        7        0        0
25216 python3-sortedcollections          	       7        0        7        0        0
25217 python3-sshtunnel                  	       7        0        7        0        0
25218 python3-testresources              	       7        0        7        0        0
25219 python3-tk-dbg                     	       7        0        7        0        0
25220 python3-voluptuous                 	       7        0        7        0        0
25221 python3-webtest                    	       7        0        7        0        0
25222 python3-yapps                      	       7        0        7        0        0
25223 python3-zarr                       	       7        0        7        0        0
25224 python3.7-doc                      	       7        0        0        0        7
25225 qdmr                               	       7        1        6        0        0
25226 qgnomeplatform-qt5                 	       7        0        0        0        7
25227 qlcplus                            	       7        1        6        0        0
25228 qlcplus-data                       	       7        0        0        0        7
25229 qmail                              	       7        2        5        0        0
25230 qmidiarp                           	       7        1        6        0        0
25231 qml6-module-qtwayland-compositor   	       7        0        0        0        7
25232 qt5-flatpak-platformtheme          	       7        0        0        0        7
25233 qt6-qmllint-plugins                	       7        0        0        0        7
25234 qt6-wayland-dev                    	       7        0        6        1        0
25235 qtdeclarative5-private-dev         	       7        0        7        0        0
25236 qttools5-private-dev               	       7        0        7        0        0
25237 qtwayland5-private-dev             	       7        0        7        0        0
25238 qtxdg-tools                        	       7        1        6        0        0
25239 quicktime-utils                    	       7        1        6        0        0
25240 quisk                              	       7        0        7        0        0
25241 qupzilla                           	       7        0        6        0        1
25242 r-cran-afex                        	       7        1        6        0        0
25243 r-cran-bbmle                       	       7        1        6        0        0
25244 r-cran-beeswarm                    	       7        1        6        0        0
25245 r-cran-clue                        	       7        1        6        0        0
25246 r-cran-crul                        	       7        1        6        0        0
25247 r-cran-diagram                     	       7        1        6        0        0
25248 r-cran-dichromat                   	       7        1        6        0        0
25249 r-cran-effects                     	       7        1        6        0        0
25250 r-cran-forecast                    	       7        2        5        0        0
25251 r-cran-fracdiff                    	       7        2        5        0        0
25252 r-cran-futile.logger               	       7        1        6        0        0
25253 r-cran-futile.options              	       7        1        6        0        0
25254 r-cran-gam                         	       7        1        6        0        0
25255 r-cran-gee                         	       7        1        6        0        0
25256 r-cran-ggally                      	       7        2        5        0        0
25257 r-cran-ggrepel                     	       7        1        6        0        0
25258 r-cran-git2r                       	       7        1        6        0        0
25259 r-cran-gridgraphics                	       7        2        5        0        0
25260 r-cran-httpcode                    	       7        1        6        0        0
25261 r-cran-insight                     	       7        0        6        1        0
25262 r-cran-lambda.r                    	       7        1        6        0        0
25263 r-cran-logspline                   	       7        1        6        0        0
25264 r-cran-multcompview                	       7        1        6        0        0
25265 r-cran-patchwork                   	       7        2        5        0        0
25266 r-cran-polspline                   	       7        1        6        0        0
25267 r-cran-pvclust                     	       7        1        6        0        0
25268 r-cran-rmpi                        	       7        1        6        0        0
25269 r-cran-triebeard                   	       7        1        6        0        0
25270 r-cran-urltools                    	       7        1        6        0        0
25271 r-cran-uroot                       	       7        2        5        0        0
25272 rabbitvcs-core                     	       7        2        5        0        0
25273 raspi-firmware                     	       7        0        7        0        0
25274 rdma-core                          	       7        2        5        0        0
25275 rgbpaint                           	       7        0        7        0        0
25276 rien-nginx                         	       7        0        0        0        7
25277 riseup-vpn                         	       7        0        7        0        0
25278 rocm-opencl-dev                    	       7        0        7        0        0
25279 rolldice                           	       7        0        7        0        0
25280 rotter                             	       7        0        7        0        0
25281 roundcube-plugins-extra            	       7        1        5        0        1
25282 rsbackup                           	       7        3        4        0        0
25283 rubberband-vamp                    	       7        0        6        0        1
25284 ruby-bindex                        	       7        0        1        0        6
25285 ruby-bootsnap                      	       7        0        7        0        0
25286 ruby-capybara                      	       7        0        7        0        0
25287 ruby-css-parser                    	       7        0        6        1        0
25288 ruby-mimemagic                     	       7        0        7        0        0
25289 ruby-net-ldap                      	       7        0        7        0        0
25290 ruby-rack-protection               	       7        0        7        0        0
25291 ruby-ronn                          	       7        0        7        0        0
25292 ruby-sdl                           	       7        0        7        0        0
25293 ruby-sdoc                          	       7        0        7        0        0
25294 ruby-selenium-webdriver            	       7        0        7        0        0
25295 ruby-sinatra                       	       7        0        7        0        0
25296 ruby-ttfunk                        	       7        0        6        1        0
25297 ruby-turbolinks-source             	       7        0        7        0        0
25298 ruby-xpath                         	       7        0        7        0        0
25299 ruby3.0                            	       7        0        7        0        0
25300 saga-common                        	       7        0        0        0        7
25301 saidar                             	       7        0        7        0        0
25302 samplv1                            	       7        0        7        0        0
25303 samtools                           	       7        0        7        0        0
25304 sawfish-lisp-source                	       7        0        0        0        7
25305 scottfree                          	       7        0        7        0        0
25306 sdlfrotz                           	       7        0        7        0        0
25307 sendxmpp                           	       7        2        5        0        0
25308 shc                                	       7        0        7        0        0
25309 shellinabox                        	       7        2        5        0        0
25310 signing-party                      	       7        0        7        0        0
25311 simulpic                           	       7        0        7        0        0
25312 skycat                             	       7        0        7        0        0
25313 slashem-gtk                        	       7        0        7        0        0
25314 sleepd                             	       7        1        6        0        0
25315 slic3r-prusa                       	       7        0        1        0        6
25316 slimjet                            	       7        1        4        1        1
25317 smemstat                           	       7        2        5        0        0
25318 snd-gui-pulse                      	       7        0        7        0        0
25319 snort                              	       7        1        6        0        0
25320 sntop                              	       7        0        7        0        0
25321 softether-common                   	       7        0        0        0        7
25322 softether-vpncmd                   	       7        1        6        0        0
25323 softhsm2                           	       7        0        7        0        0
25324 softhsm2-common                    	       7        0        0        0        7
25325 spacefm-gtk3                       	       7        1        5        0        1
25326 spatialite-gui                     	       7        0        7        0        0
25327 spectemu-common                    	       7        0        7        0        0
25328 spectemu-x11                       	       7        0        7        0        0
25329 sphinx-basic-ng                    	       7        0        7        0        0
25330 spideroakone                       	       7        2        5        0        0
25331 sqlcipher                          	       7        0        7        0        0
25332 sqv                                	       7        4        3        0        0
25333 st-stlink-server                   	       7        1        6        0        0
25334 stgit                              	       7        2        5        0        0
25335 sudoku-solver                      	       7        0        7        0        0
25336 supercollider-common               	       7        0        0        0        7
25337 supercollider-server               	       7        0        7        0        0
25338 supertransball2                    	       7        0        7        0        0
25339 supertransball2-data               	       7        0        0        0        7
25340 surf2                              	       7        0        7        0        0
25341 swami                              	       7        0        6        1        0
25342 swath                              	       7        0        7        0        0
25343 swath-data                         	       7        0        0        0        7
25344 swi-prolog                         	       7        0        0        0        7
25345 swig-doc                           	       7        0        0        0        7
25346 swig-examples                      	       7        0        1        0        6
25347 synthv1                            	       7        0        7        0        0
25348 synthv1-common                     	       7        0        0        0        7
25349 systune                            	       7        2        5        0        0
25350 t1-oldslavic                       	       7        0        0        0        7
25351 tagainijisho-common                	       7        0        0        0        7
25352 tagainijisho-dic-en                	       7        0        0        0        7
25353 tanglet                            	       7        0        7        0        0
25354 tanglet-data                       	       7        0        0        0        7
25355 task-brazilian-portuguese-kde-desktop	       7        0        0        0        7
25356 task-chinese-s-desktop             	       7        0        0        0        7
25357 task-japanese-kde-desktop          	       7        0        0        0        7
25358 task-korean                        	       7        0        0        0        7
25359 task-swedish-desktop               	       7        0        0        0        7
25360 task-turkish-desktop               	       7        0        0        0        7
25361 tcl-tclex                          	       7        0        6        1        0
25362 tcl-trf                            	       7        0        0        0        7
25363 tcputils                           	       7        0        6        1        0
25364 tealdeer                           	       7        1        6        0        0
25365 telnetd-ssl                        	       7        1        6        0        0
25366 tesseract-ocr-bre                  	       7        0        0        0        7
25367 tesseract-ocr-cos                  	       7        0        0        0        7
25368 tesseract-ocr-div                  	       7        0        0        0        7
25369 tesseract-ocr-fao                  	       7        0        0        0        7
25370 tesseract-ocr-fil                  	       7        0        0        0        7
25371 tesseract-ocr-gla                  	       7        0        0        0        7
25372 tesseract-ocr-hye                  	       7        0        0        0        7
25373 tesseract-ocr-kmr                  	       7        0        0        0        7
25374 tesseract-ocr-kor-vert             	       7        0        0        0        7
25375 tesseract-ocr-ltz                  	       7        0        0        0        7
25376 tesseract-ocr-mon                  	       7        0        0        0        7
25377 tesseract-ocr-mri                  	       7        0        0        0        7
25378 tesseract-ocr-oci                  	       7        0        0        0        7
25379 tesseract-ocr-que                  	       7        0        0        0        7
25380 tesseract-ocr-script-arab          	       7        0        0        0        7
25381 tesseract-ocr-script-armn          	       7        0        0        0        7
25382 tesseract-ocr-script-beng          	       7        0        0        0        7
25383 tesseract-ocr-script-deva          	       7        0        0        0        7
25384 tesseract-ocr-script-ethi          	       7        0        0        0        7
25385 tesseract-ocr-script-geor          	       7        0        0        0        7
25386 tesseract-ocr-script-gujr          	       7        0        0        0        7
25387 tesseract-ocr-script-guru          	       7        0        0        0        7
25388 tesseract-ocr-script-hang          	       7        0        0        0        7
25389 tesseract-ocr-script-hang-vert     	       7        0        0        0        7
25390 tesseract-ocr-script-khmr          	       7        0        0        0        7
25391 tesseract-ocr-script-knda          	       7        0        0        0        7
25392 tesseract-ocr-script-laoo          	       7        0        0        0        7
25393 tesseract-ocr-script-mlym          	       7        0        0        0        7
25394 tesseract-ocr-script-mymr          	       7        0        0        0        7
25395 tesseract-ocr-script-orya          	       7        0        0        0        7
25396 tesseract-ocr-script-sinh          	       7        0        0        0        7
25397 tesseract-ocr-script-syrc          	       7        0        0        0        7
25398 tesseract-ocr-script-taml          	       7        0        0        0        7
25399 tesseract-ocr-script-telu          	       7        0        0        0        7
25400 tesseract-ocr-script-thaa          	       7        0        0        0        7
25401 tesseract-ocr-script-thai          	       7        0        0        0        7
25402 tesseract-ocr-script-tibt          	       7        0        0        0        7
25403 tesseract-ocr-script-viet          	       7        0        0        0        7
25404 tesseract-ocr-snd                  	       7        0        0        0        7
25405 tesseract-ocr-sun                  	       7        0        0        0        7
25406 tesseract-ocr-tat                  	       7        0        0        0        7
25407 tesseract-ocr-ton                  	       7        0        0        0        7
25408 tesseract-ocr-yor                  	       7        0        0        0        7
25409 tf                                 	       7        0        7        0        0
25410 tf5                                	       7        0        7        0        0
25411 tgif                               	       7        0        7        0        0
25412 thunderbird-l10n-cs                	       7        2        4        1        0
25413 tiled                              	       7        1        6        0        0
25414 tilem                              	       7        2        5        0        0
25415 tilem-data                         	       7        0        0        0        7
25416 tinycdb                            	       7        0        7        0        0
25417 tiptop                             	       7        0        7        0        0
25418 tk8.5-dev                          	       7        1        6        0        0
25419 todotxt-cli                        	       7        0        7        0        0
25420 tomcat10                           	       7        1        6        0        0
25421 tomcat10-common                    	       7        1        6        0        0
25422 torus-trooper                      	       7        0        7        0        0
25423 torus-trooper-data                 	       7        0        0        0        7
25424 trackballs                         	       7        0        7        0        0
25425 trackballs-data                    	       7        0        0        0        7
25426 trigger-rally                      	       7        0        7        0        0
25427 ttf-femkeklaver                    	       7        0        0        0        7
25428 ttf-sil-gentium-basic              	       7        0        0        0        7
25429 tucnak                             	       7        1        6        0        0
25430 tumiki-fighters-data               	       7        0        0        0        7
25431 tutka                              	       7        0        6        1        0
25432 tuxcmd                             	       7        1        6        0        0
25433 tuxguitar-oss                      	       7        0        7        0        0
25434 ucblogo                            	       7        0        7        0        0
25435 udhcpc                             	       7        1        6        0        0
25436 uhubctl                            	       7        0        7        0        0
25437 umlet                              	       7        1        6        0        0
25438 unbound-host                       	       7        0        7        0        0
25439 unetbootin                         	       7        0        7        0        0
25440 unhide-gui                         	       7        0        7        0        0
25441 unison-2.53-gtk                    	       7        1        6        0        0
25442 uqm-voice                          	       7        0        0        0        7
25443 userinfo                           	       7        1        6        0        0
25444 utox                               	       7        0        7        0        0
25445 v86d                               	       7        0        7        0        0
25446 vboot-kernel-utils                 	       7        0        7        0        0
25447 veit-kannegieser-archive-keyring   	       7        0        0        0        7
25448 ventoy                             	       7        0        0        0        7
25449 viber                              	       7        0        0        0        7
25450 videogen                           	       7        0        6        1        0
25451 videotrans                         	       7        0        7        0        0
25452 view3dscene                        	       7        1        6        0        0
25453 viewpdf.app                        	       7        1        6        0        0
25454 vim-git-hub                        	       7        0        0        0        7
25455 vim-solarized                      	       7        0        0        0        7
25456 vim-voom                           	       7        0        0        0        7
25457 visidata                           	       7        0        7        0        0
25458 visolate                           	       7        0        7        0        0
25459 vkd3d-demos                        	       7        0        7        0        0
25460 vmware-manager                     	       7        0        7        0        0
25461 vttest                             	       7        0        6        1        0
25462 waydroid                           	       7        1        5        1        0
25463 wayfire                            	       7        0        6        1        0
25464 wayland-scanner++                  	       7        0        7        0        0
25465 wcstools                           	       7        0        7        0        0
25466 wdanish                            	       7        0        7        0        0
25467 webapps-creator-ui                 	       7        1        1        5        0
25468 weechat-matrix                     	       7        0        1        0        6
25469 weechat-scripts                    	       7        0        0        0        7
25470 wesnoth-music                      	       7        0        0        0        7
25471 wfview                             	       7        1        6        0        0
25472 when                               	       7        0        7        0        0
25473 whipper                            	       7        0        6        1        0
25474 wkhtmltox                          	       7        1        6        0        0
25475 wolframscript                      	       7        0        7        0        0
25476 wp2latex                           	       7        0        5        2        0
25477 wx3.2-i18n                         	       7        0        0        0        7
25478 x11proto-bigreqs-dev               	       7        0        2        0        5
25479 x11proto-dmx-dev                   	       7        0        2        0        5
25480 x11proto-xcmisc-dev                	       7        0        2        0        5
25481 x2godesktopsharing                 	       7        2        5        0        0
25482 xa65                               	       7        0        7        0        0
25483 xcircuit                           	       7        0        7        0        0
25484 xfonts-bolkhov-isocyr-75dpi        	       7        0        0        0        7
25485 xfonts-bolkhov-koi8u-75dpi         	       7        0        0        0        7
25486 xfonts-cronyx-cp1251-75dpi         	       7        0        0        0        7
25487 xfonts-cronyx-isocyr-75dpi         	       7        0        0        0        7
25488 xfonts-cronyx-koi8u-75dpi          	       7        0        0        0        7
25489 xfonts-cronyx-misc                 	       7        0        0        0        7
25490 xfonts-jisx0213                    	       7        0        0        0        7
25491 xgammon                            	       7        0        7        0        0
25492 xgnokii                            	       7        1        6        0        0
25493 xlennart                           	       7        1        6        0        0
25494 xlsx2csv                           	       7        1        6        0        0
25495 xmedcon                            	       7        0        7        0        0
25496 xml-twig-tools                     	       7        1        6        0        0
25497 xmms2-plugin-ofa                   	       7        1        6        0        0
25498 xnee                               	       7        0        0        0        7
25499 xprobe                             	       7        0        7        0        0
25500 xulrunner-24.0                     	       7        0        7        0        0
25501 xygrib                             	       7        0        7        0        0
25502 yabause-common                     	       7        0        0        0        7
25503 yabause-qt                         	       7        0        7        0        0
25504 yaru-theme-sound                   	       7        0        0        0        7
25505 yash                               	       7        0        7        0        0
25506 ydotool                            	       7        1        6        0        0
25507 zabbix-agent2                      	       7        3        4        0        0
25508 zeal                               	       7        0        7        0        0
25509 zegrapher                          	       7        0        7        0        0
25510 zerotier-one                       	       7        2        5        0        0
25511 zfs-auto-snapshot                  	       7        1        6        0        0
25512 zipper.app                         	       7        1        6        0        0
25513 zplug                              	       7        0        7        0        0
25514 zsh-theme-powerlevel9k             	       7        0        0        0        7
25515 zsnes                              	       7        0        7        0        0
25516 2vcard                             	       6        0        6        0        0
25517 4kvideodownloader                  	       6        0        5        0        1
25518 7zip-standalone                    	       6        1        3        2        0
25519 9wm                                	       6        0        6        0        0
25520 aa3d                               	       6        0        6        0        0
25521 abicheck                           	       6        1        5        0        0
25522 adminer                            	       6        0        6        0        0
25523 advi                               	       6        0        6        0        0
25524 agda-bin                           	       6        0        6        0        0
25525 agrep                              	       6        0        6        0        0
25526 airstrike                          	       6        0        5        1        0
25527 airstrike-common                   	       6        0        0        0        6
25528 album-data                         	       6        0        5        1        0
25529 algobox                            	       6        0        6        0        0
25530 alkimia-data                       	       6        0        0        0        6
25531 alot                               	       6        0        6        0        0
25532 amarok-common-trinity              	       6        0        6        0        0
25533 amarok-engine-xine-trinity         	       6        3        3        0        0
25534 amarok-trinity                     	       6        3        3        0        0
25535 amdgpu-lib                         	       6        0        0        0        6
25536 amule-daemon                       	       6        0        5        1        0
25537 apache2-suexec-custom              	       6        2        4        0        0
25538 apbs                               	       6        0        6        0        0
25539 apbs-data                          	       6        0        0        0        6
25540 apkinfo                            	       6        0        5        1        0
25541 apng2gif                           	       6        1        5        0        0
25542 apngopt                            	       6        0        6        0        0
25543 aprx                               	       6        2        4        0        0
25544 apt-dater                          	       6        1        5        0        0
25545 aptly                              	       6        2        4        0        0
25546 arachne-pnr                        	       6        0        6        0        0
25547 arctica-greeter-guest-session      	       6        0        6        0        0
25548 asciidoctor-doc                    	       6        0        0        0        6
25549 aspcud                             	       6        0        6        0        0
25550 astromenace                        	       6        0        6        0        0
25551 astromenace-data-src               	       6        0        0        0        6
25552 auralquiz                          	       6        0        6        0        0
25553 automake1.9                        	       6        0        6        0        0
25554 avldrums.lv2-soundfont             	       6        0        6        0        0
25555 bacula-client                      	       6        0        1        0        5
25556 bacula-console                     	       6        1        5        0        0
25557 balena-etcher-electron             	       6        1        5        0        0
25558 basilisk2                          	       6        0        6        0        0
25559 bbpager                            	       6        1        5        0        0
25560 bcrelay                            	       6        0        6        0        0
25561 bcrypt                             	       6        1        5        0        0
25562 bdfresize                          	       6        0        6        0        0
25563 beav                               	       6        0        6        0        0
25564 bibtex2html                        	       6        1        5        0        0
25565 bijiben                            	       6        0        6        0        0
25566 bindechexascii                     	       6        0        6        0        0
25567 binstats                           	       6        1        5        0        0
25568 binutils-powerpc64-linux-gnu       	       6        0        5        1        0
25569 binutils-x86-64-linux-gnux32       	       6        0        6        0        0
25570 binutils-z80                       	       6        0        6        0        0
25571 blahtexml                          	       6        2        4        0        0
25572 blender-ogrexml-1.9                	       6        0        6        0        0
25573 bochs-doc                          	       6        0        0        0        6
25574 boinctui                           	       6        1        5        0        0
25575 brandy                             	       6        0        6        0        0
25576 brave-browser-beta                 	       6        1        5        0        0
25577 broadcom-sta-source                	       6        0        0        0        6
25578 browser-plugin-freshplayer-pepperflash	       6        0        0        0        6
25579 bs2b-ladspa                        	       6        0        6        0        0
25580 btcheck                            	       6        1        5        0        0
25581 budgie-core                        	       6        0        6        0        0
25582 budgie-desktop                     	       6        0        0        0        6
25583 bumblebee-nvidia                   	       6        0        0        0        6
25584 c++-annotations-contrib            	       6        0        0        0        6
25585 c-icap                             	       6        2        3        1        0
25586 c3270                              	       6        0        6        0        0
25587 cadubi                             	       6        0        5        1        0
25588 cairo-5c                           	       6        0        0        0        6
25589 cairo-dock-alsamixer-plug-in       	       6        1        1        0        4
25590 cairo-dock-clipper-plug-in         	       6        1        1        0        4
25591 cairo-dock-xfce-integration-plug-in	       6        0        0        0        6
25592 caja-nextcloud                     	       6        0        0        0        6
25593 calamares-settings-debian          	       6        0        6        0        0
25594 calculix-cgx                       	       6        0        6        0        0
25595 calligra-gemini                    	       6        0        5        1        0
25596 calligra-gemini-data               	       6        0        0        0        6
25597 cantor-backend-kalgebra            	       6        0        0        0        6
25598 caribou-antler                     	       6        0        6        0        0
25599 cc65-doc                           	       6        0        0        0        6
25600 ccdiff                             	       6        0        6        0        0
25601 cdargs                             	       6        1        5        0        0
25602 cdecl                              	       6        0        6        0        0
25603 celestia-gnome                     	       6        0        6        0        0
25604 cfi-en                             	       6        0        0        0        6
25605 cfortran                           	       6        0        6        0        0
25606 cg3                                	       6        0        6        0        0
25607 cgi-mapserver                      	       6        0        6        0        0
25608 cgit                               	       6        0        6        0        0
25609 cgroup-bin                         	       6        0        0        0        6
25610 changeme                           	       6        1        5        0        0
25611 charmap.app                        	       6        0        6        0        0
25612 charmap.app-common                 	       6        0        0        0        6
25613 cheesecutter                       	       6        0        6        0        0
25614 chromium-lwn4chrome                	       6        0        0        0        6
25615 cl-launch                          	       6        0        6        0        0
25616 clang-11-doc                       	       6        0        6        0        0
25617 clips-doc                          	       6        0        0        0        6
25618 cloud-utils                        	       6        0        0        0        6
25619 clustalw                           	       6        0        6        0        0
25620 cmake-fedora                       	       6        0        0        0        6
25621 cmake-vala                         	       6        0        0        0        6
25622 cndrvcups-common                   	       6        0        6        0        0
25623 codespell                          	       6        0        6        0        0
25624 coinor-libclp-dev                  	       6        0        6        0        0
25625 coinor-libcoinutils-dev            	       6        0        5        1        0
25626 coinor-libosi-dev                  	       6        0        6        0        0
25627 coinor-symphony                    	       6        0        6        0        0
25628 colorcode                          	       6        0        6        0        0
25629 colord-gtk-utils                   	       6        0        6        0        0
25630 colorize                           	       6        0        6        0        0
25631 compiz-bcop                        	       6        0        5        1        0
25632 compiz-boxmenu                     	       6        0        5        1        0
25633 compton-conf                       	       6        0        6        0        0
25634 conspy                             	       6        2        4        0        0
25635 cookietool                         	       6        1        5        0        0
25636 coolkey                            	       6        0        6        0        0
25637 corosync                           	       6        0        6        0        0
25638 cpmtools                           	       6        2        4        0        0
25639 cpp-10-arm-linux-gnueabi           	       6        0        6        0        0
25640 cpp-12-riscv64-linux-gnu           	       6        0        6        0        0
25641 cpp-mipsel-linux-gnu               	       6        0        6        0        0
25642 cpu                                	       6        0        6        0        0
25643 cpuburn                            	       6        0        6        0        0
25644 crasm                              	       6        0        6        0        0
25645 crip                               	       6        0        6        0        0
25646 crmsh                              	       6        0        6        0        0
25647 cronometer                         	       6        0        6        0        0
25648 crossfire-client                   	       6        0        6        0        0
25649 cruft-common                       	       6        1        5        0        0
25650 crystal                            	       6        1        4        0        1
25651 cstocs                             	       6        3        3        0        0
25652 csv2latex                          	       6        1        5        0        0
25653 cuda-keyring                       	       6        0        0        0        6
25654 cupt                               	       6        1        5        0        0
25655 cutemaze                           	       6        0        6        0        0
25656 cython                             	       6        1        5        0        0
25657 d-shlibs                           	       6        0        6        0        0
25658 d2x-rebirth                        	       6        0        6        0        0
25659 debarchiver                        	       6        2        4        0        0
25660 debian-builder                     	       6        0        6        0        0
25661 debian-edu-artwork-spacefun        	       6        0        6        0        0
25662 debian-edu-config                  	       6        2        4        0        0
25663 debian-edu-install                 	       6        2        3        0        1
25664 debian-faq-de                      	       6        0        0        0        6
25665 debian-multimedia-keyring          	       6        0        0        0        6
25666 debian-reference-ja                	       6        0        0        0        6
25667 debian-reference-pt                	       6        0        0        0        6
25668 debian-reference-zh-cn             	       6        0        0        0        6
25669 debian-reference-zh-tw             	       6        0        0        0        6
25670 debvm                              	       6        0        6        0        0
25671 deepin-screenshot                  	       6        0        6        0        0
25672 deluge-web                         	       6        1        5        0        0
25673 developers-reference-fr            	       6        0        0        0        6
25674 dhcp-probe                         	       6        0        6        0        0
25675 dicom3tools                        	       6        0        6        0        0
25676 dict-elements                      	       6        0        0        0        6
25677 dict-freedict-eng-fra              	       6        0        0        0        6
25678 dict-freedict-ita-eng              	       6        0        0        0        6
25679 dietlibc-dev                       	       6        0        6        0        0
25680 discus                             	       6        1        5        0        0
25681 djview-plugin                      	       6        1        5        0        0
25682 djvubind                           	       6        0        6        0        0
25683 dmarc-cat                          	       6        0        6        0        0
25684 dnsdiag                            	       6        0        6        0        0
25685 dnswalk                            	       6        0        6        0        0
25686 doc-rfc                            	       6        0        0        0        6
25687 docbook-mathml                     	       6        0        0        0        6
25688 docbook-xsl-saxon                  	       6        0        0        0        6
25689 dokuwiki                           	       6        1        5        0        0
25690 doscan                             	       6        1        5        0        0
25691 doschk                             	       6        1        5        0        0
25692 dossizola                          	       6        0        6        0        0
25693 dossizola-data                     	       6        0        0        0        6
25694 dovecot-sqlite                     	       6        1        5        0        0
25695 dpkg-www                           	       6        0        6        0        0
25696 dput-ng                            	       6        1        5        0        0
25697 dsdcc                              	       6        0        6        0        0
25698 dspdfviewer                        	       6        0        6        0        0
25699 dssi-example-plugins               	       6        0        6        0        0
25700 duck                               	       6        0        6        0        0
25701 duktape-dev                        	       6        0        5        1        0
25702 duplicati                          	       6        3        3        0        0
25703 dupload                            	       6        1        5        0        0
25704 dvbcut                             	       6        1        5        0        0
25705 dvdstyler-data                     	       6        0        0        0        6
25706 dvi2ps-fontdata-n2a                	       6        0        0        0        6
25707 dynamips                           	       6        0        6        0        0
25708 e16                                	       6        3        3        0        0
25709 e17                                	       6        0        1        0        5
25710 easygit                            	       6        1        5        0        0
25711 ebook2cwgui                        	       6        0        6        0        0
25712 edb-debugger-plugins               	       6        0        0        0        6
25713 ekiga                              	       6        1        5        0        0
25714 electronics-simulation             	       6        0        0        0        6
25715 elpa-agda2-mode                    	       6        1        5        0        0
25716 elpa-flycheck                      	       6        0        6        0        0
25717 elpa-graphql                       	       6        2        4        0        0
25718 elpa-php-mode                      	       6        1        4        1        0
25719 elpa-xcscope                       	       6        0        6        0        0
25720 emacs-calfw                        	       6        2        4        0        0
25721 emacs-libvterm                     	       6        0        2        0        4
25722 enigmail                           	       6        0        0        0        6
25723 epdfview                           	       6        0        6        0        0
25724 erlang-jose                        	       6        1        4        1        0
25725 eso-midas                          	       6        0        6        0        0
25726 espeakedit                         	       6        0        6        0        0
25727 ess                                	       6        0        0        0        6
25728 evdi-dkms                          	       6        0        6        0        0
25729 exim4-doc-info                     	       6        0        0        0        6
25730 extrace                            	       6        0        6        0        0
25731 fbzx                               	       6        0        6        0        0
25732 fcitx-googlepinyin                 	       6        1        0        0        5
25733 ferdium                            	       6        1        3        0        2
25734 festival-dev                       	       6        0        6        0        0
25735 festvox-us1                        	       6        0        0        0        6
25736 fido2-tools                        	       6        0        5        1        0
25737 firebird3.0-doc                    	       6        0        0        0        6
25738 firefox-esr-l10n-fi                	       6        3        3        0        0
25739 firefox-l10n-fr                    	       6        0        6        0        0
25740 flatlatex                          	       6        2        4        0        0
25741 fondu                              	       6        0        6        0        0
25742 fonts-culmus-fancy                 	       6        0        0        0        6
25743 fonts-knda-extra                   	       6        0        0        0        6
25744 fonts-mathematica                  	       6        0        0        0        6
25745 fonts-meera-taml                   	       6        0        0        0        6
25746 fonts-morisawa-bizud-gothic        	       6        0        0        0        6
25747 fonts-morisawa-bizud-mincho        	       6        0        0        0        6
25748 fonts-sil-akatab                   	       6        0        0        0        6
25749 fonts-uniol                        	       6        0        0        0        6
25750 foot-themes                        	       6        0        0        0        6
25751 forensics-extra-gui                	       6        0        0        0        6
25752 forensics-samples-files            	       6        0        0        0        6
25753 forensics-samples-ntfs             	       6        0        0        0        6
25754 forticlient                        	       6        1        5        0        0
25755 fp-compiler-3.0.0                  	       6        0        6        0        0
25756 fp-ide-3.0.0                       	       6        0        6        0        0
25757 fp-units-base-3.0.0                	       6        0        6        0        0
25758 fp-units-db                        	       6        0        0        0        6
25759 fp-units-db-3.0.0                  	       6        0        6        0        0
25760 fp-units-fcl-3.0.0                 	       6        0        6        0        0
25761 fp-units-fv-3.0.0                  	       6        0        6        0        0
25762 fp-units-gfx                       	       6        0        0        0        6
25763 fp-units-gfx-3.0.0                 	       6        0        6        0        0
25764 fp-units-math-3.0.0                	       6        0        6        0        0
25765 fp-units-misc-3.0.0                	       6        0        6        0        0
25766 fp-units-multimedia-3.0.0          	       6        0        6        0        0
25767 fp-units-net-3.0.0                 	       6        0        6        0        0
25768 fp-units-rtl-3.0.0                 	       6        0        6        0        0
25769 fpa-web-scripts                    	       6        0        6        0        0
25770 fpa-webtools-apache                	       6        0        6        0        0
25771 freedroid                          	       6        0        6        0        0
25772 freedroid-data                     	       6        0        0        0        6
25773 freerdp3-x11                       	       6        1        3        2        0
25774 frr                                	       6        3        3        0        0
25775 frr-pythontools                    	       6        1        4        1        0
25776 fs-uae-arcade                      	       6        0        6        0        0
25777 fspanel                            	       6        0        6        0        0
25778 ftdi-eeprom                        	       6        1        5        0        0
25779 fte                                	       6        1        5        0        0
25780 fuse-emulator-sdl                  	       6        0        6        0        0
25781 fuse-posixovl                      	       6        0        6        0        0
25782 g++-4.4                            	       6        0        6        0        0
25783 gambas3-gb-qt5-ext                 	       6        0        5        1        0
25784 gambas3-gb-qt5-opengl              	       6        0        5        1        0
25785 games-adventure                    	       6        0        0        0        6
25786 gammastep                          	       6        0        5        1        0
25787 gcab                               	       6        0        6        0        0
25788 gcc-10-aarch64-linux-gnu           	       6        0        6        0        0
25789 gcc-10-arm-linux-gnueabi-base      	       6        0        0        0        6
25790 gcc-10-arm-linux-gnueabihf         	       6        0        6        0        0
25791 gcc-12-riscv64-linux-gnu-base      	       6        0        0        0        6
25792 gcc-9-doc                          	       6        0        0        0        6
25793 gcc-mipsel-linux-gnu               	       6        0        6        0        0
25794 gcj-6-jre                          	       6        0        0        0        6
25795 gcj-jre-headless                   	       6        1        5        0        0
25796 gcl-doc                            	       6        0        0        0        6
25797 gearhead2-data                     	       6        0        0        0        6
25798 geary                              	       6        0        6        0        0
25799 geda-utils                         	       6        0        6        0        0
25800 geekcode                           	       6        0        6        0        0
25801 gir1.2-ebook-1.2                   	       6        0        0        0        6
25802 gir1.2-fwupd-2.0                   	       6        0        0        0        6
25803 gir1.2-gmime-3.0                   	       6        0        0        0        6
25804 gir1.2-ostree-1.0                  	       6        0        0        0        6
25805 git-crypt                          	       6        1        5        0        0
25806 git-daemon-sysvinit                	       6        0        0        0        6
25807 git-repair                         	       6        0        6        0        0
25808 git-review                         	       6        0        5        1        0
25809 giza-dev                           	       6        0        6        0        0
25810 gkrellmitime                       	       6        0        6        0        0
25811 gkrelluim                          	       6        1        5        0        0
25812 gkrellxmms2                        	       6        0        6        0        0
25813 gl-117                             	       6        0        6        0        0
25814 gl-117-data                        	       6        0        0        0        6
25815 glances-doc                        	       6        0        0        0        6
25816 gnokii-cli                         	       6        1        5        0        0
25817 gnome-mpv                          	       6        0        0        0        6
25818 gnome-search-tool                  	       6        0        6        0        0
25819 gnome-session-xsession             	       6        0        0        0        6
25820 gnome-shell-extension-dash-to-panel	       6        0        2        0        4
25821 gnome-shell-extension-manager      	       6        0        6        0        0
25822 gnubik                             	       6        0        6        0        0
25823 gnupg-pkcs11-scd                   	       6        0        6        0        0
25824 gnuradio-doc                       	       6        0        0        0        6
25825 gnuserv                            	       6        2        4        0        0
25826 gnustep-icons                      	       6        0        0        0        6
25827 gobuster                           	       6        0        6        0        0
25828 golang-1.21-go                     	       6        1        5        0        0
25829 golang-1.21-src                    	       6        0        6        0        0
25830 golang-github-google-go-cmp-dev    	       6        0        0        0        6
25831 golang-github-yuin-goldmark-dev    	       6        0        0        0        6
25832 gpaint                             	       6        0        6        0        0
25833 gpr                                	       6        0        6        0        0
25834 gprconfig-kb                       	       6        0        0        0        6
25835 gpscorrelate                       	       6        0        6        0        0
25836 gpsmanshp                          	       6        0        6        0        0
25837 gpxinfo                            	       6        0        6        0        0
25838 grass-dev-doc                      	       6        0        0        0        6
25839 graywolf                           	       6        0        6        0        0
25840 gretl                              	       6        1        5        0        0
25841 gretl-doc                          	       6        0        0        0        6
25842 grip                               	       6        0        6        0        0
25843 gs-common                          	       6        0        0        0        6
25844 gstreamer1.0-qt6                   	       6        0        1        0        5
25845 gtimer                             	       6        0        6        0        0
25846 gtk-recordmydesktop                	       6        0        6        0        0
25847 gtkguitune                         	       6        0        5        1        0
25848 guake-indicator                    	       6        1        5        0        0
25849 guile-2.2-dev                      	       6        0        6        0        0
25850 guile-g-wrap                       	       6        0        1        0        5
25851 guile-gnome2-glib                  	       6        0        6        0        0
25852 guile-gnome2-gtk                   	       6        0        0        0        6
25853 gwave                              	       6        0        6        0        0
25854 gwenview-trinity                   	       6        2        4        0        0
25855 gworkspace-common                  	       6        0        0        0        6
25856 gwyddion                           	       6        1        5        0        0
25857 gwyddion-common                    	       6        0        0        0        6
25858 hakuneko-desktop                   	       6        0        6        0        0
25859 hardlink                           	       6        2        4        0        0
25860 hashcat-nvidia                     	       6        0        0        0        6
25861 haskell-doc                        	       6        0        0        0        6
25862 heaptrack-gui                      	       6        0        6        0        0
25863 heimdal-docs                       	       6        0        0        0        6
25864 heimdal-multidev                   	       6        0        6        0        0
25865 helpman                            	       6        0        5        1        0
25866 hexyl                              	       6        0        6        0        0
25867 htdig-doc                          	       6        0        2        0        4
25868 hunspell-af                        	       6        0        0        0        6
25869 hunspell-br                        	       6        0        6        0        0
25870 hunspell-bs                        	       6        0        0        0        6
25871 hunspell-en-za                     	       6        0        0        0        6
25872 hunspell-fr-comprehensive          	       6        0        0        0        6
25873 hunspell-fr-revised                	       6        0        0        0        6
25874 hunspell-gu                        	       6        0        0        0        6
25875 hunspell-hi                        	       6        0        0        0        6
25876 hunspell-ne                        	       6        0        0        0        6
25877 hunspell-si                        	       6        0        0        0        6
25878 hunspell-te                        	       6        0        0        0        6
25879 hylafax-client                     	       6        2        4        0        0
25880 hyphen-pl                          	       6        0        0        0        6
25881 hypnotix                           	       6        0        6        0        0
25882 i2p-keyring                        	       6        0        0        0        6
25883 i3lock-fancy                       	       6        0        6        0        0
25884 i8kutils                           	       6        1        5        0        0
25885 ibritish-small                     	       6        0        6        0        0
25886 ibus-doc                           	       6        0        0        0        6
25887 ibus-table-emoji                   	       6        0        0        0        6
25888 ices2                              	       6        0        6        0        0
25889 icewm-lite                         	       6        1        5        0        0
25890 icingacli                          	       6        1        5        0        0
25891 icingaweb2                         	       6        2        4        0        0
25892 icingaweb2-common                  	       6        0        5        0        1
25893 icom                               	       6        0        6        0        0
25894 iczech                             	       6        1        5        0        0
25895 ident2                             	       6        1        5        0        0
25896 idn                                	       6        1        5        0        0
25897 iirish                             	       6        0        6        0        0
25898 imagemagick-6.q16hdri              	       6        0        6        0        0
25899 inadyn                             	       6        0        6        0        0
25900 incus-agent                        	       6        0        5        1        0
25901 influxdb-client                    	       6        0        6        0        0
25902 info2man                           	       6        0        6        0        0
25903 inn2                               	       6        3        3        0        0
25904 inteltool                          	       6        1        5        0        0
25905 iportuguese                        	       6        0        6        0        0
25906 isc-dhcp-client-ddns               	       6        0        6        0        0
25907 iswedish                           	       6        0        6        0        0
25908 iukrainian                         	       6        0        6        0        0
25909 ixo-usb-jtag                       	       6        0        0        0        6
25910 jack                               	       6        0        6        0        0
25911 jack-mixer                         	       6        0        6        0        0
25912 jackeq                             	       6        0        6        0        0
25913 jag                                	       6        0        6        0        0
25914 japa                               	       6        0        6        0        0
25915 javahelp2-doc                      	       6        0        0        0        6
25916 jc                                 	       6        0        6        0        0
25917 jpilot                             	       6        1        5        0        0
25918 jpilot-plugins                     	       6        1        5        0        0
25919 jtdx                               	       6        0        6        0        0
25920 junit4-doc                         	       6        0        0        0        6
25921 jzip                               	       6        0        6        0        0
25922 kakasi-dic                         	       6        0        0        0        6
25923 kanshi                             	       6        1        5        0        0
25924 kcachegrind-converters             	       6        0        6        0        0
25925 kde-config-mobile-networking       	       6        0        0        0        6
25926 kde-style-oxygen                   	       6        1        2        0        3
25927 kde-telepathy-desktop-applets      	       6        0        2        0        4
25928 kde-window-manager                 	       6        1        2        0        3
25929 kdegames-mahjongg-data             	       6        0        0        0        6
25930 kdegames-mahjongg-data-kf6         	       6        0        0        0        6
25931 kdepim-doc                         	       6        0        0        0        6
25932 kdepimlibs-kio-plugins             	       6        0        6        0        0
25933 kdevelop-python-l10n               	       6        0        0        0        6
25934 kernelshark                        	       6        0        6        0        0
25935 khronos-api                        	       6        0        5        1        0
25936 kile-l10n                          	       6        0        0        0        6
25937 kismet-plugins                     	       6        0        6        0        0
25938 kodi-addons-dev                    	       6        0        5        1        0
25939 kodi-eventclients-python           	       6        0        6        0        0
25940 kodi-eventclients-zeroconf         	       6        0        6        0        0
25941 kodi-imagedecoder-heif             	       6        0        0        0        6
25942 kodi-visualization-fishbmc         	       6        0        0        0        6
25943 kodi-visualization-pictureit       	       6        0        0        0        6
25944 kodi-visualization-shadertoy       	       6        0        0        0        6
25945 kodi-visualization-waveform        	       6        0        0        0        6
25946 kpart6-kompare                     	       6        0        0        0        6
25947 kraft                              	       6        0        6        0        0
25948 krb5-pkinit                        	       6        0        1        0        5
25949 krop                               	       6        1        5        0        0
25950 krusader-trinity                   	       6        1        5        0        0
25951 ktnef                              	       6        0        4        0        2
25952 kubernetes-client                  	       6        0        6        0        0
25953 kwin-dev                           	       6        0        5        1        0
25954 ladspalist                         	       6        0        6        0        0
25955 latex-xcolor                       	       6        0        0        0        6
25956 ledmon                             	       6        4        2        0        0
25957 lfm                                	       6        0        6        0        0
25958 lgc-pg                             	       6        0        6        0        0
25959 lgeneral                           	       6        0        6        0        0
25960 lgeneral-data                      	       6        0        0        0        6
25961 lgogdownloader                     	       6        0        6        0        0
25962 lib32bz2-1.0                       	       6        0        0        0        6
25963 libacme-damn-perl                  	       6        0        0        0        6
25964 libagg-dev                         	       6        0        6        0        0
25965 libaiksaurusgtk-1.2-0c2a           	       6        0        0        0        6
25966 libakonadi-calendar4               	       6        1        0        0        5
25967 libakonadi-contact4                	       6        1        5        0        0
25968 libakonadi-kcal4                   	       6        0        0        0        6
25969 libalgorithm-munkres-perl          	       6        0        6        0        0
25970 libalgorithms1                     	       6        0        0        0        6
25971 libalkimia5-8                      	       6        0        0        0        6
25972 liballegro-acodec5-dev             	       6        0        6        0        0
25973 liballegro-audio5-dev              	       6        0        6        0        0
25974 liballegro-dialog5.2               	       6        0        0        0        6
25975 liballegro-ttf5-dev                	       6        0        6        0        0
25976 libamarok-trinity                  	       6        1        0        0        5
25977 libaml0t64                         	       6        0        0        0        6
25978 libanalitza9                       	       6        0        0        0        6
25979 libanalitzagui9                    	       6        0        0        0        6
25980 libanalitzaplot9                   	       6        0        0        0        6
25981 libanalitzawidgets9                	       6        0        0        0        6
25982 libandroid-ddms-java               	       6        0        0        0        6
25983 libandroid-tools-annotations-java  	       6        0        0        0        6
25984 libandroid-tools-common-java       	       6        0        0        0        6
25985 libanyevent-irc-perl               	       6        0        6        0        0
25986 libapache2-mod-proxy-uwsgi         	       6        1        0        0        5
25987 libapbs3                           	       6        0        0        0        6
25988 libappstream-compose0              	       6        0        0        0        6
25989 libargon2-0                        	       6        0        0        0        6
25990 libarray-compare-perl              	       6        0        6        0        0
25991 libart2.0-cil                      	       6        0        0        0        6
25992 libasa-perl                        	       6        0        6        0        0
25993 libasan8-i386-cross                	       6        0        0        0        6
25994 libastrometry0t64                  	       6        0        0        0        6
25995 libatomic1-mipsel-cross            	       6        0        0        0        6
25996 libatomic1-ppc64-cross             	       6        0        0        0        6
25997 libatomic1-x32-cross               	       6        0        0        0        6
25998 libavahi-ui-gtk3-dev               	       6        0        6        0        0
25999 libavcodec-extra61                 	       6        2        3        0        1
26000 libavfilter-extra                  	       6        0        0        0        6
26001 libavogadro2-1t64                  	       6        0        0        0        6
26002 libavresample1                     	       6        0        0        0        6
26003 libavutil-ffmpeg54                 	       6        0        0        0        6
26004 libavutil50                        	       6        0        3        0        3
26005 libavutil52                        	       6        0        0        0        6
26006 libb64-dev                         	       6        0        6        0        0
26007 libbenchmark-dev                   	       6        0        6        0        0
26008 libbinio1ldbl                      	       6        0        0        0        6
26009 libbliss2                          	       6        0        0        0        6
26010 libblockdev-kbd2                   	       6        0        0        0        6
26011 libblockdev-lvm2                   	       6        0        0        0        6
26012 libboost-chrono1.55.0              	       6        0        0        0        6
26013 libboost-filesystem1.71.0          	       6        0        0        0        6
26014 libboost-graph-parallel1.67.0      	       6        0        0        0        6
26015 libboost-graph1.67-dev             	       6        0        0        0        6
26016 libboost-mpi1.67.0                 	       6        0        0        0        6
26017 libboost-numpy1.67-dev             	       6        0        0        0        6
26018 libboost-regex1.49.0               	       6        0        0        0        6
26019 libboost-regex1.67-dev             	       6        0        0        0        6
26020 libboost-serialization1.55.0       	       6        0        0        0        6
26021 libboost-signals-dev               	       6        0        0        0        6
26022 libboost-system1.49.0              	       6        0        0        0        6
26023 libboost-thread1.49.0              	       6        0        0        0        6
26024 libboost-thread1.67-dev            	       6        0        0        0        6
26025 libboost1.67-tools-dev             	       6        0        6        0        0
26026 libbson-1.0-0t64                   	       6        0        0        0        6
26027 libbtf2                            	       6        0        0        0        6
26028 libbudgie-private0                 	       6        0        1        0        5
26029 libbudgietheme0                    	       6        0        1        0        5
26030 libbytelist-java                   	       6        0        0        0        6
26031 libc++-dev                         	       6        0        0        0        6
26032 libc6-amd64-i386-cross             	       6        0        0        0        6
26033 libc6-dev-mipsel-cross             	       6        0        6        0        0
26034 libc6-dev-riscv64-cross            	       6        0        6        0        0
26035 libc6-dev-x32-cross                	       6        0        6        0        0
26036 libc6-mipsel-cross                 	       6        0        0        0        6
26037 libc6-ppc64-cross                  	       6        0        0        0        6
26038 libcacard-dev                      	       6        0        6        0        0
26039 libcaf-openmpi-3t64                	       6        0        0        0        6
26040 libcairo2-ocaml                    	       6        0        6        0        0
26041 libcamel-1.2-33                    	       6        0        0        0        6
26042 libcamel1.2-dev                    	       6        0        5        1        0
26043 libcap-ng-utils                    	       6        1        5        0        0
26044 libcatch2-dev                      	       6        0        6        0        0
26045 libcg3-1                           	       6        0        0        0        6
26046 libcgi-formbuilder-perl            	       6        0        6        0        0
26047 libchardet1                        	       6        0        0        0        6
26048 libchi-perl                        	       6        0        6        0        0
26049 libchicken11                       	       6        0        0        0        6
26050 libckyapplet1                      	       6        0        0        0        6
26051 libclamav7                         	       6        0        0        0        6
26052 libclang-16-dev                    	       6        0        6        0        0
26053 libclass-measure-perl              	       6        0        6        0        0
26054 libclass-returnvalue-perl          	       6        1        5        0        0
26055 libclass-unload-perl               	       6        1        5        0        0
26056 libclosure-compiler-java           	       6        0        0        0        6
26057 libclutter-1.0-dev                 	       6        0        6        0        0
26058 libclutter-gtk-1.0-dev             	       6        0        6        0        0
26059 libcluttergesture-0.0.2-0          	       6        0        0        0        6
26060 libcob4t64                         	       6        0        0        0        6
26061 libcogl-pango-dev                  	       6        0        6        0        0
26062 libcollectdclient1                 	       6        0        0        0        6
26063 libcompfaceg1-dev                  	       6        0        6        0        0
26064 libcoroipcc4                       	       6        0        0        0        6
26065 libcpan-perl-releases-perl         	       6        0        5        1        0
26066 libcpgplot0                        	       6        0        0        0        6
26067 libcpuid15                         	       6        0        0        0        6
26068 libcsxcad0                         	       6        0        0        0        6
26069 libctl7                            	       6        0        0        0        6
26070 libcupt-common                     	       6        0        0        0        6
26071 libdb4.5                           	       6        0        0        0        6
26072 libdb5.1-java-jni                  	       6        0        0        0        6
26073 libdc1394-22-dev                   	       6        0        3        0        3
26074 libdcmtk18                         	       6        0        0        0        6
26075 libdevel-hide-perl                 	       6        1        5        0        0
26076 libdevel-patchperl-perl            	       6        0        6        0        0
26077 libdevel-profile-perl              	       6        0        5        1        0
26078 libdevil-dev                       	       6        0        6        0        0
26079 libdisplay-info1                   	       6        0        0        0        6
26080 libdisruptor-java                  	       6        0        0        0        6
26081 libdpkg-parse-perl                 	       6        0        6        0        0
26082 libdrm-amdgpu-dev                  	       6        0        6        0        0
26083 libdrumstick-alsa2t64              	       6        0        0        0        6
26084 libdrumstick-rt2t64                	       6        0        0        0        6
26085 libdrumstick-widgets2t64           	       6        0        0        0        6
26086 libdvbpsi7                         	       6        0        0        0        6
26087 libdwarf++0                        	       6        0        0        0        6
26088 libdynamite0                       	       6        0        0        0        6
26089 libebur128-dev                     	       6        0        6        0        0
26090 libecal-1.2-16                     	       6        0        0        0        6
26091 libeckit0d                         	       6        0        0        0        6
26092 libedata-cal-1.2-28                	       6        0        0        0        6
26093 libedataserverui-1.2-1             	       6        0        0        0        6
26094 libelf++0                          	       6        0        0        0        6
26095 libemeraldengine0t64               	       6        3        1        2        0
26096 libenchant-voikko                  	       6        0        0        0        6
26097 libestools-dev                     	       6        1        5        0        0
26098 libetpan17                         	       6        1        0        0        5
26099 libevent1                          	       6        0        0        0        6
26100 libexplain51                       	       6        0        0        0        6
26101 libfelix-framework-java-doc        	       6        0        0        0        6
26102 libfelix-main-java-doc             	       6        0        0        0        6
26103 libfelix-shell-java                	       6        0        0        0        6
26104 libfifechan0.1.5                   	       6        0        0        0        6
26105 libfixposix-dev                    	       6        0        6        0        0
26106 libflac++6                         	       6        0        0        0        6
26107 libflann1.9                        	       6        0        0        0        6
26108 libfonts-java-doc                  	       6        0        0        0        6
26109 libformula-java-doc                	       6        0        0        0        6
26110 libfreenect0.5                     	       6        0        6        0        0
26111 libfreeradius-client2              	       6        0        0        0        6
26112 libftdipp1-3                       	       6        0        0        0        6
26113 libgcc-10-dev-armel-cross          	       6        0        0        0        6
26114 libgcc-12-dev-riscv64-cross        	       6        0        0        0        6
26115 libgcc-s1-ppc64-cross              	       6        0        0        0        6
26116 libgcj17-awt                       	       6        0        0        0        6
26117 libgcrypt20-doc                    	       6        0        0        0        6
26118 libgdal30                          	       6        0        0        0        6
26119 libgdict-1.0-10                    	       6        0        0        0        6
26120 libgdict-1.0-6                     	       6        0        0        0        6
26121 libgdsii0                          	       6        0        0        0        6
26122 libgdu0                            	       6        0        0        0        6
26123 libgenders0                        	       6        0        0        0        6
26124 libgeos3.12.0                      	       6        0        0        0        6
26125 libgeos3.12.2                      	       6        0        0        0        6
26126 libgetopt-euclid-perl              	       6        0        6        0        0
26127 libgfortran-9-dev                  	       6        0        0        0        6
26128 libgfortran3-dbg                   	       6        0        5        0        1
26129 libghc-agda-dev                    	       6        1        5        0        0
26130 libghc-async-prof                  	       6        0        0        0        6
26131 libghc-boxes-dev                   	       6        1        5        0        0
26132 libghc-conduit-extra-prof          	       6        0        0        0        6
26133 libghc-conduit-prof                	       6        0        0        0        6
26134 libghc-data-hash-dev               	       6        1        5        0        0
26135 libghc-edit-distance-dev           	       6        1        5        0        0
26136 libghc-equivalence-dev             	       6        1        5        0        0
26137 libghc-gio-dev                     	       6        0        6        0        0
26138 libghc-gitrev-dev                  	       6        1        5        0        0
26139 libghc-glib-dev                    	       6        0        6        0        0
26140 libghc-murmur-hash-dev             	       6        1        5        0        0
26141 libghc-profunctors-dev             	       6        0        6        0        0
26142 libghc-regex-posix-dev             	       6        0        6        0        0
26143 libghc-stmonadtrans-dev            	       6        1        5        0        0
26144 libghc-streaming-commons-prof      	       6        0        0        0        6
26145 libghc-temporary-dev               	       6        0        6        0        0
26146 libghc-unliftio-core-prof          	       6        0        0        0        6
26147 libghc-uri-encode-dev              	       6        1        5        0        0
26148 libghc-utf8-string-prof            	       6        0        0        0        6
26149 libghc-void-dev                    	       6        0        6        0        0
26150 libghc-x11-xft-doc                 	       6        0        3        0        3
26151 libghc-xml-conduit-prof            	       6        0        0        0        6
26152 libgis-distance-perl               	       6        0        6        0        0
26153 libgit-version-compare-perl        	       6        0        6        0        0
26154 libgladeui-2-13t64                 	       6        0        0        0        6
26155 libglide3                          	       6        0        6        0        0
26156 libgmime-3.0-dev                   	       6        0        6        0        0
26157 libgmt6                            	       6        0        0        0        6
26158 libgnome-bluetooth10               	       6        0        0        0        6
26159 libgnome-panel3                    	       6        0        0        0        6
26160 libgnujaf-java                     	       6        0        0        0        6
26161 libgnunet0.19                      	       6        0        6        0        0
26162 libgnuradio-analog3.8.2            	       6        0        0        0        6
26163 libgnuradio-blocks3.8.2            	       6        0        0        0        6
26164 libgnuradio-digital3.8.2           	       6        0        0        0        6
26165 libgnuradio-limesdr3.0.1           	       6        0        0        0        6
26166 libgnuradio-osmosdr0.2.0t64        	       6        0        1        0        5
26167 libgnustep-base-dev                	       6        0        6        0        0
26168 libgoa-1.0-dev                     	       6        0        5        1        0
26169 libgomp1-mipsel-cross              	       6        0        0        0        6
26170 libgomp1-ppc64-cross               	       6        0        0        0        6
26171 libgomp1-x32-cross                 	       6        0        0        0        6
26172 libgooglepinyin0                   	       6        1        0        0        5
26173 libgpac12                          	       6        0        0        0        6
26174 libgpac12t64                       	       6        0        0        0        6
26175 libgpod-dev                        	       6        0        6        0        0
26176 libgrantlee5-dev                   	       6        0        6        0        0
26177 libgraph4                          	       6        0        0        0        6
26178 libgraphite2-utils                 	       6        0        6        0        0
26179 libgretl1                          	       6        0        0        0        6
26180 libgroup-service1t64               	       6        0        0        0        6
26181 libgrpc-dev                        	       6        0        5        1        0
26182 libgsasl-dev                       	       6        0        6        0        0
26183 libgtk1.2-common                   	       6        0        0        0        6
26184 libgtkgl2.0-1                      	       6        0        0        0        6
26185 libgtksourceview-4-dev             	       6        0        6        0        0
26186 libguichan-allegro-0.8.1-1v5       	       6        0        0        0        6
26187 libgutenprint-doc                  	       6        0        0        0        6
26188 libgutenprintui2-1                 	       6        0        0        0        6
26189 libgwengui-gtk2-0                  	       6        0        0        0        6
26190 libgwrap-runtime2                  	       6        0        0        0        6
26191 libhackrf-dev                      	       6        0        6        0        0
26192 libharfbuzz-bin                    	       6        0        6        0        0
26193 libhash-moreutils-perl             	       6        0        6        0        0
26194 libhdate1                          	       6        0        0        0        6
26195 libhtml-tidy-perl                  	       6        1        5        0        0
26196 libhtp2                            	       6        0        1        0        5
26197 libhttp-parser-xs-perl             	       6        0        2        0        4
26198 libhyphen-dev                      	       6        0        6        0        0
26199 libicapapi5                        	       6        0        1        0        5
26200 libiconv-hook1                     	       6        0        4        0        2
26201 libidn2-0-dev                      	       6        0        0        0        6
26202 libijs-dev                         	       6        0        6        0        0
26203 libimageclasses1                   	       6        0        0        0        6
26204 libio-prompt-tiny-perl             	       6        0        6        0        0
26205 libipmimonitoring6                 	       6        0        0        0        6
26206 libipset3                          	       6        0        0        0        6
26207 libiri-perl                        	       6        0        6        0        0
26208 libiscsi-dev                       	       6        0        6        0        0
26209 libiso9660-7                       	       6        0        0        0        6
26210 libitm1-ppc64-cross                	       6        0        0        0        6
26211 libitm1-x32-cross                  	       6        0        0        0        6
26212 libjackson2-dataformat-xml-java    	       6        0        0        0        6
26213 libjbig2dec0-dev                   	       6        0        6        0        0
26214 libjcommon-java-doc                	       6        0        0        0        6
26215 libjeromq-java                     	       6        0        0        0        6
26216 libjetty9-extra-java               	       6        0        0        0        6
26217 libjim0debian2                     	       6        0        0        0        6
26218 libjnr-ffi-java-doc                	       6        0        0        0        6
26219 libjnr-posix-java-doc              	       6        0        0        0        6
26220 libjs-chart.js                     	       6        0        0        0        6
26221 libjs-jquery-ui-docs               	       6        0        6        0        0
26222 libjs-moment-timezone              	       6        0        0        0        6
26223 libjsr311-api-java                 	       6        0        0        0        6
26224 libjtds-java                       	       6        0        0        0        6
26225 libjxl0.8                          	       6        0        1        0        5
26226 libkactivities-bin                 	       6        1        5        0        0
26227 libkadm5clnt-mit8                  	       6        0        0        0        6
26228 libkcal4                           	       6        0        6        0        0
26229 libkdb5-6                          	       6        0        0        0        6
26230 libkdegames6private6               	       6        0        0        0        6
26231 libkdtree++-dev                    	       6        0        0        0        6
26232 libkf5activities-dev               	       6        0        6        0        0
26233 libkf5akonadi-dev                  	       6        0        6        0        0
26234 libkf5declarative-doc              	       6        0        0        0        6
26235 libkf5dnssd-doc                    	       6        0        0        0        6
26236 libkf5kirigami2-doc                	       6        0        0        0        6
26237 libkf5mime-dev                     	       6        0        6        0        0
26238 libkf5plotting-dev                 	       6        0        6        0        0
26239 libkf5prison-dev                   	       6        0        6        0        0
26240 libkf5syntaxhighlighting-doc       	       6        0        0        0        6
26241 libkf5unitconversion-doc           	       6        0        0        0        6
26242 libkf5wayland-dev                  	       6        0        6        0        0
26243 libkimap4                          	       6        1        0        0        5
26244 libklu2                            	       6        0        0        0        6
26245 libkmahjongg6                      	       6        0        0        0        6
26246 libkmbox4                          	       6        1        0        0        5
26247 libkompareinterface6               	       6        0        0        0        6
26248 libkrossui4                        	       6        0        0        0        6
26249 libksgrd4                          	       6        1        0        0        5
26250 libktorrent5                       	       6        0        0        0        6
26251 libkwineffects1abi5                	       6        1        0        0        5
26252 libkworkspace4abi2                 	       6        1        0        0        5
26253 liblaf-widget-java                 	       6        0        0        0        6
26254 liblexical-persistence-perl        	       6        1        5        0        0
26255 liblimba0                          	       6        0        0        0        6
26256 liblimesuite20.10-1                	       6        0        0        0        6
26257 liblinear-dev                      	       6        0        6        0        0
26258 liblirc0t64                        	       6        1        1        0        4
26259 liblldb-19                         	       6        1        4        1        0
26260 liblocale-maketext-lexicon-perl    	       6        0        6        0        0
26261 liblocale-po-perl                  	       6        0        6        0        0
26262 liblsan0-ppc64-cross               	       6        0        0        0        6
26263 liblwp-protocol-socks-perl         	       6        0        6        0        0
26264 libm17n-dev                        	       6        1        5        0        0
26265 libmagics++-data                   	       6        0        0        0        6
26266 libmariadbclient-dev               	       6        0        2        0        4
26267 libmatio13                         	       6        0        0        0        6
26268 libmaven-common-artifact-filters-java	       6        0        0        0        6
26269 libmaven-dependency-tree-java      	       6        0        0        0        6
26270 libmaven-install-plugin-java       	       6        0        0        0        6
26271 libmaven-resolver-1.6-java         	       6        0        0        0        6
26272 libmedc-dev                        	       6        0        6        0        0
26273 libmediastreamer11                 	       6        0        2        0        4
26274 libmfx-dev                         	       6        0        6        0        0
26275 libmhash-dev                       	       6        0        6        0        0
26276 libmimetreeparser-data             	       6        0        0        0        6
26277 libminidjvu0                       	       6        0        0        0        6
26278 libmm14                            	       6        0        1        0        5
26279 libmongoc-1.0-0t64                 	       6        0        0        0        6
26280 libmono-web4.0-cil                 	       6        0        6        0        0
26281 libmoosex-arrayref-perl            	       6        0        6        0        0
26282 libmorph                           	       6        0        0        0        6
26283 libmovit5                          	       6        0        0        0        6
26284 libmp3splt0-mp3                    	       6        0        0        0        6
26285 libmp3splt0-ogg                    	       6        0        0        0        6
26286 libmspack-dev                      	       6        0        6        0        0
26287 libmuparser-dev                    	       6        0        6        0        0
26288 libmupdf-dev                       	       6        0        5        1        0
26289 libmutter-3-0                      	       6        0        1        0        5
26290 libmx-bin                          	       6        0        6        0        0
26291 libmx-common                       	       6        0        0        0        6
26292 libmygpo-qt1                       	       6        0        0        0        6
26293 libmygui-dev                       	       6        0        6        0        0
26294 libmygui.openglplatform0debian1v5  	       6        0        0        0        6
26295 libmysofa-utils                    	       6        0        6        0        0
26296 libmysql-java                      	       6        0        0        0        6
26297 libnagios-plugin-perl              	       6        2        4        0        0
26298 libnanoxml2-java                   	       6        0        0        0        6
26299 libncbi-ngs3                       	       6        0        0        0        6
26300 libncbi-vdb3                       	       6        0        0        0        6
26301 libncbi6                           	       6        0        0        0        6
26302 libnet1-dev                        	       6        0        6        0        0
26303 libnf2ff0                          	       6        0        0        0        6
26304 libnftnl4                          	       6        0        0        0        6
26305 libnginx-mod-http-cache-purge      	       6        2        4        0        0
26306 libnginx-mod-http-lua              	       6        2        4        0        0
26307 libnginx-mod-http-perl             	       6        2        4        0        0
26308 libnginx-mod-http-uploadprogress   	       6        2        4        0        0
26309 libnginx-mod-nchan                 	       6        2        4        0        0
26310 libngs-jni                         	       6        0        0        0        6
26311 libngspice0-dev                    	       6        0        6        0        0
26312 libnifticdf2                       	       6        0        0        0        6
26313 libnpth0-dev                       	       6        0        6        0        0
26314 libnss-db                          	       6        3        3        0        0
26315 libntlm0-dev                       	       6        0        5        1        0
26316 libobasis24.2-ooofonts             	       6        0        0        0        6
26317 libobasis6.4-ooofonts              	       6        0        0        0        6
26318 libobasis7.5-ooofonts              	       6        0        0        0        6
26319 libocct-draw-dev                   	       6        0        1        0        5
26320 libogg-ocaml                       	       6        0        4        1        1
26321 liboil0.3                          	       6        0        6        0        0
26322 libolm-dev                         	       6        0        6        0        0
26323 libomp5-14                         	       6        0        6        0        0
26324 libopencryptoki0                   	       6        0        1        0        5
26325 libopencv-superres4.5              	       6        0        0        0        6
26326 libopencv-superres406t64           	       6        0        0        0        6
26327 libopencv-videostab4.5             	       6        0        0        0        6
26328 libopencv-viz4.5                   	       6        0        0        0        6
26329 libopencv4.5-java                  	       6        0        0        0        6
26330 libopencv4.5-jni                   	       6        0        6        0        0
26331 libopenems0                        	       6        0        0        0        6
26332 libopenhpi3                        	       6        0        6        0        0
26333 libopenni2-dev                     	       6        0        6        0        0
26334 libopenslide-dev                   	       6        0        6        0        0
26335 liborbit2-dev                      	       6        0        6        0        0
26336 liborcania2.3                      	       6        0        0        0        6
26337 libortp15                          	       6        0        2        0        4
26338 libosgi-foundation-ee-java         	       6        0        0        0        6
26339 libosp-dev                         	       6        0        6        0        0
26340 libostree-dev                      	       6        0        5        1        0
26341 libow-3.2-4                        	       6        1        2        0        3
26342 libpam-cgroup                      	       6        0        0        0        6
26343 libpanel-applet0                   	       6        0        0        0        6
26344 libparanamer-java                  	       6        0        0        0        6
26345 libparboiled-java                  	       6        0        0        0        6
26346 libpasswdqc1                       	       6        1        1        0        4
26347 libpcp-gui2                        	       6        0        0        0        6
26348 libpcp-mmv1                        	       6        1        1        0        4
26349 libpcp-trace2                      	       6        0        0        0        6
26350 libpcp-web1                        	       6        1        1        0        4
26351 libpegdown-java                    	       6        0        0        0        6
26352 libpentaho-reporting-flow-engine-java-doc	       6        0        0        0        6
26353 libpeony3                          	       6        0        0        0        6
26354 libperlx-maybe-xs-perl             	       6        0        0        0        6
26355 libpinyin15                        	       6        0        1        0        5
26356 libpkcs11-dnie                     	       6        2        2        0        2
26357 libplack-middleware-fixmissingbodyinredirect-perl	       6        2        4        0        0
26358 libplasma-geolocation-interface4   	       6        0        0        0        6
26359 libplasmaclock4abi4                	       6        1        0        0        5
26360 libplexus-cli-java                 	       6        0        0        0        6
26361 libplexus-component-metadata-java  	       6        0        0        0        6
26362 libplplotcxx15                     	       6        0        0        0        6
26363 libpnglite-dev                     	       6        0        6        0        0
26364 libpocketsphinx-dev                	       6        0        6        0        0
26365 libpocojson80                      	       6        0        0        0        6
26366 libpocoutil80                      	       6        0        0        0        6
26367 libpodofo0.9.0                     	       6        0        0        0        6
26368 libportaudio0                      	       6        0        0        0        6
26369 libprocesscore4abi1                	       6        1        0        0        5
26370 libproj0                           	       6        0        0        0        6
26371 libpython2-dbg                     	       6        0        6        0        0
26372 libpython3.6-minimal               	       6        0        6        0        0
26373 libpython3.6-stdlib                	       6        0        6        0        0
26374 libqalculate-dev                   	       6        0        5        1        0
26375 libqcsxcad0                        	       6        0        0        0        6
26376 libqdox-java-doc                   	       6        0        0        0        6
26377 libqglviewer-dev-qt5               	       6        0        0        0        6
26378 libqglviewer-headers               	       6        1        5        0        0
26379 libqpdf-dev                        	       6        0        5        1        0
26380 libqscintilla2-l10n                	       6        0        0        0        6
26381 libqt5networkauth5-dev             	       6        0        6        0        0
26382 libqt5scintilla2-12v5              	       6        0        0        0        6
26383 libqt5scxml5                       	       6        0        0        0        6
26384 libqt5serviceframework5            	       6        0        0        0        6
26385 libqt6qmlnetwork6                  	       6        0        0        0        6
26386 libqt6remoteobjects6               	       6        0        0        0        6
26387 libqtruby4shared2                  	       6        0        0        0        6
26388 libquadmath0-x32-cross             	       6        0        0        0        6
26389 libquazip1-qt5-1                   	       6        1        1        0        4
26390 libradare2-5.0.0t64                	       6        0        0        0        6
26391 libraptor1                         	       6        0        0        0        6
26392 libraven0                          	       6        0        1        0        5
26393 librdf-trine-perl                  	       6        0        6        0        0
26394 librdmacm-dev                      	       6        0        5        1        0
26395 libreadline6-dev                   	       6        0        6        0        0
26396 librecode-dev                      	       6        0        6        0        0
26397 libreoffice-emailmerge             	       6        0        1        0        5
26398 libreoffice-help-ca                	       6        0        0        0        6
26399 libreoffice-l10n-eo                	       6        0        5        1        0
26400 libreoffice-l10n-ro                	       6        0        6        0        0
26401 libreoffice-l10n-sk                	       6        0        6        0        0
26402 libreoffice-l10n-zh-cn             	       6        0        5        1        0
26403 libreoffice7.5-ure                 	       6        0        0        0        6
26404 librepository-java-doc             	       6        0        0        0        6
26405 libretro-bsnes-mercury-performance 	       6        0        0        0        6
26406 libretro-nestopia                  	       6        0        0        0        6
26407 librime-bin                        	       6        0        6        0        0
26408 librime-data                       	       6        0        0        0        6
26409 librime1                           	       6        0        2        0        4
26410 librust-cexpr-dev                  	       6        0        5        1        0
26411 librust-clap-lex-dev               	       6        0        0        0        6
26412 librust-errno-dev                  	       6        0        0        0        6
26413 librust-fixedbitset-dev            	       6        0        0        0        6
26414 librust-gio-sys-dev                	       6        0        6        0        0
26415 librust-glib-dev                   	       6        0        0        0        6
26416 librust-lazycell-dev               	       6        0        0        0        6
26417 librust-linux-raw-sys-dev          	       6        0        0        0        6
26418 librust-minimal-lexical-dev        	       6        0        0        0        6
26419 librust-nom+std-dev                	       6        0        0        0        6
26420 librust-nom-dev                    	       6        0        0        0        6
26421 librust-pango-sys-dev              	       6        0        6        0        0
26422 librust-parking-lot-core+deadlock-detection-dev	       6        0        0        0        6
26423 librust-parking-lot-core+petgraph-dev	       6        0        0        0        6
26424 librust-parking-lot-core+thread-id-dev	       6        0        0        0        6
26425 librust-peeking-take-while-dev     	       6        0        0        0        6
26426 librust-petgraph-dev               	       6        0        0        0        6
26427 librust-pin-utils-dev              	       6        0        0        0        6
26428 librust-rustc-hash-dev             	       6        0        0        0        6
26429 librust-rustix-dev                 	       6        0        0        0        6
26430 librust-shlex-dev                  	       6        0        0        0        6
26431 librust-strsim-dev                 	       6        0        0        0        6
26432 librust-terminal-size-dev          	       6        0        0        0        6
26433 librust-thread-id-dev              	       6        0        0        0        6
26434 librust-unicase-dev                	       6        0        0        0        6
26435 librust-unicode-width-dev          	       6        0        0        0        6
26436 librust-which-dev                  	       6        0        0        0        6
26437 libschroedinger-dev                	       6        0        6        0        0
26438 libscope-upper-perl                	       6        0        0        0        6
26439 libsdl-console-dev                 	       6        0        6        0        0
26440 libsearpc1                         	       6        0        0        0        6
26441 libsecp256k1-dev                   	       6        0        6        0        0
26442 libserf-0-0                        	       6        0        0        0        6
26443 libserver-starter-perl             	       6        0        6        0        0
26444 libsexplib0-ocaml                  	       6        0        6        0        0
26445 libsharp0                          	       6        0        0        0        6
26446 libsitesummary-perl                	       6        1        5        0        0
26447 libsmokeqtdbus4-3                  	       6        0        0        0        6
26448 libsmokeqtopengl4-3                	       6        0        0        0        6
26449 libsmokeqtsql4-3                   	       6        0        0        0        6
26450 libsmokeqtsvg4-3                   	       6        0        0        0        6
26451 libsmokeqtxml4-3                   	       6        0        0        0        6
26452 libsmpeg-dev                       	       6        0        6        0        0
26453 libsoapysdr0.7                     	       6        0        0        0        6
26454 libsocialweb-service               	       6        0        6        0        0
26455 libsocketcan2                      	       6        0        0        0        6
26456 libsolv1                           	       6        0        0        0        6
26457 libsolvext1                        	       6        0        0        0        6
26458 libsoqt4-20                        	       6        0        0        0        6
26459 libsox-dev                         	       6        0        6        0        0
26460 libspatialindex5                   	       6        0        0        0        6
26461 libspatialindex8                   	       6        0        0        0        6
26462 libsphinxbase-dev                  	       6        0        6        0        0
26463 libspice-client-glib-2.0-dev       	       6        0        6        0        0
26464 libspng0                           	       6        0        1        0        5
26465 libsqlcipher-dev                   	       6        0        5        1        0
26466 libstdc++-10-dev-arm64-cross       	       6        0        6        0        0
26467 libstdc++-4.8-dev                  	       6        0        6        0        0
26468 libstdc++-7-dev                    	       6        0        5        0        1
26469 libstdc++6-4.4-dev                 	       6        0        6        0        0
26470 libstdc++6-ppc64-cross             	       6        0        0        0        6
26471 libstdc++6-x32-cross               	       6        0        0        0        6
26472 libstk-4.5.0                       	       6        0        0        0        6
26473 libstk-5.0.0                       	       6        0        0        0        6
26474 libsuper-perl                      	       6        0        6        0        0
26475 libsuperlu-doc                     	       6        0        0        0        6
26476 libsvm-dev                         	       6        0        6        0        0
26477 libsvtav1-dev                      	       6        0        5        1        0
26478 libswt-gtk-3-java                  	       6        0        6        0        0
26479 libsymspg2                         	       6        0        0        0        6
26480 libsystemd-dev                     	       6        0        6        0        0
26481 libt3config0                       	       6        0        0        0        6
26482 libt3highlight2                    	       6        0        0        0        6
26483 libtaskmanager4abi4                	       6        1        0        0        5
26484 libtemplate-plugin-gd-perl         	       6        0        6        0        0
26485 libtemplate-tiny-perl              	       6        1        5        0        0
26486 libtest-longstring-perl            	       6        0        6        0        0
26487 libtext-balanced-perl              	       6        2        4        0        0
26488 libtext-recordparser-perl          	       6        2        4        0        0
26489 libtext-simpletable-perl           	       6        1        5        0        0
26490 libtk-img-doc                      	       6        0        0        0        6
26491 libtk-tablematrix-perl             	       6        0        0        0        6
26492 libtomcrypt-dev                    	       6        0        6        0        0
26493 libtorsocks                        	       6        0        0        6        0
26494 libtotem-pg5                       	       6        0        0        0        6
26495 libtss2-tcti-libtpms0              	       6        0        0        0        6
26496 libtss2-tcti-spi-helper0           	       6        0        0        0        6
26497 libubertooth1                      	       6        0        0        0        6
26498 libubsan1-ppc64-cross              	       6        0        0        0        6
26499 libubsan1-x32-cross                	       6        0        0        0        6
26500 libulfius2.7                       	       6        0        0        0        6
26501 liburcu-dev                        	       6        0        6        0        0
26502 liburcu4                           	       6        0        0        0        6
26503 libusb3380-0t64                    	       6        0        0        0        6
26504 libusbguard1                       	       6        0        4        0        2
26505 libuwac0-0                         	       6        0        0        0        6
26506 libvarnishapi1                     	       6        0        0        0        6
26507 libvc0                             	       6        0        0        0        6
26508 libvirt-daemon-driver-storage-gluster	       6        2        2        0        2
26509 libvirt-daemon-driver-storage-rbd  	       6        2        2        0        2
26510 libvirt-login-shell                	       6        0        5        1        0
26511 libvkd3d-headers                   	       6        0        6        0        0
26512 libvldocking-java                  	       6        0        0        0        6
26513 libwcs5                            	       6        0        0        0        6
26514 libweather-ion6                    	       6        1        0        0        5
26515 libwebkitgtk-1.0-common            	       6        0        0        0        6
26516 libwebrtc-audio-processing-dev     	       6        0        6        0        0
26517 libwebsockets8                     	       6        0        1        0        5
26518 libweed0                           	       6        0        0        0        6
26519 libwhisker2-perl                   	       6        0        6        0        0
26520 libwlroots12t64                    	       6        0        1        0        5
26521 libwoff-dev                        	       6        0        6        0        0
26522 libwpd8c2a                         	       6        0        0        0        6
26523 libwraster3                        	       6        0        0        0        6
26524 libwxbase3.0-0                     	       6        0        0        0        6
26525 libwxsvg-dev                       	       6        0        6        0        0
26526 libx11-xcb-perl                    	       6        0        0        0        6
26527 libx264-124                        	       6        0        0        0        6
26528 libx264-152                        	       6        0        0        0        6
26529 libxaw3dxft8                       	       6        0        0        0        6
26530 libxcb-atom1                       	       6        0        0        0        6
26531 libxcb-aux0                        	       6        0        0        0        6
26532 libxcb-event1                      	       6        0        0        0        6
26533 libxcb-imdkit-dev                  	       6        0        6        0        0
26534 libxen-4.1                         	       6        0        0        0        6
26535 libxml-catalog-perl                	       6        0        6        0        0
26536 libxml-handler-trees-perl          	       6        0        6        0        0
26537 libxml-namespace-perl              	       6        0        6        0        0
26538 libxml-treebuilder-perl            	       6        0        6        0        0
26539 libxs-object-magic-perl            	       6        0        0        0        6
26540 libxtrxll0t64                      	       6        1        5        0        0
26541 libycadgraywolf1                   	       6        0        0        0        6
26542 libzinnia0                         	       6        0        0        0        6
26543 libzint2.11                        	       6        0        0        0        6
26544 libzita-resampler-dev              	       6        0        6        0        0
26545 lighttpd-modules-ldap              	       6        0        6        0        0
26546 lighttpd-modules-mysql             	       6        0        6        0        0
26547 linux-config-6.12                  	       6        0        0        0        6
26548 linux-doc-6.10                     	       6        0        0        0        6
26549 linux-headers-4.19.0-16-amd64      	       6        0        6        0        0
26550 linux-headers-4.19.0-21-amd64      	       6        0        6        0        0
26551 linux-headers-4.19.0-21-common     	       6        0        6        0        0
26552 linux-headers-4.19.0-23-common     	       6        0        6        0        0
26553 linux-headers-4.9.0-16-amd64       	       6        0        6        0        0
26554 linux-headers-4.9.0-16-common      	       6        0        6        0        0
26555 linux-headers-5.10.0-34-amd64      	       6        1        0        5        0
26556 linux-headers-5.10.0-34-common     	       6        1        0        5        0
26557 linux-headers-6.10.11-amd64        	       6        0        6        0        0
26558 linux-headers-6.10.11-common       	       6        0        6        0        0
26559 linux-headers-6.11.2-amd64         	       6        1        5        0        0
26560 linux-headers-6.11.2-common        	       6        1        5        0        0
26561 linux-headers-6.11.4-amd64         	       6        0        6        0        0
26562 linux-headers-6.11.4-common        	       6        0        6        0        0
26563 linux-headers-6.12.12+bpo-amd64    	       6        0        0        6        0
26564 linux-headers-6.12.12+bpo-common   	       6        0        0        6        0
26565 linux-headers-6.12.9-common        	       6        0        6        0        0
26566 linux-headers-6.5.0-0.deb12.4-amd64	       6        1        5        0        0
26567 linux-headers-6.5.0-0.deb12.4-common	       6        1        5        0        0
26568 linux-headers-vserver-4.4-beng     	       6        0        0        0        6
26569 linux-image-3.16.0-4-686-pae       	       6        0        5        0        1
26570 linux-image-5.10.0-0.bpo.5-amd64   	       6        0        6        0        0
26571 linux-image-5.10.0-0.bpo.7-amd64   	       6        0        6        0        0
26572 linux-image-6.0.0-0.deb11.6-amd64  	       6        0        6        0        0
26573 linux-image-6.1.0-0.deb11.7-amd64  	       6        0        6        0        0
26574 linux-image-6.1.0-14-amd64         	       6        0        6        0        0
26575 linux-image-6.1.0-28-686-pae       	       6        0        6        0        0
26576 linux-image-6.12.16-amd64          	       6        1        2        3        0
26577 linux-image-6.9.7-amd64            	       6        1        5        0        0
26578 linux-kbuild-5.8                   	       6        0        0        0        6
26579 linux-kbuild-6.10.11               	       6        0        0        0        6
26580 linux-kbuild-6.11.2                	       6        0        0        0        6
26581 linux-kbuild-6.11.4                	       6        0        0        0        6
26582 linux-kbuild-6.12.12+bpo           	       6        0        0        0        6
26583 linux-kbuild-6.12.9                	       6        0        0        0        6
26584 linux-kbuild-6.5.0-0.deb12.4       	       6        0        0        0        6
26585 linux-libc-dev-mipsel-cross        	       6        0        6        0        0
26586 linux-libc-dev-riscv64-cross       	       6        0        6        0        0
26587 linux-libc-dev-x32-cross           	       6        0        6        0        0
26588 linux-source-6.12                  	       6        0        0        0        6
26589 linuxvnc                           	       6        1        5        0        0
26590 live-manual-txt                    	       6        0        0        0        6
26591 lives                              	       6        0        6        0        0
26592 lives-data                         	       6        0        0        0        6
26593 lives-plugins                      	       6        0        6        0        0
26594 lld-13                             	       6        0        6        0        0
26595 lld-15                             	       6        0        6        0        0
26596 lldb-19                            	       6        0        4        2        0
26597 llvm-11-linker-tools               	       6        0        6        0        0
26598 llvm-3.8                           	       6        0        6        0        0
26599 llvm-3.8-dev                       	       6        0        6        0        0
26600 llvm-3.8-runtime                   	       6        0        6        0        0
26601 llvm-6.0                           	       6        0        6        0        0
26602 llvm-6.0-dev                       	       6        0        6        0        0
26603 llvm-6.0-runtime                   	       6        0        6        0        0
26604 lmbench                            	       6        0        6        0        0
26605 lsb-compat                         	       6        0        6        0        0
26606 lswm                               	       6        0        6        0        0
26607 lua-binaryheap                     	       6        0        0        0        6
26608 lua-doc                            	       6        0        0        0        6
26609 lua-fifo                           	       6        0        0        0        6
26610 lua-http                           	       6        0        0        0        6
26611 lua-logging                        	       6        0        0        0        6
26612 lua50                              	       6        0        6        0        0
26613 lugaru                             	       6        0        6        0        0
26614 luminance-hdr                      	       6        0        6        0        0
26615 lv2file                            	       6        0        6        0        0
26616 lv2proc                            	       6        0        6        0        0
26617 lwatch                             	       6        1        5        0        0
26618 madbomber                          	       6        1        5        0        0
26619 madbomber-data                     	       6        0        0        0        6
26620 mancala                            	       6        0        6        0        0
26621 mandelbulber2                      	       6        0        6        0        0
26622 mandelbulber2-data                 	       6        0        6        0        0
26623 mapcode                            	       6        0        6        0        0
26624 markdownpart                       	       6        0        0        0        6
26625 matchbox-keyboard                  	       6        1        5        0        0
26626 mate-equake-applet                 	       6        0        0        0        6
26627 mate-sntray-plugin                 	       6        1        5        0        0
26628 maxima-emacs                       	       6        0        6        0        0
26629 mazeofgalious                      	       6        1        5        0        0
26630 mazeofgalious-data                 	       6        0        0        0        6
26631 mdp                                	       6        0        6        0        0
26632 meep                               	       6        0        6        0        0
26633 mgba-sdl                           	       6        0        6        0        0
26634 mgetty-fax                         	       6        0        5        1        0
26635 mhddfs                             	       6        1        5        0        0
26636 miceamaze                          	       6        0        6        0        0
26637 midish                             	       6        1        5        0        0
26638 midisport-firmware                 	       6        0        6        0        0
26639 mii-diag                           	       6        0        6        0        0
26640 mimetex                            	       6        0        6        0        0
26641 minetest-mod-character-creator     	       6        0        0        0        6
26642 minetest-mod-ethereal              	       6        0        0        0        6
26643 minetest-mod-intllib               	       6        0        0        0        6
26644 minetest-mod-nether                	       6        0        0        0        6
26645 minetest-mod-quartz                	       6        0        0        0        6
26646 minetest-mod-unified-inventory     	       6        0        0        0        6
26647 mit-scheme-doc                     	       6        0        0        0        6
26648 miwm                               	       6        0        6        0        0
26649 mkchromecast-pulseaudio            	       6        0        0        0        6
26650 mkgmap-splitter                    	       6        0        5        1        0
26651 mm3d                               	       6        0        6        0        0
26652 modplug-tools                      	       6        0        6        0        0
26653 mokomaze                           	       6        0        6        0        0
26654 mongodb-org-database               	       6        0        0        0        6
26655 monkeys-audio                      	       6        0        6        0        0
26656 mono-jay                           	       6        1        5        0        0
26657 mrrescue                           	       6        0        6        0        0
26658 msort                              	       6        0        6        0        0
26659 mspdebug                           	       6        0        6        0        0
26660 mstflint                           	       6        0        6        0        0
26661 mtkbabel                           	       6        1        5        0        0
26662 mtx                                	       6        0        6        0        0
26663 mudita24                           	       6        0        6        0        0
26664 mullvad-browser                    	       6        1        4        1        0
26665 multimc                            	       6        0        0        0        6
26666 muttprint-manual                   	       6        0        0        0        6
26667 myautomount                        	       6        0        6        0        0
26668 myspell-fa                         	       6        0        0        0        6
26669 myspell-hy                         	       6        0        0        0        6
26670 mystiq                             	       6        0        6        0        0
26671 mythtv-common                      	       6        3        1        0        2
26672 mythtv-doc                         	       6        0        0        0        6
26673 naps2                              	       6        0        6        0        0
26674 natpmpc                            	       6        0        5        1        0
26675 nautilus-share                     	       6        0        0        0        6
26676 nbsdgames                          	       6        0        6        0        0
26677 ncbi-blast+-legacy                 	       6        0        6        0        0
26678 ncbi-vdb-data                      	       6        0        6        0        0
26679 ncdt                               	       6        1        5        0        0
26680 ncview                             	       6        0        6        0        0
26681 nec2c                              	       6        0        6        0        0
26682 neochat                            	       6        1        5        0        0
26683 netgen                             	       6        0        6        0        0
26684 netwag                             	       6        1        5        0        0
26685 network-manager-tde                	       6        2        4        0        0
26686 nlkt                               	       6        0        6        0        0
26687 nn                                 	       6        0        6        0        0
26688 node-immediate                     	       6        0        0        0        6
26689 node-jsonfile                      	       6        0        0        0        6
26690 node-sigmund                       	       6        0        0        0        6
26691 notion                             	       6        1        4        1        0
26692 novnc                              	       6        0        5        0        1
26693 nsd                                	       6        1        5        0        0
26694 nslint                             	       6        0        6        0        0
26695 ntfs2btrfs                         	       6        0        6        0        0
26696 nuitka                             	       6        1        5        0        0
26697 nullidentd                         	       6        2        4        0        0
26698 nut-snmp                           	       6        1        5        0        0
26699 nvidia-kernel-source               	       6        0        0        0        6
26700 nvidia-primus-vk-common            	       6        0        0        0        6
26701 nvidia-primus-vk-wrapper           	       6        0        0        0        6
26702 obconf-qt                          	       6        0        6        0        0
26703 obs-build                          	       6        0        5        1        0
26704 obs-gradient-source                	       6        0        0        0        6
26705 obs-source-clone                   	       6        0        0        0        6
26706 ocs-url                            	       6        0        6        0        0
26707 octave-struct                      	       6        0        0        0        6
26708 opalmod                            	       6        2        4        0        0
26709 openafs-client                     	       6        4        2        0        0
26710 openafs-modules-dkms               	       6        0        6        0        0
26711 opencpn                            	       6        0        6        0        0
26712 opencpn-data                       	       6        0        0        0        6
26713 openems                            	       6        0        6        0        0
26714 openfire                           	       6        0        0        0        6
26715 openjdk-10-jre-headless            	       6        0        6        0        0
26716 openjdk-21-doc                     	       6        0        0        0        6
26717 openrazer-driver-dkms              	       6        2        3        1        0
26718 openscad-testing-data              	       6        0        0        0        6
26719 openscenegraph                     	       6        0        6        0        0
26720 opensmtpd                          	       6        1        5        0        0
26721 openssl-blacklist-extra            	       6        0        0        0        6
26722 osdlyrics                          	       6        0        5        1        0
26723 osdsh                              	       6        0        6        0        0
26724 osinfo-db-tools                    	       6        0        6        0        0
26725 osmium-tool                        	       6        2        4        0        0
26726 osmosis                            	       6        1        5        0        0
26727 otp                                	       6        2        4        0        0
26728 owserver                           	       6        2        4        0        0
26729 pacemaker                          	       6        0        6        0        0
26730 pacemaker-cli-utils                	       6        0        6        0        0
26731 pacemaker-common                   	       6        0        0        0        6
26732 pacemaker-resource-agents          	       6        0        6        0        0
26733 packagekit-gtk3-module             	       6        0        0        0        6
26734 packer                             	       6        0        6        0        0
26735 pandoc-sidenote                    	       6        0        6        0        0
26736 parchives                          	       6        0        0        0        6
26737 passwdqc                           	       6        0        6        0        0
26738 pcp                                	       6        1        5        0        0
26739 pd-osc                             	       6        0        6        0        0
26740 pdf-presenter-console              	       6        0        6        0        0
26741 pdfsam-basic                       	       6        1        5        0        0
26742 peony-common                       	       6        0        0        0        6
26743 pep8                               	       6        0        6        0        0
26744 perlbrew                           	       6        0        6        0        0
26745 peruse                             	       6        0        6        0        0
26746 peruse-common                      	       6        0        0        0        6
26747 pg-activity                        	       6        1        5        0        0
26748 pgf                                	       6        0        0        0        6
26749 photoflare                         	       6        0        6        0        0
26750 photopc                            	       6        1        5        0        0
26751 php-doctrine-lexer                 	       6        0        5        1        0
26752 php-email-validator                	       6        0        5        1        0
26753 php-icinga                         	       6        1        5        0        0
26754 php-pclzip                         	       6        1        5        0        0
26755 php-random-compat                  	       6        1        5        0        0
26756 php-uuid                           	       6        0        0        0        6
26757 php5-imap                          	       6        2        4        0        0
26758 php5.6                             	       6        0        0        0        6
26759 php5.6-intl                        	       6        3        3        0        0
26760 php5.6-zip                         	       6        4        2        0        0
26761 php7.0-cgi                         	       6        0        6        0        0
26762 php7.0-pgsql                       	       6        3        3        0        0
26763 php7.0-pspell                      	       6        3        3        0        0
26764 php7.1-cli                         	       6        2        4        0        0
26765 php7.1-json                        	       6        2        4        0        0
26766 php7.1-opcache                     	       6        2        4        0        0
26767 php7.1-readline                    	       6        2        4        0        0
26768 php7.2-common                      	       6        1        5        0        0
26769 php7.3-pspell                      	       6        3        3        0        0
26770 php7.3-xsl                         	       6        0        0        0        6
26771 php7.4-imagick                     	       6        2        4        0        0
26772 php8.1-apcu                        	       6        2        4        0        0
26773 php8.1-imagick                     	       6        3        3        0        0
26774 php8.1-pspell                      	       6        1        5        0        0
26775 php8.2-http                        	       6        0        6        0        0
26776 php8.2-raphf                       	       6        1        5        0        0
26777 php8.2-uuid                        	       6        4        2        0        0
26778 php8.3-gmp                         	       6        2        4        0        0
26779 php8.3-pgsql                       	       6        2        4        0        0
26780 php8.4                             	       6        0        0        0        6
26781 php8.4-apcu                        	       6        4        2        0        0
26782 php8.4-phpdbg                      	       6        0        4        2        0
26783 php8.4-xml                         	       6        4        1        1        0
26784 php8.4-zip                         	       6        4        1        1        0
26785 phppgadmin                         	       6        1        5        0        0
26786 pi                                 	       6        0        6        0        0
26787 picolisp                           	       6        0        6        0        0
26788 pidgin-festival                    	       6        1        5        0        0
26789 pidgin-skype                       	       6        0        1        0        5
26790 pike8.0-dev                        	       6        0        6        0        0
26791 pilot-link                         	       6        1        5        0        0
26792 pinentry-fltk                      	       6        0        6        0        0
26793 pip-check-reqs                     	       6        0        6        0        0
26794 plan                               	       6        0        6        0        0
26795 plasma-calendar-addons             	       6        0        0        0        6
26796 plasma-discover-backend-snap       	       6        0        6        0        0
26797 plasma-gamemode                    	       6        0        4        0        2
26798 plater                             	       6        0        6        0        0
26799 plplot-driver-xwin                 	       6        0        0        0        6
26800 plymouth-x11                       	       6        0        0        0        6
26801 pnm2ppa                            	       6        0        0        0        6
26802 podget                             	       6        2        4        0        0
26803 podman-docker                      	       6        1        4        1        0
26804 polygen                            	       6        0        6        0        0
26805 polygen-data                       	       6        0        6        0        0
26806 postfix-cdb                        	       6        1        5        0        0
26807 postfix-policyd-spf-perl           	       6        5        1        0        0
26808 postgresql-14                      	       6        4        2        0        0
26809 postgresql-comparator              	       6        1        5        0        0
26810 pre-commit                         	       6        0        5        1        0
26811 prettyping                         	       6        1        5        0        0
26812 primus-nvidia                      	       6        0        0        0        6
26813 procmeter3                         	       6        1        5        0        0
26814 psi-plus-skins                     	       6        0        0        0        6
26815 psk31lx                            	       6        0        6        0        0
26816 pyqso                              	       6        0        6        0        0
26817 pysassc                            	       6        0        6        0        0
26818 python-alsaaudio                   	       6        0        6        0        0
26819 python-apt-doc                     	       6        0        0        0        6
26820 python-attr-doc                    	       6        0        0        0        6
26821 python-avahi                       	       6        1        5        0        0
26822 python-backports-abc               	       6        1        5        0        0
26823 python-backports-shutil-get-terminal-size	       6        0        6        0        0
26824 python-bson                        	       6        1        5        0        0
26825 python-chardet-whl                 	       6        0        0        0        6
26826 python-cheetah                     	       6        0        6        0        0
26827 python-colorama-whl                	       6        0        0        0        6
26828 python-defer                       	       6        0        6        0        0
26829 python-distlib-whl                 	       6        0        0        0        6
26830 python-distro                      	       6        0        6        0        0
26831 python-et-xmlfile                  	       6        1        5        0        0
26832 python-flask                       	       6        0        6        0        0
26833 python-flask-doc                   	       6        0        0        0        6
26834 python-gdata                       	       6        0        6        0        0
26835 python-geoip                       	       6        0        6        0        0
26836 python-gmpy                        	       6        0        6        0        0
26837 python-gpgme                       	       6        2        4        0        0
26838 python-gst0.10                     	       6        1        5        0        0
26839 python-html5lib-whl                	       6        0        0        0        6
26840 python-iniparse                    	       6        0        6        0        0
26841 python-itsdangerous                	       6        0        6        0        0
26842 python-jdcal                       	       6        2        4        0        0
26843 python-libxslt1                    	       6        0        6        0        0
26844 python-lzma                        	       6        0        6        0        0
26845 python-mlt                         	       6        0        6        0        0
26846 python-networkx                    	       6        0        6        0        0
26847 python-newt                        	       6        0        6        0        0
26848 python-nose-doc                    	       6        0        0        0        6
26849 python-nut                         	       6        1        5        0        0
26850 python-oauth                       	       6        1        5        0        0
26851 python-packaging                   	       6        0        6        0        0
26852 python-passlib                     	       6        0        5        0        1
26853 python-peewee-doc                  	       6        0        0        0        6
26854 python-pickleshare                 	       6        0        6        0        0
26855 python-prompt-toolkit              	       6        0        6        0        0
26856 python-pyexiv2                     	       6        0        6        0        0
26857 python-pyglet                      	       6        0        6        0        0
26858 python-pymongo                     	       6        1        5        0        0
26859 python-pyside2uic                  	       6        0        6        0        0
26860 python-requests-whl                	       6        0        0        0        6
26861 python-secretstorage-doc           	       6        0        0        0        6
26862 python-setuptools-whl              	       6        0        0        0        6
26863 python-six-whl                     	       6        0        0        0        6
26864 python-sphinx-rtd-theme            	       6        0        6        0        0
26865 python-tornado                     	       6        1        5        0        0
26866 python-traitlets                   	       6        0        6        0        0
26867 python-trollius                    	       6        0        6        0        0
26868 python-twisted-names               	       6        0        1        0        5
26869 python-urllib3-whl                 	       6        0        0        0        6
26870 python-wcwidth                     	       6        0        6        0        0
26871 python-wrapt                       	       6        0        6        0        0
26872 python-yubico-tools                	       6        0        6        0        0
26873 python3-all-dbg                    	       6        0        0        0        6
26874 python3-amqp                       	       6        0        6        0        0
26875 python3-applicationinsights        	       6        0        6        0        0
26876 python3-argh                       	       6        0        6        0        0
26877 python3-astor                      	       6        0        6        0        0
26878 python3-astropy-iers-data          	       6        0        6        0        0
26879 python3-azext-devops               	       6        0        6        0        0
26880 python3-azure-cli                  	       6        0        6        0        0
26881 python3-azure-cli-core             	       6        0        6        0        0
26882 python3-azure-cli-telemetry        	       6        0        6        0        0
26883 python3-azure-cosmos               	       6        0        6        0        0
26884 python3-azure-datalake-store       	       6        0        6        0        0
26885 python3-azure-multiapi-storage     	       6        0        6        0        0
26886 python3-babelfish                  	       6        0        6        0        0
26887 python3-bibtexparser               	       6        0        6        0        0
26888 python3-booleanoperations          	       6        0        6        0        0
26889 python3-capstone                   	       6        0        6        0        0
26890 python3-ceph-common                	       6        0        6        0        0
26891 python3-cheetah                    	       6        1        5        0        0
26892 python3-cliff                      	       6        0        6        0        0
26893 python3-cmd2                       	       6        0        5        1        0
26894 python3-convertdate                	       6        0        6        0        0
26895 python3-cvxopt                     	       6        0        6        0        0
26896 python3-dateparser                 	       6        0        6        0        0
26897 python3-dialog                     	       6        0        6        0        0
26898 python3-dput                       	       6        0        6        0        0
26899 python3-empy                       	       6        0        5        1        0
26900 python3-fife                       	       6        0        6        0        0
26901 python3-fitsio                     	       6        0        6        0        0
26902 python3-flatlatex                  	       6        2        4        0        0
26903 python3-gphoto2                    	       6        0        6        0        0
26904 python3-guessit                    	       6        0        6        0        0
26905 python3-identify                   	       6        0        5        1        0
26906 python3-imobiledevice              	       6        0        6        0        0
26907 python3-importlib-resources        	       6        0        6        0        0
26908 python3-javaproperties             	       6        0        6        0        0
26909 python3-jupyter-server             	       6        1        5        0        0
26910 python3-knack                      	       6        0        6        0        0
26911 python3-kombu                      	       6        0        6        0        0
26912 python3-libnmap                    	       6        1        5        0        0
26913 python3-lldb-19                    	       6        0        0        0        6
26914 python3-migrate                    	       6        0        6        0        0
26915 python3-mythtv                     	       6        1        3        2        0
26916 python3-num2words                  	       6        0        6        0        0
26917 python3-parameterized              	       6        0        6        0        0
26918 python3-pcp                        	       6        0        5        1        0
26919 python3-pydata-sphinx-theme        	       6        0        5        1        0
26920 python3-pykwalify                  	       6        0        6        0        0
26921 python3-pymeeus                    	       6        0        6        0        0
26922 python3-pymssql                    	       6        0        6        0        0
26923 python3-pyopencl                   	       6        0        5        1        0
26924 python3-pyotp                      	       6        1        5        0        0
26925 python3-pyproject-hooks            	       6        0        6        0        0
26926 python3-pyqt6.qtdesigner           	       6        0        3        3        0
26927 python3-pyqtbuild                  	       6        0        5        1        0
26928 python3-pysrt                      	       6        0        6        0        0
26929 python3-rangehttpserver            	       6        0        6        0        0
26930 python3-rbd                        	       6        0        6        0        0
26931 python3-readme-renderer            	       6        0        6        0        0
26932 python3-rebulk                     	       6        0        6        0        0
26933 python3-requestsexceptions         	       6        0        6        0        0
26934 python3-shodan                     	       6        1        5        0        0
26935 python3-soapysdr                   	       6        0        6        0        0
26936 python3-sphinx-inline-tabs         	       6        0        6        0        0
26937 python3-sphinxcontrib.apidoc       	       6        0        6        0        0
26938 python3-stdeb                      	       6        0        6        0        0
26939 python3-stdnum                     	       6        1        5        0        0
26940 python3-strictyaml                 	       6        0        6        0        0
26941 python3-tr                         	       6        0        6        0        0
26942 python3-uinput                     	       6        1        4        1        0
26943 python3-uncertainties              	       6        0        6        0        0
26944 python3-vcr                        	       6        0        6        0        0
26945 python3-vine                       	       6        0        6        0        0
26946 python3-webpy                      	       6        0        6        0        0
26947 python3-willow                     	       6        0        6        0        0
26948 python3-wxutils                    	       6        0        6        0        0
26949 python3-xarray                     	       6        0        6        0        0
26950 python3.2                          	       6        0        6        0        0
26951 python3.2-minimal                  	       6        0        6        0        0
26952 python3.6                          	       6        0        6        0        0
26953 python3.6-minimal                  	       6        0        6        0        0
26954 python3.8-minimal                  	       6        0        6        0        0
26955 python3.9-dbg                      	       6        0        5        1        0
26956 qapt-utils                         	       6        0        0        0        6
26957 qflow                              	       6        0        6        0        0
26958 qflow-tech-osu018                  	       6        0        0        0        6
26959 qflow-tech-osu035                  	       6        0        0        0        6
26960 qflow-tech-osu050                  	       6        0        0        0        6
26961 qml-module-org-kde-telepathy       	       6        0        2        0        4
26962 qml-module-qmltermwidget           	       6        0        0        0        6
26963 qml-module-qtwebview               	       6        0        0        0        6
26964 qml6-module-org-kde-games-core     	       6        0        0        0        6
26965 qml6-module-qmltime                	       6        0        0        0        6
26966 qml6-module-qtcharts               	       6        0        0        0        6
26967 qml6-module-qtnetwork              	       6        0        0        0        6
26968 qml6-module-qtquick-pdf            	       6        0        0        0        6
26969 qml6-module-qtwayland-client-texturesharing	       6        0        0        0        6
26970 qrouter                            	       6        0        6        0        0
26971 qt3d5-dev                          	       6        0        6        0        0
26972 qt5-ukui-platformtheme             	       6        0        0        0        6
26973 qtbase5-examples                   	       6        0        0        0        6
26974 qtdeclarative5-examples            	       6        0        0        0        6
26975 qtsystems5-dev                     	       6        0        6        0        0
26976 quake3                             	       6        0        6        0        0
26977 quickemu                           	       6        2        2        2        0
26978 r-bioc-graph                       	       6        1        5        0        0
26979 r-cran-amelia                      	       6        1        5        0        0
26980 r-cran-bayesfm                     	       6        1        5        0        0
26981 r-cran-bayestestr                  	       6        0        5        1        0
26982 r-cran-bbmisc                      	       6        0        6        0        0
26983 r-cran-biasedurn                   	       6        1        5        0        0
26984 r-cran-blme                        	       6        1        5        0        0
26985 r-cran-ca                          	       6        1        5        0        0
26986 r-cran-caic4                       	       6        1        5        0        0
26987 r-cran-chk                         	       6        1        5        0        0
26988 r-cran-coin                        	       6        1        5        0        0
26989 r-cran-cvar                        	       6        1        5        0        0
26990 r-cran-datawizard                  	       6        1        5        0        0
26991 r-cran-date                        	       6        0        6        0        0
26992 r-cran-dbscan                      	       6        1        5        0        0
26993 r-cran-dendextend                  	       6        1        5        0        0
26994 r-cran-dorng                       	       6        1        5        0        0
26995 r-cran-effectsize                  	       6        1        5        0        0
26996 r-cran-emdbook                     	       6        1        5        0        0
26997 r-cran-epir                        	       6        1        5        0        0
26998 r-cran-estimatr                    	       6        0        5        1        0
26999 r-cran-factominer                  	       6        1        5        0        0
27000 r-cran-fgarch                      	       6        1        5        0        0
27001 r-cran-flashclust                  	       6        1        5        0        0
27002 r-cran-flextable                   	       6        1        5        0        0
27003 r-cran-gbutils                     	       6        1        5        0        0
27004 r-cran-gfonts                      	       6        2        4        0        0
27005 r-cran-ggbeeswarm                  	       6        1        5        0        0
27006 r-cran-ggthemes                    	       6        1        5        0        0
27007 r-cran-gmp                         	       6        1        5        0        0
27008 r-cran-irdisplay                   	       6        1        5        0        0
27009 r-cran-leaps                       	       6        1        5        0        0
27010 r-cran-libcoin                     	       6        1        5        0        0
27011 r-cran-lsmeans                     	       6        1        5        0        0
27012 r-cran-matchit                     	       6        0        5        1        0
27013 r-cran-memisc                      	       6        1        5        0        0
27014 r-cran-mertools                    	       6        1        5        0        0
27015 r-cran-modeltools                  	       6        1        5        0        0
27016 r-cran-nfactors                    	       6        1        5        0        0
27017 r-cran-officer                     	       6        1        5        0        0
27018 r-cran-parameters                  	       6        1        5        0        0
27019 r-cran-performance                 	       6        1        5        0        0
27020 r-cran-poorman                     	       6        1        5        0        0
27021 r-cran-prediction                  	       6        1        5        0        0
27022 r-cran-repr                        	       6        1        5        0        0
27023 r-cran-rlrsim                      	       6        1        5        0        0
27024 r-cran-rms                         	       6        1        5        0        0
27025 r-cran-rsolnp                      	       6        1        5        0        0
27026 r-cran-v8                          	       6        1        5        0        0
27027 r-cran-vcd                         	       6        1        5        0        0
27028 r-cran-vipor                       	       6        1        5        0        0
27029 r-cran-writexl                     	       6        1        4        1        0
27030 rabbitvcs-cli                      	       6        1        5        0        0
27031 rails                              	       6        0        0        0        6
27032 raspell                            	       6        0        6        0        0
27033 rbdoom3bfg                         	       6        0        6        0        0
27034 rblcheck                           	       6        0        6        0        0
27035 rear                               	       6        0        6        0        0
27036 redland-utils                      	       6        0        6        0        0
27037 registry-tools                     	       6        0        5        1        0
27038 renrot                             	       6        0        6        0        0
27039 rep-doc                            	       6        0        0        0        6
27040 revolt                             	       6        0        6        0        0
27041 rhythmbox-doc                      	       6        0        0        0        6
27042 robotfindskitten                   	       6        0        6        0        0
27043 rocm-ocl-icd                       	       6        0        3        0        3
27044 rocm-opencl-icd-loader             	       6        1        3        0        2
27045 rolo                               	       6        0        6        0        0
27046 rott                               	       6        0        6        0        0
27047 rr                                 	       6        0        5        1        0
27048 rrootage-data                      	       6        0        0        0        6
27049 rss2email                          	       6        2        4        0        0
27050 ruby-actionpack-xml-parser         	       6        0        6        0        0
27051 ruby-afm                           	       6        0        5        1        0
27052 ruby-ascii85                       	       6        0        5        1        0
27053 ruby-binding-of-caller             	       6        0        6        0        0
27054 ruby-debug-inspector               	       6        0        3        0        3
27055 ruby-jekyll-coffeescript           	       6        0        6        0        0
27056 ruby-jekyll-gist                   	       6        0        6        0        0
27057 ruby-narray                        	       6        0        0        0        6
27058 ruby-ncurses                       	       6        0        5        1        0
27059 ruby-netrc                         	       6        0        6        0        0
27060 ruby-octokit                       	       6        0        6        0        0
27061 ruby-pdf-core                      	       6        0        5        1        0
27062 ruby-pg                            	       6        0        6        0        0
27063 ruby-posix-spawn                   	       6        0        6        0        0
27064 ruby-qt4                           	       6        0        6        0        0
27065 ruby-rack-proxy                    	       6        0        6        0        0
27066 ruby-rbpdf                         	       6        0        6        0        0
27067 ruby-rbpdf-font                    	       6        0        6        0        0
27068 ruby-rest-client                   	       6        0        6        0        0
27069 ruby-rrd                           	       6        0        0        0        6
27070 ruby-rspec-core                    	       6        0        6        0        0
27071 ruby-rspec-expectations            	       6        0        6        0        0
27072 ruby-rspec-mocks                   	       6        0        6        0        0
27073 ruby-rspec-support                 	       6        0        6        0        0
27074 ruby-sassc-rails                   	       6        0        6        0        0
27075 ruby-sawyer                        	       6        0        6        0        0
27076 ruby-semantic-range                	       6        0        6        0        0
27077 ruby-spring-watcher-listen         	       6        0        6        0        0
27078 ruby-webpacker                     	       6        0        6        0        0
27079 ruby2.3-dev                        	       6        0        6        0        0
27080 ruby2.5-dev                        	       6        0        6        0        0
27081 ruby2.5-doc                        	       6        0        6        0        0
27082 runit-sysv                         	       6        0        0        0        6
27083 s6-doc                             	       6        0        0        0        6
27084 safeeyes                           	       6        0        4        2        0
27085 saga                               	       6        1        5        0        0
27086 salt-common                        	       6        4        2        0        0
27087 samba-dev                          	       6        0        5        1        0
27088 sayonara                           	       6        0        6        0        0
27089 scangearmp2                        	       6        0        6        0        0
27090 sccache                            	       6        2        4        0        0
27091 scim-anthy                         	       6        1        3        0        2
27092 sdcv                               	       6        3        3        0        0
27093 sdf                                	       6        0        6        0        0
27094 seafile-daemon                     	       6        0        6        0        0
27095 shunit2                            	       6        0        6        0        0
27096 sidplay                            	       6        1        5        0        0
27097 silan                              	       6        0        6        0        0
27098 sketch-doc                         	       6        0        0        0        6
27099 slice                              	       6        0        6        0        0
27100 slirp                              	       6        0        5        1        0
27101 sm                                 	       6        0        6        0        0
27102 smpeg-plaympeg                     	       6        0        6        0        0
27103 snapclient                         	       6        1        5        0        0
27104 snmptrapd                          	       6        1        5        0        0
27105 soapyremote-server                 	       6        0        6        0        0
27106 soapysdr-module-rtlsdr             	       6        0        0        0        6
27107 soapysdr0.7-module-audio           	       6        0        0        0        6
27108 soapysdr0.7-module-rtlsdr          	       6        0        0        0        6
27109 softether-vpnclient                	       6        1        5        0        0
27110 softmaker-office-2024              	       6        1        4        1        0
27111 solarwolf                          	       6        0        6        0        0
27112 speakup-tools                      	       6        0        6        0        0
27113 sphinxtrain                        	       6        0        6        0        0
27114 spice-html5                        	       6        0        0        0        6
27115 splat                              	       6        0        6        0        0
27116 sq                                 	       6        0        6        0        0
27117 sqlite-doc                         	       6        0        0        0        6
27118 squidview                          	       6        1        5        0        0
27119 sse4.2-support                     	       6        0        0        0        6
27120 ssh-askpass-fullscreen             	       6        0        6        0        0
27121 st-stlink-udev-rules               	       6        0        0        0        6
27122 stardict                           	       6        0        0        0        6
27123 stardict-plugin-espeak             	       6        0        2        0        4
27124 stardict-plugin-festival           	       6        0        2        0        4
27125 stressant                          	       6        0        6        0        0
27126 sublime-merge                      	       6        0        6        0        0
27127 sunxi-tools                        	       6        0        6        0        0
27128 super                              	       6        1        5        0        0
27129 supercollider-language             	       6        0        6        0        0
27130 suricata                           	       6        1        5        0        0
27131 swiagent                           	       6        0        6        0        0
27132 swig4.0-doc                        	       6        0        0        0        6
27133 swish-e                            	       6        0        6        0        0
27134 syncthing-discosrv                 	       6        1        5        0        0
27135 synfig                             	       6        0        6        0        0
27136 syslog-ng-mod-extra                	       6        0        0        0        6
27137 syslog-ng-mod-getent               	       6        3        3        0        0
27138 syslog-ng-mod-map-value-pairs      	       6        3        3        0        0
27139 tabix                              	       6        0        6        0        0
27140 tar-scripts                        	       6        0        6        0        0
27141 tarlz                              	       6        0        6        0        0
27142 task-catalan                       	       6        0        0        0        6
27143 task-finnish-desktop               	       6        0        0        0        6
27144 task-korean-desktop                	       6        0        0        0        6
27145 task-polish-kde-desktop            	       6        0        0        0        6
27146 tcl-udp                            	       6        0        0        0        6
27147 tcliis                             	       6        0        0        0        6
27148 tdc                                	       6        0        6        0        0
27149 tde-i18n-de-trinity                	       6        1        4        0        1
27150 tde-style-qtcurve-trinity          	       6        0        6        0        0
27151 teensy-loader-cli                  	       6        0        6        0        0
27152 terminal.app                       	       6        0        6        0        0
27153 terminfo                           	       6        0        6        0        0
27154 terraform                          	       6        0        6        0        0
27155 texlive-omega                      	       6        0        3        0        3
27156 textdraw                           	       6        1        5        0        0
27157 thefuck                            	       6        0        6        0        0
27158 thunderbolt-tools                  	       6        0        5        1        0
27159 titanion-data                      	       6        0        0        0        6
27160 tk-brief                           	       6        0        6        0        0
27161 tkabber                            	       6        2        4        0        0
27162 tkblt-dev                          	       6        0        6        0        0
27163 tla                                	       6        0        6        0        0
27164 tla-doc                            	       6        0        0        0        6
27165 tlf                                	       6        0        6        0        0
27166 tofi                               	       6        0        6        0        0
27167 toga2                              	       6        0        6        0        0
27168 tomboy                             	       6        0        6        0        0
27169 tomboy-ng                          	       6        1        5        0        0
27170 tomcat8                            	       6        0        0        0        6
27171 tomcat8-common                     	       6        4        2        0        0
27172 tpm2-abrmd                         	       6        0        6        0        0
27173 tradingview                        	       6        0        0        0        6
27174 trueprint                          	       6        0        6        0        0
27175 tstools                            	       6        1        5        0        0
27176 ttf-baekmuk                        	       6        0        0        0        6
27177 ttf-kochi-mincho                   	       6        0        0        0        6
27178 ttf-tiresias                       	       6        0        0        0        6
27179 ttf-ubuntu-font-family             	       6        0        0        0        6
27180 ttf2ufm                            	       6        1        5        0        0
27181 ttfautohint                        	       6        1        5        0        0
27182 tth-common                         	       6        2        4        0        0
27183 tuptime                            	       6        0        6        0        0
27184 typora                             	       6        0        5        1        0
27185 u2f-host                           	       6        0        6        0        0
27186 ucspi-unix                         	       6        0        6        0        0
27187 udptunnel                          	       6        1        5        0        0
27188 uefitool-cli                       	       6        0        5        1        0
27189 uim-anthy                          	       6        0        0        0        6
27190 ukui-polkit                        	       6        0        1        0        5
27191 ukui-themes                        	       6        0        0        0        6
27192 unclutter-xfixes                   	       6        1        5        0        0
27193 unifdef                            	       6        0        6        0        0
27194 unityhub                           	       6        0        5        1        0
27195 uniutils                           	       6        1        5        0        0
27196 vagrant-sshfs                      	       6        0        6        0        0
27197 vboot-utils                        	       6        0        6        0        0
27198 vdirsyncer-doc                     	       6        0        0        0        6
27199 verse                              	       6        0        6        0        0
27200 vim-icinga2                        	       6        0        0        0        6
27201 vim-julia                          	       6        0        0        0        6
27202 virtuoso-opensource-6.1-bin        	       6        0        6        0        0
27203 virtuoso-opensource-6.1-common     	       6        0        6        0        0
27204 vivaldi-snapshot                   	       6        0        6        0        0
27205 vlc-plugin-pulse                   	       6        0        0        0        6
27206 vlevel                             	       6        0        6        0        0
27207 vmfs6-tools                        	       6        0        6        0        0
27208 vokoscreen                         	       6        0        2        0        4
27209 volatility-tools                   	       6        1        5        0        0
27210 vtwm                               	       6        2        4        0        0
27211 w3-recs                            	       6        0        0        0        6
27212 wavbreaker                         	       6        0        6        0        0
27213 wayvnc                             	       6        1        5        0        0
27214 wcslib-dev                         	       6        0        6        0        0
27215 webext-dav4tbsync                  	       6        3        3        0        0
27216 webext-https-everywhere            	       6        0        0        0        6
27217 webext-tbsync                      	       6        3        3        0        0
27218 weborf                             	       6        0        6        0        0
27219 wev                                	       6        0        6        0        0
27220 wicd-cli                           	       6        1        5        0        0
27221 wikipedia2text                     	       6        0        6        0        0
27222 wine32-development                 	       6        0        6        0        0
27223 wizznic                            	       6        0        6        0        0
27224 wizznic-data                       	       6        0        0        0        6
27225 wmacpi                             	       6        2        4        0        0
27226 wmbiff                             	       6        1        5        0        0
27227 wmbutton                           	       6        1        5        0        0
27228 wmcube                             	       6        1        5        0        0
27229 wmdrawer                           	       6        0        6        0        0
27230 wmtime                             	       6        1        5        0        0
27231 wmtop                              	       6        0        6        0        0
27232 wpd2odt                            	       6        2        4        0        0
27233 wsynth-dssi                        	       6        0        6        0        0
27234 wtype                              	       6        0        6        0        0
27235 wx3.0-examples                     	       6        0        6        0        0
27236 x2gokdriveclient                   	       6        0        6        0        0
27237 xawtv-plugin-qt                    	       6        0        0        0        6
27238 xblast-tnt-images                  	       6        0        0        0        6
27239 xdg-utils-cxx                      	       6        0        1        0        5
27240 xen-tools                          	       6        0        6        0        0
27241 xfonts-bitmap-mule                 	       6        0        0        0        6
27242 xfrisk                             	       6        0        6        0        0
27243 xmille                             	       6        0        6        0        0
27244 xmind-vana                         	       6        0        6        0        0
27245 xmldiff                            	       6        0        6        0        0
27246 xmms2-plugin-gvfs                  	       6        1        5        0        0
27247 xmms2-plugin-smb                   	       6        1        5        0        0
27248 xorg-server-source                 	       6        0        0        0        6
27249 xpmutils                           	       6        1        5        0        0
27250 xracer                             	       6        0        6        0        0
27251 xresprobe                          	       6        0        6        0        0
27252 xserver-xorg-amdgpu-video-amdgpu   	       6        1        5        0        0
27253 xserver-xorg-input-mtrack          	       6        0        6        0        0
27254 xserver-xorg-input-synaptics-dev   	       6        0        6        0        0
27255 xtrs                               	       6        0        6        0        0
27256 xwit                               	       6        0        6        0        0
27257 yabasic                            	       6        0        6        0        0
27258 yabause                            	       6        0        0        0        6
27259 ykcs11                             	       6        0        0        0        6
27260 yorick                             	       6        0        6        0        0
27261 yorick-data                        	       6        0        0        0        6
27262 yorick-z                           	       6        0        6        0        0
27263 youtube-to-mp3                     	       6        0        0        0        6
27264 zcfan                              	       6        1        5        0        0
27265 zgen                               	       6        0        0        0        6
27266 zint                               	       6        0        6        0        0
27267 zita-at1                           	       6        0        6        0        0
27268 zita-rev1                          	       6        0        6        0        0
27269 zoxide                             	       6        1        4        1        0
27270 aacplusenc                         	       5        0        5        0        0
27271 abi-dumper                         	       5        1        4        0        0
27272 abr2gbr                            	       5        0        5        0        0
27273 acl2                               	       5        0        5        0        0
27274 acl2-books                         	       5        0        5        0        0
27275 acl2-books-certs                   	       5        0        5        0        0
27276 acl2-books-source                  	       5        0        5        0        0
27277 acl2-source                        	       5        0        0        0        5
27278 acm                                	       5        0        5        0        0
27279 acme                               	       5        0        5        0        0
27280 acme-tiny                          	       5        0        5        0        0
27281 acpidump                           	       5        0        0        0        5
27282 aegisub-l10n                       	       5        0        0        0        5
27283 aewan                              	       5        0        5        0        0
27284 afdko-bin                          	       5        0        0        0        5
27285 aiksaurus                          	       5        1        4        0        0
27286 airspyhf                           	       5        0        5        0        0
27287 alarm-clock-applet-gconf-migration 	       5        1        4        0        0
27288 alienblaster                       	       5        0        5        0        0
27289 alienblaster-data                  	       5        0        0        0        5
27290 alkimia-bin                        	       5        0        4        1        0
27291 alsaplayer-nas                     	       5        0        0        0        5
27292 amdgcn-tools                       	       5        0        5        0        0
27293 amideco                            	       5        1        4        0        0
27294 amiga-fdisk-cross                  	       5        1        4        0        0
27295 amiwm                              	       5        0        5        0        0
27296 amphetamine                        	       5        0        5        0        0
27297 amphetamine-data                   	       5        0        0        0        5
27298 android-libselinux                 	       5        0        0        0        5
27299 android-sdk-platform-23            	       5        0        0        0        5
27300 android-tools-adbd                 	       5        0        5        0        0
27301 anjuta                             	       5        0        5        0        0
27302 antigravitaattori                  	       5        0        5        0        0
27303 aosd-cat                           	       5        1        4        0        0
27304 apertium-lex-tools                 	       5        0        5        0        0
27305 apfsprogs                          	       5        0        4        1        0
27306 api-sanity-checker                 	       5        0        5        0        0
27307 apostrophe                         	       5        0        5        0        0
27308 aptitude-doc-es                    	       5        0        0        0        5
27309 aptitude-doc-fr                    	       5        0        0        0        5
27310 ardentryst                         	       5        0        5        0        0
27311 ares                               	       5        0        5        0        0
27312 argyll-doc                         	       5        0        0        0        5
27313 aspell-ar                          	       5        0        5        0        0
27314 aspell-ar-large                    	       5        0        5        0        0
27315 aspell-gl-minimos                  	       5        0        5        0        0
27316 aspic                              	       5        2        3        0        0
27317 asr-manpages                       	       5        0        0        0        5
27318 asylum                             	       5        0        5        0        0
27319 asylum-data                        	       5        0        0        0        5
27320 atomic                             	       5        0        0        0        5
27321 audmes                             	       5        0        4        1        0
27322 ausweisapp2                        	       5        0        5        0        0
27323 auto-apt                           	       5        1        4        0        0
27324 autokey-qt                         	       5        0        5        0        0
27325 awardeco                           	       5        1        4        0        0
27326 b3sum                              	       5        1        4        0        0
27327 backup-manager                     	       5        0        5        0        0
27328 ballz                              	       5        0        5        0        0
27329 ballz-data                         	       5        0        0        0        5
27330 banshee                            	       5        0        5        0        0
27331 bareos-bconsole                    	       5        1        4        0        0
27332 bashburn                           	       5        1        4        0        0
27333 bbrun                              	       5        0        5        0        0
27334 beets-doc                          	       5        0        0        0        5
27335 bibtexconv                         	       5        0        5        0        0
27336 bindgen                            	       5        0        5        0        0
27337 binutils-hppa64-linux-gnu          	       5        0        5        0        0
27338 binutils-powerpc-linux-gnu         	       5        0        4        1        0
27339 bisonc++                           	       5        0        5        0        0
27340 bitcoin-qt                         	       5        1        4        0        0
27341 bittorrent                         	       5        1        4        0        0
27342 bitwig-studio                      	       5        1        4        0        0
27343 bliss                              	       5        0        5        0        0
27344 bloboats                           	       5        0        5        0        0
27345 bluej                              	       5        0        5        0        0
27346 bochs-term                         	       5        0        2        0        3
27347 bootp                              	       5        0        5        0        0
27348 bosh                               	       5        0        5        0        0
27349 bossa-cli                          	       5        0        5        0        0
27350 botan                              	       5        0        5        0        0
27351 bpftrace                           	       5        0        5        0        0
27352 brgenml1cupswrapper                	       5        0        0        0        5
27353 brightd                            	       5        0        5        0        0
27354 btag                               	       5        0        5        0        0
27355 btrbk                              	       5        0        5        0        0
27356 buzztrax                           	       5        0        5        0        0
27357 bwa                                	       5        0        5        0        0
27358 c++-annotations                    	       5        0        5        0        0
27359 c++-annotations-dvi                	       5        0        0        0        5
27360 c++-annotations-latex              	       5        0        0        0        5
27361 c++-annotations-ps                 	       5        0        0        0        5
27362 c++-annotations-txt                	       5        0        0        0        5
27363 cabal-debian                       	       5        0        5        0        0
27364 cadence-data                       	       5        0        0        0        5
27365 cairo-dock-animated-icons-plug-in  	       5        1        1        0        3
27366 cairo-dock-clock-plug-in           	       5        1        1        0        3
27367 cairo-dock-powermanager-plug-in    	       5        1        1        0        3
27368 cairo-dock-weather-plug-in         	       5        1        1        0        3
27369 callaudiod                         	       5        1        4        0        0
27370 capnproto                          	       5        0        5        0        0
27371 casacore-data                      	       5        0        0        0        5
27372 casacore-data-igrf                 	       5        0        0        0        5
27373 casacore-data-jpl-de200            	       5        0        0        0        5
27374 casacore-data-jpl-de405            	       5        0        0        0        5
27375 casacore-data-lines                	       5        0        0        0        5
27376 casacore-data-observatories        	       5        0        0        0        5
27377 casacore-data-sources              	       5        0        0        0        5
27378 casacore-data-tai-utc              	       5        0        5        0        0
27379 cbatticon                          	       5        1        3        1        0
27380 ccextractor                        	       5        1        4        0        0
27381 ccls                               	       5        0        5        0        0
27382 cdcd                               	       5        0        5        0        0
27383 cdebconf-gtk                       	       5        0        5        0        0
27384 cecilia                            	       5        0        5        0        0
27385 certspotter                        	       5        0        5        0        0
27386 chiark-utils-bin                   	       5        1        4        0        0
27387 chuck                              	       5        0        5        0        0
27388 ckeditor                           	       5        0        4        0        1
27389 cl-asdf-flv                        	       5        0        0        0        5
27390 cl-babel                           	       5        0        0        0        5
27391 cl-cffi                            	       5        0        5        0        0
27392 cl-trivial-features                	       5        0        0        0        5
27393 cl-unicode                         	       5        0        0        0        5
27394 clamav-cvdupdate                   	       5        0        5        0        0
27395 clang-10                           	       5        0        5        0        0
27396 clang-14-doc                       	       5        0        5        0        0
27397 clang-16-doc                       	       5        0        5        0        0
27398 clang-19-doc                       	       5        0        0        0        5
27399 clang-3.8                          	       5        0        5        0        0
27400 clang-format-11                    	       5        0        5        0        0
27401 clang-format-13                    	       5        0        5        0        0
27402 clang-tools-15                     	       5        0        5        0        0
27403 clang-tools-16                     	       5        1        4        0        0
27404 clangd-16                          	       5        1        4        0        0
27405 clips                              	       5        0        5        0        0
27406 clips-common                       	       5        0        0        0        5
27407 cloud-init                         	       5        1        4        0        0
27408 cndrvcups-capt                     	       5        0        5        0        0
27409 codec2                             	       5        0        3        0        2
27410 codequery                          	       5        0        4        1        0
27411 coffeescript-doc                   	       5        0        0        0        5
27412 coinor-libipopt1v5                 	       5        0        0        0        5
27413 comixcursors-lefthanded            	       5        0        0        0        5
27414 comixcursors-righthanded-opaque    	       5        0        0        0        5
27415 courier-pop                        	       5        2        3        0        0
27416 covered                            	       5        0        5        0        0
27417 coz-profiler                       	       5        0        5        0        0
27418 cpp-14-aarch64-linux-gnu           	       5        0        5        0        0
27419 cpp-14-arm-linux-gnueabihf         	       5        0        5        0        0
27420 cpp-5                              	       5        0        5        0        0
27421 cpp-8-arm-linux-gnueabihf          	       5        0        5        0        0
27422 cpp-mips-linux-gnu                 	       5        0        5        0        0
27423 cpp-powerpc-linux-gnu              	       5        0        5        0        0
27424 cpp-powerpc64-linux-gnu            	       5        0        5        0        0
27425 cppreference-doc-en-qch            	       5        0        0        0        5
27426 crafty-books-medtosmall            	       5        0        0        0        5
27427 crashme                            	       5        1        4        0        0
27428 crimson                            	       5        0        5        0        0
27429 crossfire-maps                     	       5        0        0        0        5
27430 csmith                             	       5        2        3        0        0
27431 ctsim-doc                          	       5        0        0        0        5
27432 ctwm                               	       5        0        5        0        0
27433 cube2-data                         	       5        0        5        0        0
27434 cuda-cccl-12-6                     	       5        0        3        1        1
27435 cuda-crt-12-6                      	       5        0        3        1        1
27436 cuda-cudart-12-6                   	       5        0        0        0        5
27437 cuda-cudart-dev-12-6               	       5        0        4        1        0
27438 cuda-cupti-12-6                    	       5        0        3        1        1
27439 cuda-cupti-dev-12-6                	       5        0        3        1        1
27440 cuda-driver-dev-12-6               	       5        0        4        1        0
27441 cuda-nvcc-12-6                     	       5        0        3        1        1
27442 cuda-nvprof-12-6                   	       5        0        4        1        0
27443 cuda-nvvm-12-6                     	       5        0        3        1        1
27444 cuda-profiler-api-12-6             	       5        0        3        1        1
27445 cuda-toolkit-12-6-config-common    	       5        0        0        0        5
27446 cups-x2go                          	       5        0        5        0        0
27447 cvs-buildpackage                   	       5        0        5        0        0
27448 cynthiune.app                      	       5        1        4        0        0
27449 cyrus-sasl2-doc                    	       5        0        0        0        5
27450 dadadodo                           	       5        0        5        0        0
27451 db4.8-util                         	       5        0        5        0        0
27452 dbench                             	       5        0        5        0        0
27453 dbvis                              	       5        2        2        0        1
27454 dcm2niix                           	       5        0        5        0        0
27455 debian-cd                          	       5        0        0        0        5
27456 debian-edu-artwork-buster          	       5        1        4        0        0
27457 debian-edu-artwork-emerald         	       5        0        5        0        0
27458 debram-data                        	       5        0        0        0        5
27459 deepin-calculator                  	       5        0        5        0        0
27460 deepin-movie                       	       5        0        5        0        0
27461 deepin-terminal                    	       5        0        5        0        0
27462 dfcgen-gtk                         	       5        0        5        0        0
27463 dh-cmake                           	       5        0        5        0        0
27464 dh-virtualenv                      	       5        1        4        0        0
27465 dia-gnome                          	       5        0        0        0        5
27466 diatheke                           	       5        0        5        0        0
27467 dict-freedict-eng-swe              	       5        0        0        0        5
27468 dict-freedict-fra-deu              	       5        0        0        0        5
27469 dict-freedict-lat-eng              	       5        0        0        0        5
27470 dictfmt                            	       5        2        3        0        0
27471 digimend-dkms                      	       5        0        5        0        0
27472 directx-headers-dev                	       5        0        5        0        0
27473 dis51                              	       5        0        4        1        0
27474 distrobox                          	       5        0        5        0        0
27475 dmrconfig                          	       5        0        5        0        0
27476 doc-linux-fr-text                  	       5        0        0        0        5
27477 docbook-xsl-doc-text               	       5        0        0        0        5
27478 docdiff                            	       5        0        5        0        0
27479 docker-buildx                      	       5        0        0        0        5
27480 dolphin-dev                        	       5        0        0        0        5
27481 dolphin-owncloud                   	       5        0        1        0        4
27482 dosbox-debug                       	       5        1        4        0        0
27483 dose-distcheck                     	       5        0        4        1        0
27484 doxygen2man                        	       5        0        5        0        0
27485 dsh                                	       5        0        5        0        0
27486 dssi-dev                           	       5        0        5        0        0
27487 dump1090-mutability                	       5        0        5        0        0
27488 dumpasn1                           	       5        0        5        0        0
27489 dumpet                             	       5        0        5        0        0
27490 dumphd                             	       5        1        4        0        0
27491 durep                              	       5        0        5        0        0
27492 dvblast                            	       5        0        5        0        0
27493 dvd-slideshow                      	       5        0        5        0        0
27494 dvdstyler                          	       5        0        5        0        0
27495 dvorak7min                         	       5        0        5        0        0
27496 dynamite                           	       5        0        5        0        0
27497 e2fslibs-dev                       	       5        0        0        0        5
27498 e2ps                               	       5        0        5        0        0
27499 ecj-gcj                            	       5        0        5        0        0
27500 edb-debugger                       	       5        1        4        0        0
27501 eduvpn-client                      	       5        0        5        0        0
27502 eduvpn-client-data                 	       5        0        0        0        5
27503 eiciel                             	       5        1        4        0        0
27504 ejabberd                           	       5        1        4        0        0
27505 elixir                             	       5        0        5        0        0
27506 elpa-auto-complete                 	       5        3        2        0        0
27507 elpa-avy                           	       5        0        5        0        0
27508 elpa-compat                        	       5        1        3        1        0
27509 elpa-dockerfile-mode               	       5        2        3        0        0
27510 elpa-dpkg-dev-el                   	       5        2        3        0        0
27511 elpa-elfeed                        	       5        0        4        1        0
27512 elpa-esxml                         	       5        2        3        0        0
27513 elpa-exwm                          	       5        0        5        0        0
27514 elpa-gnuplot-mode                  	       5        2        3        0        0
27515 elpa-hydra                         	       5        0        5        0        0
27516 elpa-imenu-list                    	       5        1        4        0        0
27517 elpa-ivy                           	       5        0        5        0        0
27518 elpa-js2-mode                      	       5        1        4        0        0
27519 elpa-meson-mode                    	       5        1        4        0        0
27520 elpa-paredit                       	       5        1        3        1        0
27521 elpa-undo-tree                     	       5        0        5        0        0
27522 elpa-vterm                         	       5        1        4        0        0
27523 elpa-xelb                          	       5        0        5        0        0
27524 engauge-digitizer-doc              	       5        0        0        0        5
27525 erlang-base64url                   	       5        0        4        1        0
27526 erlang-idna                        	       5        1        3        1        0
27527 erlang-nox                         	       5        0        0        0        5
27528 erlang-p1-pgsql                    	       5        0        5        0        0
27529 erlang-p1-pkix                     	       5        1        4        0        0
27530 erlang-p1-xmpp                     	       5        1        4        0        0
27531 erlang-unicode-util-compat         	       5        1        3        1        0
27532 erlang-yaws                        	       5        1        4        0        0
27533 esmtp                              	       5        0        5        0        0
27534 euler-doc                          	       5        0        5        0        0
27535 evolvotron                         	       5        0        5        0        0
27536 extractpdfmark                     	       5        0        5        0        0
27537 f3d                                	       5        0        5        0        0
27538 falselogin                         	       5        2        3        0        0
27539 fastforward                        	       5        0        5        0        0
27540 fbxkb                              	       5        0        5        0        0
27541 fcitx-pinyin                       	       5        1        2        0        2
27542 fcitx-table                        	       5        1        1        0        3
27543 fence-agents                       	       5        0        5        0        0
27544 festival-doc                       	       5        0        0        0        5
27545 ffado-tools                        	       5        0        5        0        0
27546 firefox-esr-l10n-eo                	       5        1        4        0        0
27547 firefox-esr-l10n-ro                	       5        1        4        0        0
27548 firefox-esr-l10n-sk                	       5        1        4        0        0
27549 firefox-esr-l10n-zh-tw             	       5        1        4        0        0
27550 firmware-nvidia-tesla-gsp          	       5        0        5        0        0
27551 fizmo-sdl2                         	       5        0        5        0        0
27552 flashplugin-nonfree-extrasound     	       5        0        5        0        0
27553 flatpak-tests                      	       5        0        0        0        5
27554 floatbg                            	       5        0        5        0        0
27555 fonts-atkinson-hyperlegible        	       5        0        0        0        5
27556 fonts-libfinal                     	       5        0        0        0        5
27557 fonts-lxgw-wenkai                  	       5        1        0        0        4
27558 fonts-montserrat                   	       5        0        0        0        5
27559 fonts-myanmar                      	       5        0        0        0        5
27560 fonts-octicons                     	       5        0        0        0        5
27561 fonts-smiley-sans                  	       5        0        0        0        5
27562 foomatic-filters                   	       5        0        5        0        0
27563 forensics-samples-all              	       5        0        0        0        5
27564 forensics-samples-btrfs            	       5        0        0        0        5
27565 forensics-samples-exfat            	       5        0        0        0        5
27566 forensics-samples-ext2             	       5        0        0        0        5
27567 forensics-samples-ext4             	       5        0        0        0        5
27568 forensics-samples-multiple         	       5        0        0        0        5
27569 forensics-samples-vfat             	       5        0        0        0        5
27570 fortunes-es                        	       5        0        0        0        5
27571 fortunes-mario                     	       5        0        0        0        5
27572 fp-docs-3.0.0                      	       5        0        0        0        5
27573 fp-units-castle-game-engine        	       5        0        5        0        0
27574 fp-units-fv                        	       5        0        0        0        5
27575 fp-units-gtk2-3.0.0                	       5        0        5        0        0
27576 fp-units-math                      	       5        0        0        0        5
27577 fp-units-multimedia                	       5        0        0        0        5
27578 fp-units-net                       	       5        0        0        0        5
27579 fp-utils-3.0.0                     	       5        0        5        0        0
27580 fpa-audio-manipulation             	       5        0        5        0        0
27581 fpa-config-openvpn                 	       5        0        5        0        0
27582 fpa-reboot-update                  	       5        0        5        0        0
27583 fpc-3.0.0                          	       5        0        0        0        5
27584 fpc-source-3.0.0                   	       5        0        0        0        5
27585 fpgatools                          	       5        0        5        0        0
27586 free42-nologo                      	       5        0        5        0        0
27587 freeciv-client-qt                  	       5        0        5        0        0
27588 freeciv-client-sdl                 	       5        0        5        0        0
27589 freemind-doc                       	       5        0        0        0        5
27590 freespacenotifier                  	       5        1        4        0        0
27591 fsearch                            	       5        0        4        1        0
27592 fte-xwindow                        	       5        0        5        0        0
27593 funguloids                         	       5        0        5        0        0
27594 funguloids-data                    	       5        0        0        0        5
27595 fwupd-doc                          	       5        0        0        0        5
27596 g++-11-multilib                    	       5        0        0        0        5
27597 g++-12-arm-linux-gnueabihf         	       5        0        5        0        0
27598 g++-14-i686-linux-gnu              	       5        0        4        1        0
27599 g++-8-multilib                     	       5        0        0        0        5
27600 g15daemon                          	       5        2        3        0        0
27601 g810-led                           	       5        0        5        0        0
27602 gambas3-gb-libxml                  	       5        0        3        2        0
27603 gambas3-gb-qt5-webkit              	       5        0        4        1        0
27604 gambas3-gb-v4l                     	       5        0        3        2        0
27605 gambas3-gb-web-form                	       5        0        3        2        0
27606 games-console                      	       5        0        0        0        5
27607 games-emulator                     	       5        0        0        0        5
27608 games-finest                       	       5        0        0        0        5
27609 games-thumbnails                   	       5        0        0        0        5
27610 gammu-doc                          	       5        0        0        0        5
27611 garmin-forerunner-tools            	       5        1        4        0        0
27612 gbdfed                             	       5        0        5        0        0
27613 gbemol                             	       5        0        4        1        0
27614 gcc-10-arm-linux-gnueabi           	       5        0        5        0        0
27615 gcc-12-riscv64-linux-gnu           	       5        0        5        0        0
27616 gcc-14-aarch64-linux-gnu           	       5        0        5        0        0
27617 gcc-14-aarch64-linux-gnu-base      	       5        0        0        0        5
27618 gcc-14-arm-linux-gnueabihf         	       5        0        5        0        0
27619 gcc-14-arm-linux-gnueabihf-base    	       5        0        0        0        5
27620 gcc-4.7-doc                        	       5        0        0        0        5
27621 gcc-4.9-multilib                   	       5        0        0        0        5
27622 gcc-5                              	       5        0        5        0        0
27623 gcc-7                              	       5        0        5        0        0
27624 gcc-8-arm-linux-gnueabihf          	       5        0        5        0        0
27625 gcc-8-arm-linux-gnueabihf-base     	       5        0        0        0        5
27626 gcc-mips-linux-gnu                 	       5        0        5        0        0
27627 gcc-powerpc-linux-gnu              	       5        0        5        0        0
27628 gcc-powerpc64-linux-gnu            	       5        0        5        0        0
27629 gccxml                             	       5        0        5        0        0
27630 gcj-4.6-base                       	       5        0        0        0        5
27631 gcj-4.9-jre-headless               	       5        1        4        0        0
27632 gcj-jre                            	       5        0        0        0        5
27633 gcm                                	       5        0        5        0        0
27634 gdb-mingw-w64-target               	       5        0        0        0        5
27635 gdis                               	       5        0        5        0        0
27636 gdis-data                          	       5        0        0        0        5
27637 geneweb                            	       5        3        2        0        0
27638 geotranz                           	       5        0        5        0        0
27639 geotranz-help                      	       5        0        0        0        5
27640 get-flash-videos                   	       5        0        5        0        0
27641 gfio                               	       5        0        5        0        0
27642 gfm                                	       5        0        5        0        0
27643 gfortran-14-multilib               	       5        0        0        0        5
27644 gfortran-9                         	       5        1        4        0        0
27645 gfxboot                            	       5        0        5        0        0
27646 giada                              	       5        0        5        0        0
27647 gigtools                           	       5        0        5        0        0
27648 gimp-dds                           	       5        0        5        0        0
27649 ginkgocadx                         	       5        0        5        0        0
27650 gir1.2-dbusmenu-gtk-0.4            	       5        0        0        0        5
27651 gir1.2-folks-0.6                   	       5        0        3        0        2
27652 gir1.2-garcongtk-1.0               	       5        0        0        0        5
27653 gir1.2-gepub-0.6                   	       5        0        0        0        5
27654 gir1.2-gssdp-1.6                   	       5        0        0        0        5
27655 gir1.2-gupnp-1.6                   	       5        0        0        0        5
27656 gir1.2-vips-8.0                    	       5        0        4        0        1
27657 git-annex-remote-rclone            	       5        0        5        0        0
27658 git-publish                        	       5        2        3        0        0
27659 gitlab-cli                         	       5        0        5        0        0
27660 gitless                            	       5        0        5        0        0
27661 gitpkg                             	       5        1        3        1        0
27662 gkrellm-gkrellmpc                  	       5        0        5        0        0
27663 glurp                              	       5        0        4        1        0
27664 gmemusage                          	       5        2        3        0        0
27665 gnat-12-doc                        	       5        0        0        0        5
27666 gngb                               	       5        0        5        0        0
27667 gnokii                             	       5        0        0        0        5
27668 gnome-games-extra-data             	       5        0        0        0        5
27669 gnome-metronome                    	       5        0        5        0        0
27670 gnome-shell-extension-top-icons-plus	       5        0        0        0        5
27671 gnome-shell-extension-weather      	       5        0        2        0        3
27672 gnome-shell-extensions-extra       	       5        0        0        0        5
27673 gnu-smalltalk                      	       5        0        5        0        0
27674 gnu-smalltalk-common               	       5        0        0        0        5
27675 gnu-which                          	       5        0        5        0        0
27676 gnuais                             	       5        0        5        0        0
27677 gnuift                             	       5        0        5        0        0
27678 gnuift-perl                        	       5        0        5        0        0
27679 gnupod-tools                       	       5        0        5        0        0
27680 gobjc-8                            	       5        0        0        0        5
27681 golang-1.21-doc                    	       5        0        0        0        5
27682 golang-1.7-doc                     	       5        0        5        0        0
27683 golang-github-davecgh-go-spew-dev  	       5        0        0        0        5
27684 golang-github-pkg-errors-dev       	       5        0        0        0        5
27685 golang-github-pmezard-go-difflib-dev	       5        0        0        0        5
27686 golang-github-stretchr-objx-dev    	       5        0        0        0        5
27687 golang-golang-x-sync-dev           	       5        0        0        0        5
27688 goobox                             	       5        0        5        0        0
27689 google-talkplugin                  	       5        0        4        0        1
27690 googleearth-package                	       5        0        5        0        0
27691 googler                            	       5        0        5        0        0
27692 gpp                                	       5        1        4        0        0
27693 gpredict-doc                       	       5        0        0        0        5
27694 gpscorrelate-gui                   	       5        0        5        0        0
27695 gpustat                            	       5        0        5        0        0
27696 gr-air-modes                       	       5        0        5        0        0
27697 gr-hpsdr                           	       5        0        5        0        0
27698 grabc                              	       5        0        5        0        0
27699 grads                              	       5        0        5        0        0
27700 graphviz-dev                       	       5        0        0        0        5
27701 grepcidr                           	       5        0        5        0        0
27702 gretl-data                         	       5        0        0        0        5
27703 grig                               	       5        1        4        0        0
27704 grml-debootstrap                   	       5        0        4        1        0
27705 grml2usb                           	       5        0        5        0        0
27706 grub-doc                           	       5        0        0        0        5
27707 grub-ieee1275-bin                  	       5        0        5        0        0
27708 grub-legacy                        	       5        0        5        0        0
27709 gsl-doc-pdf                        	       5        0        0        0        5
27710 gsl-ref-html                       	       5        0        0        0        5
27711 gsound-tools                       	       5        0        5        0        0
27712 gstreamer1.0-opencv                	       5        0        0        0        5
27713 gstreamer1.0-pocketsphinx          	       5        0        0        0        5
27714 gt5                                	       5        0        5        0        0
27715 gtk-qt-engine-trinity              	       5        0        5        0        0
27716 gtk-vector-screenshot              	       5        2        3        0        0
27717 gtk2-engines-magicchicken          	       5        0        5        0        0
27718 gtk2-engines-wonderland            	       5        0        5        0        0
27719 gtk3-tqt-engine-trinity            	       5        0        0        0        5
27720 gtkmorph                           	       5        0        5        0        0
27721 guile-1.6-libs                     	       5        0        0        0        5
27722 gunroar-data                       	       5        0        0        0        5
27723 gwakeonlan                         	       5        0        5        0        0
27724 gxtuner                            	       5        0        5        0        0
27725 hamradio-sdr                       	       5        0        0        0        5
27726 haskell-mode                       	       5        0        0        0        5
27727 havp                               	       5        3        2        0        0
27728 hdmi2usb-mode-switch               	       5        0        5        0        0
27729 hdmi2usb-udev                      	       5        0        5        0        0
27730 herculesstudio                     	       5        0        5        0        0
27731 hevea-doc                          	       5        0        0        0        5
27732 hnb                                	       5        0        5        0        0
27733 hobbit-plugins                     	       5        3        2        0        0
27734 hopenpgp-tools                     	       5        0        5        0        0
27735 hotspot                            	       5        0        5        0        0
27736 how-can-i-help                     	       5        1        4        0        0
27737 http-icons                         	       5        0        0        0        5
27738 hunspell-an                        	       5        0        2        0        3
27739 hunspell-de-ch-frami               	       5        0        0        0        5
27740 hunspell-id                        	       5        0        0        0        5
27741 hunspell-oc                        	       5        0        0        0        5
27742 hunspell-tools                     	       5        0        5        0        0
27743 hurd-doc                           	       5        0        0        0        5
27744 hylafax-server                     	       5        2        3        0        0
27745 hyphen-cs                          	       5        0        0        0        5
27746 i3pystatus                         	       5        0        5        0        0
27747 iamerican-small                    	       5        0        5        0        0
27748 ibus-libpinyin                     	       5        0        2        0        3
27749 ibus-wayland                       	       5        0        0        0        5
27750 icebreaker                         	       5        0        5        0        0
27751 iceweasel-l10n-de                  	       5        0        0        0        5
27752 icinga-cgi                         	       5        0        0        0        5
27753 icinga-cgi-bin                     	       5        2        3        0        0
27754 icinga-common                      	       5        0        0        0        5
27755 icinga-doc                         	       5        0        0        0        5
27756 icinga-php-library                 	       5        1        4        0        0
27757 icinga-php-thirdparty              	       5        2        3        0        0
27758 icingaweb2-module-doc              	       5        0        5        0        0
27759 icingaweb2-module-monitoring       	       5        0        5        0        0
27760 icoextract                         	       5        0        4        1        0
27761 id-utils                           	       5        0        5        0        0
27762 idle-python2.7                     	       5        0        5        0        0
27763 ifmetric                           	       5        2        3        0        0
27764 ikiwiki                            	       5        0        5        0        0
27765 ilithuanian                        	       5        0        5        0        0
27766 inetutils-ftp                      	       5        1        4        0        0
27767 inkscape-survex-export             	       5        0        0        0        5
27768 inkscape-textext-doc               	       5        0        0        0        5
27769 inoticoming                        	       5        1        4        0        0
27770 insomnia                           	       5        1        4        0        0
27771 inspectrum                         	       5        0        5        0        0
27772 intel-oneapi-common-vars           	       5        0        0        0        5
27773 invesalius-bin                     	       5        0        5        0        0
27774 ips                                	       5        0        5        0        0
27775 iraf-dev                           	       5        0        5        0        0
27776 iraf-noao-dev                      	       5        0        5        0        0
27777 irsim                              	       5        0        5        0        0
27778 isdnutils-base                     	       5        1        4        0        0
27779 iso-flags-svg                      	       5        0        0        0        5
27780 isomd5sum                          	       5        1        4        0        0
27781 isort                              	       5        0        5        0        0
27782 jacksum                            	       5        1        4        0        0
27783 jamin                              	       5        1        4        0        0
27784 java-propose-classpath             	       5        0        5        0        0
27785 javacc-doc                         	       5        0        5        0        0
27786 jdk-17                             	       5        1        4        0        0
27787 jellyfin-server                    	       5        1        4        0        0
27788 jellyfin-web                       	       5        0        0        0        5
27789 jfractionlab                       	       5        1        4        0        0
27790 jpnevulator                        	       5        0        5        0        0
27791 jumpnbump                          	       5        0        5        0        0
27792 jupp                               	       5        0        5        0        0
27793 kakasi                             	       5        0        5        0        0
27794 kakoune                            	       5        0        5        0        0
27795 kalendar                           	       5        0        5        0        0
27796 kcheckers                          	       5        0        5        0        0
27797 kcollectd                          	       5        0        5        0        0
27798 kde-config-telepathy-accounts      	       5        0        0        0        5
27799 kde-style-oxygen-qt4               	       5        0        5        0        0
27800 kde-telepathy                      	       5        0        0        0        5
27801 kde-telepathy-approver             	       5        0        4        0        1
27802 kde-telepathy-auth-handler         	       5        0        0        0        5
27803 kde-telepathy-call-ui              	       5        0        5        0        0
27804 kde-telepathy-contact-list         	       5        0        5        0        0
27805 kde-telepathy-filetransfer-handler 	       5        0        0        0        5
27806 kde-telepathy-integration-module   	       5        0        4        0        1
27807 kde-telepathy-minimal              	       5        0        0        0        5
27808 kde-telepathy-text-ui              	       5        0        5        0        0
27809 kde-workspace-kgreet-plugins       	       5        0        5        0        0
27810 kdevelop-pg-qt                     	       5        0        4        1        0
27811 kdevelop61-libs                    	       5        0        0        0        5
27812 kdump-tools                        	       5        1        4        0        0
27813 kea-common                         	       5        0        5        0        0
27814 kea-dhcp4-server                   	       5        1        4        0        0
27815 keepalived                         	       5        1        4        0        0
27816 keepass2-plugin-keepasshttp        	       5        1        4        0        0
27817 kerneloops                         	       5        2        3        0        0
27818 kerneloops-applet                  	       5        1        4        0        0
27819 kexi-web-form-widget               	       5        0        0        0        5
27820 keyboard-leds-trayicons            	       5        1        4        0        0
27821 keymapper                          	       5        0        5        0        0
27822 kfloppy                            	       5        0        5        0        0
27823 khelpcenter4                       	       5        0        2        0        3
27824 kicad-doc-fr                       	       5        0        0        0        5
27825 kile-doc                           	       5        0        0        0        5
27826 klatexformula                      	       5        0        5        0        0
27827 knot-resolver-module-http          	       5        0        5        0        0
27828 kodi-addons-dev-common             	       5        0        4        1        0
27829 kodi-imagedecoder-raw              	       5        0        0        0        5
27830 kodi-peripheral-joystick           	       5        0        1        0        4
27831 kodi-screensaver-asteroids         	       5        0        0        0        5
27832 kodi-screensaver-pyro              	       5        0        0        0        5
27833 kronometer                         	       5        0        5        0        0
27834 ladish                             	       5        0        5        0        0
27835 latexdraw                          	       5        0        5        0        0
27836 lazarus-2.0                        	       5        0        0        0        5
27837 lazarus-doc                        	       5        0        0        0        5
27838 lazarus-doc-2.0                    	       5        0        0        0        5
27839 lazarus-ide-gtk2                   	       5        0        0        0        5
27840 lazarus-ide-qt5-2.2                	       5        0        5        0        0
27841 lazpaint-qt5                       	       5        0        5        0        0
27842 lbdb                               	       5        0        5        0        0
27843 ld10k1                             	       5        0        5        0        0
27844 le                                 	       5        0        5        0        0
27845 lib32asan1                         	       5        0        0        0        5
27846 lib32atomic1-x32-cross             	       5        0        0        0        5
27847 lib32gcc-4.9-dev                   	       5        0        0        0        5
27848 lib32gfortran-14-dev               	       5        0        0        0        5
27849 lib32gomp1-x32-cross               	       5        0        0        0        5
27850 lib32itm1-x32-cross                	       5        0        0        0        5
27851 lib32objc4                         	       5        0        0        0        5
27852 lib32quadmath0-x32-cross           	       5        0        0        0        5
27853 lib32stdc++-11-dev                 	       5        0        5        0        0
27854 lib32stdc++-8-dev                  	       5        0        5        0        0
27855 lib32stdc++6-x32-cross             	       5        0        0        0        5
27856 lib32ubsan1-x32-cross              	       5        0        0        0        5
27857 lib64atomic1-x32-cross             	       5        0        0        0        5
27858 lib64gomp1-x32-cross               	       5        0        0        0        5
27859 lib64itm1-x32-cross                	       5        0        0        0        5
27860 lib64quadmath0-x32-cross           	       5        0        0        0        5
27861 lib64stdc++6-x32-cross             	       5        0        0        0        5
27862 lib64ubsan1-x32-cross              	       5        0        0        0        5
27863 libadns1t64                        	       5        1        0        0        4
27864 libadplug-2.2.1-0                  	       5        0        0        0        5
27865 libakonadi-notes4                  	       5        0        0        0        5
27866 liballegro-dialog5-dev             	       5        0        5        0        0
27867 liballegro-image5-dev              	       5        0        5        0        0
27868 liballegro-video5.2                	       5        0        0        0        5
27869 libalure-dev                       	       5        0        5        0        0
27870 libamd-comgr-dev                   	       5        0        5        0        0
27871 libamdhip64-dev                    	       5        0        4        1        0
27872 libaml0                            	       5        0        0        0        5
27873 libandroid-uiautomator-23-java     	       5        0        0        0        5
27874 libaosd-text2                      	       5        0        0        0        5
27875 libaosd2                           	       5        0        0        0        5
27876 libapache2-mod-fastcgi             	       5        2        3        0        0
27877 libapache2-mod-php5.6              	       5        3        2        0        0
27878 libappstreamqt-dev                 	       5        0        5        0        0
27879 libaprutil1-dbd-pgsql              	       5        0        0        0        5
27880 libaqhbci23                        	       5        0        0        0        5
27881 libartsc0                          	       5        0        0        0        5
27882 libatinject-jsr330-api-java-doc    	       5        0        0        0        5
27883 libatomic1-mips-cross              	       5        0        0        0        5
27884 libatomic1-powerpc-cross           	       5        0        0        0        5
27885 libaudio-mpd-common-perl           	       5        0        5        0        0
27886 libaudio-mpd-perl                  	       5        0        5        0        0
27887 libauthen-libwrap-perl             	       5        0        0        0        5
27888 libauthen-sasl-saslprep-perl       	       5        0        5        0        0
27889 libavcodec55                       	       5        0        0        0        5
27890 libavdevice54                      	       5        0        0        0        5
27891 libavfilter-extra7                 	       5        0        0        0        5
27892 libavresample-ffmpeg2              	       5        0        0        0        5
27893 libbabl-0.0-0                      	       5        0        5        0        0
27894 libbackport-util-concurrent-java   	       5        0        0        0        5
27895 libbaloocore4                      	       5        0        0        0        5
27896 libbaloofiles4                     	       5        0        0        0        5
27897 libbalooqueryparser4               	       5        0        0        0        5
27898 libbaloowidgets4                   	       5        0        0        0        5
27899 libbalooxapian4                    	       5        0        0        0        5
27900 libbarclay-java                    	       5        0        0        0        5
27901 libbctsp-java                      	       5        0        0        0        5
27902 libblockdev-lvm3                   	       5        0        0        0        5
27903 libbonobo2-dev                     	       5        0        5        0        0
27904 libboost-container1.67-dev         	       5        0        0        0        5
27905 libboost-context1.67-dev           	       5        0        0        0        5
27906 libboost-contract1.74-dev          	       5        0        0        0        5
27907 libboost-contract1.74.0            	       5        0        0        0        5
27908 libboost-fiber1.67-dev             	       5        0        0        0        5
27909 libboost-filesystem1.49.0          	       5        0        0        0        5
27910 libboost-graph-parallel1.67-dev    	       5        0        0        0        5
27911 libboost-iostreams1.67-dev         	       5        0        0        0        5
27912 libboost-json-dev                  	       5        0        0        0        5
27913 libboost-locale1.55.0              	       5        0        0        0        5
27914 libboost-math1.67-dev              	       5        0        0        0        5
27915 libboost-mpi-python1.83-dev        	       5        0        0        0        5
27916 libboost-mpi-python1.83.0          	       5        1        2        2        0
27917 libboost-random1.67-dev            	       5        0        0        0        5
27918 libboost-regex1.42.0               	       5        0        0        0        5
27919 libboost-serialization1.49.0       	       5        0        0        0        5
27920 libboost-signals1.67-dev           	       5        0        0        0        5
27921 libboost-stacktrace1.67-dev        	       5        0        0        0        5
27922 libboost-thread1.71.0              	       5        0        0        0        5
27923 libboost-timer1.67-dev             	       5        0        0        0        5
27924 libboost-url-dev                   	       5        0        0        0        5
27925 libboost1.55-dev                   	       5        0        5        0        0
27926 libboost1.62-dev                   	       5        0        5        0        0
27927 libboost1.74-all-dev               	       5        0        0        0        5
27928 libc++-14-dev                      	       5        0        5        0        0
27929 libc-icap-mod-virus-scan           	       5        0        0        0        5
27930 libc6-dev-amd64                    	       5        0        5        0        0
27931 libc6-dev-amd64-x32-cross          	       5        0        5        0        0
27932 libc6-dev-i386-x32-cross           	       5        0        5        0        0
27933 libc6-dev-mips-cross               	       5        0        5        0        0
27934 libc6-i386-x32-cross               	       5        0        0        0        5
27935 libc6-mips-cross                   	       5        0        0        0        5
27936 libc6-powerpc-cross                	       5        0        0        0        5
27937 libcairo2-ocaml-dev                	       5        0        5        0        0
27938 libcallaudio-0-1                   	       5        1        2        0        2
27939 libcamel-1.2-63                    	       5        0        1        0        4
27940 libcamera-tools                    	       5        0        4        1        0
27941 libcamomile-ocaml-data             	       5        0        0        0        5
27942 libcanberra-gstreamer              	       5        0        0        0        5
27943 libcasa-casa5                      	       5        0        0        0        5
27944 libcasa-measures5                  	       5        0        0        0        5
27945 libcasa-ms5                        	       5        0        0        0        5
27946 libcasa-scimath-f5                 	       5        0        0        0        5
27947 libcasa-scimath5                   	       5        0        0        0        5
27948 libcasa-tables5                    	       5        0        0        0        5
27949 libcbor-xs-perl                    	       5        0        0        0        5
27950 libccfits0v5                       	       5        0        0        0        5
27951 libcdi0                            	       5        0        0        0        5
27952 libcdio-cdda0                      	       5        0        0        0        5
27953 libcdio-paranoia0                  	       5        0        0        0        5
27954 libcdk5                            	       5        0        0        0        5
27955 libcdk5-dev                        	       5        0        5        0        0
27956 libcereal-dev                      	       5        0        1        0        4
27957 libcfg7                            	       5        0        2        0        3
27958 libcfitsio5                        	       5        0        0        0        5
27959 libcgroup-dev                      	       5        0        5        0        0
27960 libcgroup3                         	       5        0        0        0        5
27961 libchm-dev                         	       5        0        5        0        0
27962 libclamav-client-perl              	       5        2        2        1        0
27963 libclanapp-1.0v5                   	       5        0        0        0        5
27964 libclang-common-10-dev             	       5        0        5        0        0
27965 libclang-common-3.8-dev            	       5        0        5        0        0
27966 libclang-cpp10                     	       5        0        5        0        0
27967 libclang1-10                       	       5        0        5        0        0
27968 libclang1-3.8                      	       5        0        5        0        0
27969 libclassworlds-java                	       5        0        0        0        5
27970 libclfft2                          	       5        0        0        0        5
27971 libcli1.10                         	       5        0        0        0        5
27972 libclips                           	       5        0        0        0        5
27973 libcloog-ppl1                      	       5        0        0        0        5
27974 libclthreads-dev                   	       5        0        5        0        0
27975 libclucene0ldbl                    	       5        0        0        0        5
27976 libclxclient-dev                   	       5        0        5        0        0
27977 libcmis-0.6-6                      	       5        0        0        0        5
27978 libcob4                            	       5        0        0        0        5
27979 libcolorpicker-java                	       5        0        0        0        5
27980 libcompress-lzf-java               	       5        0        0        0        5
27981 libconfig++-dev                    	       5        0        0        0        5
27982 libconfuse0                        	       5        0        0        0        5
27983 libconversant-disruptor-java       	       5        0        0        0        5
27984 libcpan-meta-requirements-perl     	       5        0        5        0        0
27985 libcpprest-dev                     	       5        0        5        0        0
27986 libcrypt-dh-gmp-perl               	       5        0        0        0        5
27987 libcrypt-jwt-perl                  	       5        0        5        0        0
27988 libcrypto++-utils                  	       5        0        5        0        0
27989 libcsfml-dev                       	       5        0        5        0        0
27990 libcss-parser0                     	       5        0        0        0        5
27991 libcupsfilters2                    	       5        0        0        0        5
27992 libcupsfilters2-common             	       5        0        0        0        5
27993 libcupti11.2                       	       5        0        0        0        5
27994 libcupti12                         	       5        0        0        0        5
27995 libcusparse12                      	       5        0        0        0        5
27996 libcwidget-doc                     	       5        0        0        0        5
27997 libcxx-serial1                     	       5        0        0        0        5
27998 libczmq4                           	       5        0        0        0        5
27999 libdap11                           	       5        0        0        0        5
28000 libdata-amf-perl                   	       5        0        5        0        0
28001 libdata-ical-perl                  	       5        1        4        0        0
28002 libdata-ieee754-perl               	       5        0        5        0        0
28003 libdata-random-perl                	       5        0        5        0        0
28004 libdata-record-perl                	       5        1        4        0        0
28005 libdata-uuid-perl                  	       5        0        0        0        5
28006 libdb4.8++                         	       5        0        0        0        5
28007 libdb4.8++-dev                     	       5        0        4        1        0
28008 libdb4.8-dev                       	       5        0        4        1        0
28009 libdb4o8.0-cil                     	       5        0        4        1        0
28010 libdb5.3-sql                       	       5        0        0        0        5
28011 libdcmtk2                          	       5        0        0        0        5
28012 libdictzip-java                    	       5        0        0        0        5
28013 libdime1                           	       5        0        0        0        5
28014 libdirac-dev                       	       5        0        5        0        0
28015 libdivsufsort3                     	       5        0        0        0        5
28016 libdlib19.1                        	       5        0        4        0        1
28017 libdmr0.1                          	       5        0        0        0        5
28018 libdpkg-dev                        	       5        0        4        1        0
28019 libdrilbo-common                   	       5        0        0        0        5
28020 libdrm-etnaviv1                    	       5        0        0        0        5
28021 libdrumstick-rt1                   	       5        0        0        0        5
28022 libdshconfig1                      	       5        0        0        0        5
28023 libdsocksd0                        	       5        0        0        0        5
28024 libdtkwm5                          	       5        0        0        0        5
28025 libdts-dev                         	       5        0        5        0        0
28026 libdumb1t64                        	       5        0        0        0        5
28027 libdvdnav-doc                      	       5        0        0        0        5
28028 libebml3                           	       5        0        0        0        5
28029 libecal-1.2-11                     	       5        0        0        0        5
28030 libecj-java-gcj                    	       5        0        5        0        0
28031 libeclipse-core-commands-java      	       5        1        4        0        0
28032 libeclipse-core-contenttype-java   	       5        0        5        0        0
28033 libeclipse-core-databinding-java   	       5        0        5        0        0
28034 libeclipse-core-databinding-observable-java	       5        0        5        0        0
28035 libeclipse-core-databinding-property-java	       5        0        5        0        0
28036 libeclipse-core-expressions-java   	       5        0        5        0        0
28037 libeclipse-core-filesystem-java    	       5        0        5        0        0
28038 libeclipse-core-jobs-java          	       5        0        5        0        0
28039 libeclipse-core-resources-java     	       5        0        5        0        0
28040 libeclipse-core-runtime-java       	       5        1        4        0        0
28041 libeclipse-e4-core-commands-java   	       5        0        5        0        0
28042 libeclipse-e4-core-contexts-java   	       5        0        5        0        0
28043 libeclipse-e4-core-di-annotations-java	       5        0        5        0        0
28044 libeclipse-e4-core-di-extensions-java	       5        0        5        0        0
28045 libeclipse-e4-core-di-extensions-supplier-java	       5        0        5        0        0
28046 libeclipse-e4-core-di-java         	       5        0        5        0        0
28047 libeclipse-e4-core-services-java   	       5        0        5        0        0
28048 libeclipse-e4-emf-xpath-java       	       5        0        5        0        0
28049 libeclipse-e4-ui-bindings-java     	       5        0        5        0        0
28050 libeclipse-e4-ui-css-core-java     	       5        0        5        0        0
28051 libeclipse-e4-ui-css-swt-java      	       5        0        5        0        0
28052 libeclipse-e4-ui-css-swt-theme-java	       5        0        5        0        0
28053 libeclipse-e4-ui-di-java           	       5        0        5        0        0
28054 libeclipse-e4-ui-dialogs-java      	       5        0        5        0        0
28055 libeclipse-e4-ui-model-workbench-java	       5        0        5        0        0
28056 libeclipse-e4-ui-services-java     	       5        0        5        0        0
28057 libeclipse-e4-ui-workbench-addons-swt-java	       5        0        5        0        0
28058 libeclipse-e4-ui-workbench-java    	       5        0        5        0        0
28059 libeclipse-e4-ui-workbench-renderers-swt-java	       5        0        5        0        0
28060 libeclipse-e4-ui-workbench-swt-java	       5        0        5        0        0
28061 libeclipse-e4-ui-workbench3-java   	       5        0        5        0        0
28062 libeclipse-emf-common-java         	       5        0        5        0        0
28063 libeclipse-emf-ecore-java          	       5        0        5        0        0
28064 libeclipse-emf-ecore-xmi-java      	       5        0        5        0        0
28065 libeclipse-help-java               	       5        0        5        0        0
28066 libeclipse-jface-databinding-java  	       5        0        5        0        0
28067 libeclipse-jface-java              	       5        1        4        0        0
28068 libeclipse-jface-notifications-java	       5        0        5        0        0
28069 libeclipse-jface-text-java         	       5        0        5        0        0
28070 libeclipse-osgi-java               	       5        1        4        0        0
28071 libeclipse-osgi-services-java      	       5        0        5        0        0
28072 libeclipse-text-java               	       5        0        5        0        0
28073 libeclipse-ui-forms-java           	       5        1        4        0        0
28074 libeclipse-ui-workbench-java       	       5        0        5        0        0
28075 libeclipse-urischeme-java          	       5        0        5        0        0
28076 libedata-cal-1.2-23                	       5        0        0        0        5
28077 libedataserver-1.2-26              	       5        0        1        0        4
28078 libedataserver1.2-dev              	       5        0        5        0        0
28079 libeina1                           	       5        0        0        0        5
28080 libequinox-app-java                	       5        0        5        0        0
28081 libequinox-bidi-java               	       5        0        5        0        0
28082 libequinox-common-java             	       5        1        4        0        0
28083 libequinox-preferences-java        	       5        0        5        0        0
28084 libequinox-registry-java           	       5        0        5        0        0
28085 liberfa-dev                        	       5        0        5        0        0
28086 libestraier8                       	       5        0        0        0        5
28087 libevtlog0                         	       5        1        0        0        4
28088 libexo-2-dev                       	       5        0        5        0        0
28089 libfaust2t64                       	       5        0        0        0        5
28090 libfcgi-dev                        	       5        0        5        0        0
28091 libfelix-gogo-command-java         	       5        0        0        0        5
28092 libfelix-gogo-shell-java           	       5        0        0        0        5
28093 libfile-configdir-perl             	       5        1        4        0        0
28094 libfile-mmagic-perl                	       5        0        5        0        0
28095 libfilezilla41                     	       5        0        0        0        5
28096 libfindbin-libs-perl               	       5        0        5        0        0
28097 libfixposix3                       	       5        0        0        0        5
28098 libfizmo-common                    	       5        0        0        0        5
28099 libfltk1.3-compat-headers          	       5        0        5        0        0
28100 libfmt8                            	       5        0        0        0        5
28101 libfontchooser-java                	       5        0        0        0        5
28102 libforms2t64                       	       5        0        0        0        5
28103 libfpga0                           	       5        0        0        0        5
28104 libfprint0                         	       5        1        4        0        0
28105 libfsharp-core4.5-cil              	       5        0        5        0        0
28106 libfsharp-core5.0-cil              	       5        0        5        0        0
28107 libfwupd1                          	       5        0        0        0        5
28108 libg810-led0                       	       5        0        0        0        5
28109 libgamin-dev                       	       5        0        5        0        0
28110 libgarcon-1-0-dev                  	       5        0        0        0        5
28111 libgarcon-gtk3-1-dev               	       5        0        5        0        0
28112 libgatk-native-bindings-java       	       5        0        0        0        5
28113 libgcc-14-dev-arm64-cross          	       5        0        0        0        5
28114 libgcc-14-dev-armhf-cross          	       5        0        0        0        5
28115 libgcc-8-dev-armhf-cross           	       5        0        0        0        5
28116 libgcc-s1-mipsel-cross             	       5        0        0        0        5
28117 libgcc-s1-powerpc-cross            	       5        0        0        0        5
28118 libgcc-s1-x32-cross                	       5        0        0        0        5
28119 libgcc1-arm64-cross                	       5        0        0        0        5
28120 libgconfmm-2.6-1v5                 	       5        0        0        0        5
28121 libgd-barcode-perl                 	       5        0        5        0        0
28122 libgdata-dev                       	       5        0        5        0        0
28123 libgeos3.12.1                      	       5        0        0        0        5
28124 libgeotranz3.7                     	       5        0        0        0        5
28125 libgeronimo-jpa-2.0-spec-java      	       5        0        0        0        5
28126 libgeronimo-jta-1.1-spec-java      	       5        0        0        0        5
28127 libgetdns10                        	       5        0        0        0        5
28128 libgexiv2-dev                      	       5        0        5        0        0
28129 libghc-adjunctions-dev             	       5        0        5        0        0
28130 libghc-cairo-dev                   	       5        0        5        0        0
28131 libghc-data-default-doc            	       5        0        5        0        0
28132 libghc-exceptions-prof             	       5        0        0        0        5
28133 libghc-free-dev                    	       5        0        5        0        0
28134 libghc-ghc-paths-dev               	       5        0        5        0        0
28135 libghc-haskell-gi-base-dev         	       5        0        5        0        0
28136 libghc-haskell-gi-dev              	       5        0        5        0        0
28137 libghc-mono-traversable-prof       	       5        0        0        0        5
28138 libghc-pango-dev                   	       5        0        5        0        0
28139 libghc-regex-base-prof             	       5        0        0        0        5
28140 libghc-safe-prof                   	       5        0        0        0        5
28141 libghc-sha-dev                     	       5        0        5        0        0
28142 libghc-syb-dev                     	       5        0        5        0        0
28143 libghc-tagsoup-dev                 	       5        0        5        0        0
28144 libghc-typed-process-prof          	       5        0        0        0        5
28145 libghc-vector-algorithms-prof      	       5        0        0        0        5
28146 libghc-xdg-basedir-prof            	       5        0        0        0        5
28147 libgio-qt0                         	       5        0        0        0        5
28148 libgit-repository-perl             	       5        0        5        0        0
28149 libgkl-java                        	       5        0        0        0        5
28150 libgkl-jni                         	       5        0        5        0        0
28151 libgl2ps0                          	       5        0        0        0        5
28152 libgladeui-2-6                     	       5        0        0        0        5
28153 libglbsp3                          	       5        0        0        0        5
28154 libglib1.2ldbl                     	       5        1        0        0        4
28155 libglib2.0-tests                   	       5        0        5        0        0
28156 libglobus-common0                  	       5        0        5        0        0
28157 libglobus-gsi-callback0            	       5        0        0        0        5
28158 libglobus-gsi-cert-utils0          	       5        0        0        0        5
28159 libglobus-gsi-credential1          	       5        0        0        0        5
28160 libglobus-gsi-openssl-error0       	       5        0        0        0        5
28161 libglobus-gsi-proxy-core0          	       5        0        0        0        5
28162 libglobus-gsi-proxy-ssl1           	       5        0        0        0        5
28163 libglobus-gsi-sysconfig1           	       5        0        0        0        5
28164 libglobus-gssapi-gsi4              	       5        0        0        0        5
28165 libglobus-openssl-module0          	       5        0        0        0        5
28166 libglw1-mesa-dev                   	       5        0        5        0        0
28167 libgmerlin0                        	       5        0        0        0        5
28168 libgnat-13                         	       5        0        0        0        5
28169 libgnome-desktop-2-17              	       5        0        0        0        5
28170 libgnome-menu-3-dev                	       5        0        5        0        0
28171 libgnome-menu2                     	       5        0        0        0        5
28172 libgnomevfs2-bin                   	       5        0        5        0        0
28173 libgnuift0c2a                      	       5        0        0        0        5
28174 libgnuradio-audio3.8.2             	       5        0        0        0        5
28175 libgnuradio-video-sdl3.8.2         	       5        0        0        0        5
28176 libgnutlsxx27                      	       5        0        0        0        5
28177 libgomp1-mips-cross                	       5        0        0        0        5
28178 libgomp1-powerpc-cross             	       5        0        0        0        5
28179 libgoogle-glog0v6t64               	       5        0        0        0        5
28180 libgoogle-perftools4t64            	       5        0        0        0        5
28181 libgpiod-dev                       	       5        0        4        1        0
28182 libgps30                           	       5        1        1        0        3
28183 libgraphicsmagick++3               	       5        0        0        0        5
28184 libgravatar-url-perl               	       5        0        5        0        0
28185 libgrpc++-dev                      	       5        0        5        0        0
28186 libgsoap10                         	       5        0        0        0        5
28187 libgst7                            	       5        0        0        0        5
28188 libgstreamerd-3-dev                	       5        0        0        0        5
28189 libgtk1.2                          	       5        0        0        0        5
28190 libgtkd-3-dev                      	       5        0        0        0        5
28191 libgtkglext1-dev                   	       5        0        5        0        0
28192 libgtkmm-2.4-doc                   	       5        0        0        0        5
28193 libgtkspell-dev                    	       5        0        5        0        0
28194 libgtkspell3-3-dev                 	       5        0        5        0        0
28195 libguile-ltdl-1                    	       5        0        0        0        5
28196 libgulkan-0.15-0                   	       5        0        0        0        5
28197 libgulkan-utils                    	       5        0        4        1        0
28198 libgutenprint-dev                  	       5        0        5        0        0
28199 libgutenprintui2-dev               	       5        0        5        0        0
28200 libgwenhywfar-core-dev             	       5        0        5        0        0
28201 libgwyddion2-0                     	       5        0        1        0        4
28202 libhamlib++-dev                    	       5        0        5        0        0
28203 libharfbuzz-doc                    	       5        0        0        0        5
28204 libhdf5-cpp-310                    	       5        0        0        0        5
28205 libhdf5-fortran-310                	       5        0        0        0        5
28206 libhdf5-hl-cpp-310                 	       5        0        0        0        5
28207 libhdf5-hl-fortran-310             	       5        0        0        0        5
28208 libhdhomerun1                      	       5        0        0        0        5
28209 libhealpix-cxx2                    	       5        0        0        0        5
28210 libhesiod0                         	       5        0        0        0        5
28211 libhfsp0t64                        	       5        0        0        0        5
28212 libhiprtc-builtins5                	       5        0        0        0        5
28213 libhiredis0.10                     	       5        0        0        0        5
28214 libhiredis0.13                     	       5        0        0        0        5
28215 libhmsbeagle1v5                    	       5        0        0        0        5
28216 libhsa-runtime-dev                 	       5        0        5        0        0
28217 libhttp-body-perl                  	       5        1        4        0        0
28218 libhx28                            	       5        0        0        0        5
28219 libimage-metadata-jpeg-perl        	       5        0        5        0        0
28220 libimager-perl                     	       5        0        5        0        0
28221 libimobiledevice-glue-dev          	       5        0        5        0        0
28222 libindicate-gtk3                   	       5        1        0        0        4
28223 libinih-dev                        	       5        0        5        0        0
28224 libiniparser4                      	       5        0        0        0        5
28225 libinotifytools0-dev               	       5        0        5        0        0
28226 libio-fdpass-perl                  	       5        0        0        0        5
28227 libio-handle-util-perl             	       5        0        5        0        0
28228 libip4tc-dev                       	       5        0        3        0        2
28229 libip6tc-dev                       	       5        0        5        0        0
28230 libiptc-dev                        	       5        0        2        0        3
28231 libisc45                           	       5        0        0        0        5
28232 libisccc40                         	       5        0        0        0        5
28233 libiso9660-12                      	       5        0        0        0        5
28234 libjackson2-annotations-java-doc   	       5        0        0        0        5
28235 libjavascriptcoregtk-6.0-dev       	       5        0        4        1        0
28236 libjetbrains-annotations-java-doc  	       5        0        0        0        5
28237 libjibx1.1-java                    	       5        0        0        0        5
28238 libjinput-java                     	       5        0        0        0        5
28239 libjinput-jni                      	       5        0        5        0        0
28240 libjnr-netdb-java-doc              	       5        0        0        0        5
28241 libjoda-convert-java               	       5        0        0        0        5
28242 libjq-dev                          	       5        1        4        0        0
28243 libjs-d3-tip                       	       5        0        0        0        5
28244 libjs-eonasdan-bootstrap-datetimepicker	       5        0        0        0        5
28245 libjs-jquery-colorpicker           	       5        0        0        0        5
28246 libjs-jquery-i18n-properties       	       5        0        0        0        5
28247 libjs-jquery-placeholder           	       5        0        0        0        5
28248 libjs-uglify                       	       5        0        0        0        5
28249 libjson-pp-perl                    	       5        1        4        0        0
28250 libjsr107cache-java                	       5        0        0        0        5
28251 libjuff0.10                        	       5        0        0        0        5
28252 libjutils-java                     	       5        0        0        0        5
28253 libkadm5clnt-mit9                  	       5        0        0        0        5
28254 libkalarmcal2                      	       5        0        0        0        5
28255 libkasten3controllers3             	       5        0        0        0        5
28256 libkasten3core3                    	       5        0        0        0        5
28257 libkasten3gui3                     	       5        0        0        0        5
28258 libkasten3okteta1core1             	       5        0        0        0        5
28259 libkasten3okteta1gui1              	       5        0        0        0        5
28260 libkdb3-driver-mysql               	       5        0        0        0        5
28261 libkephal4abi1                     	       5        1        0        0        4
28262 libkf5contacts-dev                 	       5        0        5        0        0
28263 libkf5filemetadata-dev             	       5        0        5        0        0
28264 libkf5idletime-dev                 	       5        0        5        0        0
28265 libkf5plotting-doc                 	       5        0        0        0        5
28266 libkf5pty-dev                      	       5        0        5        0        0
28267 libkf5texteditor-doc               	       5        0        0        0        5
28268 libkfilemetadata4                  	       5        0        5        0        0
28269 libkgapi2-2                        	       5        1        0        0        4
28270 libkirigamiaddonsstatefulapp6      	       5        0        0        0        5
28271 libkkc-common                      	       5        0        0        0        5
28272 libkkc-data                        	       5        0        2        0        3
28273 libkkc2                            	       5        0        2        0        3
28274 libklatexformula4                  	       5        0        0        0        5
28275 libkml0                            	       5        0        0        0        5
28276 libkpim6akonadixml6                	       5        0        0        0        5
28277 libkpim6mimetreeparsercore6        	       5        0        0        0        5
28278 libkpim6mimetreeparserwidgets6     	       5        0        0        0        5
28279 libkscreen1                        	       5        1        0        0        4
28280 libkscreensaver5                   	       5        0        0        0        5
28281 libksignalplotter4                 	       5        0        0        0        5
28282 libksysguard-bin                   	       5        0        0        5        0
28283 libktpotr9                         	       5        0        0        0        5
28284 libkwinglesutils1                  	       5        0        0        0        5
28285 libkwinglutils1abi2                	       5        1        0        0        4
28286 liblabltk-ocaml                    	       5        0        5        0        0
28287 libldns-dev                        	       5        0        5        0        0
28288 liblexical-underscore-perl         	       5        0        5        0        0
28289 liblingua-pt-stemmer-perl          	       5        1        4        0        0
28290 liblingua-stem-perl                	       5        1        4        0        0
28291 liblingua-stem-snowball-da-perl    	       5        1        4        0        0
28292 liblink-grammar4                   	       5        0        0        0        5
28293 liblivemedia77                     	       5        0        0        0        5
28294 liblivetribe-jsr223-java           	       5        0        0        0        5
28295 libllvm3.0                         	       5        0        0        0        5
28296 liblo-tools                        	       5        0        5        0        0
28297 liblo10k1-0                        	       5        0        0        0        5
28298 liblog4net1.2-cil                  	       5        0        5        0        0
28299 liblogfile-rotate-perl             	       5        1        4        0        0
28300 liblopsub1                         	       5        0        0        0        5
28301 liblua50-dev                       	       5        0        5        0        0
28302 liblv2dynparamplugin1-0            	       5        0        0        0        5
28303 liblwgeom-2.5-0                    	       5        1        0        0        4
28304 liblwjgl-java                      	       5        0        0        0        5
28305 liblwjgl-java-jni                  	       5        0        5        0        0
28306 liblwres40                         	       5        0        0        0        5
28307 libmac2                            	       5        0        0        0        5
28308 libmagick++-7-5                    	       5        0        0        0        5
28309 libmagickcore-6.q16hdri-6-extra    	       5        0        0        0        5
28310 libmagplus3v5                      	       5        0        0        0        5
28311 libmail-gnupg-perl                 	       5        0        4        1        0
28312 libmail-pop3client-perl            	       5        0        5        0        0
28313 libmalai-java                      	       5        0        0        0        5
28314 libmath-int128-perl                	       5        0        0        0        5
28315 libmath-int64-perl                 	       5        0        0        0        5
28316 libmatroska5                       	       5        0        0        0        5
28317 libmaven-bundle-plugin-java        	       5        0        0        0        5
28318 libmaven-clean-plugin-java         	       5        0        0        0        5
28319 libmaven-deploy-plugin-java        	       5        0        0        0        5
28320 libmaven-filtering-java            	       5        0        0        0        5
28321 libmaven-reporting-exec-java       	       5        0        0        0        5
28322 libmaven-resources-plugin-java     	       5        0        0        0        5
28323 libmaven-site-plugin-java          	       5        0        0        0        5
28324 libmaxmind-db-common-perl          	       5        0        5        0        0
28325 libmaxmind-db-reader-perl          	       5        0        5        0        0
28326 libmaxminddb-dev                   	       5        0        5        0        0
28327 libmemcached-tools                 	       5        0        5        0        0
28328 libmenu-cache-dev                  	       5        0        5        0        0
28329 libmgl8                            	       5        0        0        0        5
28330 libmilter-dev                      	       5        1        4        0        0
28331 libmkl-avx                         	       5        0        0        0        5
28332 libmkl-avx2                        	       5        0        0        0        5
28333 libmkl-avx512                      	       5        0        0        0        5
28334 libmkl-avx512-mic                  	       5        0        0        0        5
28335 libmkl-core                        	       5        0        0        0        5
28336 libmkl-def                         	       5        0        0        0        5
28337 libmkl-gf-ilp64                    	       5        0        0        0        5
28338 libmkl-gf-lp64                     	       5        0        0        0        5
28339 libmkl-gnu-thread                  	       5        0        0        0        5
28340 libmkl-intel-ilp64                 	       5        0        0        0        5
28341 libmkl-intel-lp64                  	       5        0        0        0        5
28342 libmkl-intel-thread                	       5        0        0        0        5
28343 libmkl-locale                      	       5        0        0        0        5
28344 libmkl-mc                          	       5        0        0        0        5
28345 libmkl-mc3                         	       5        0        0        0        5
28346 libmkl-meta-computational          	       5        0        0        0        5
28347 libmkl-meta-interface              	       5        0        0        0        5
28348 libmkl-meta-threading              	       5        0        0        0        5
28349 libmkl-pgi-thread                  	       5        0        0        0        5
28350 libmkl-sequential                  	       5        0        0        0        5
28351 libmkl-vml-avx                     	       5        0        0        0        5
28352 libmkl-vml-avx2                    	       5        0        0        0        5
28353 libmkl-vml-avx512                  	       5        0        0        0        5
28354 libmkl-vml-avx512-mic              	       5        0        0        0        5
28355 libmkl-vml-cmpt                    	       5        0        0        0        5
28356 libmkl-vml-def                     	       5        0        0        0        5
28357 libmkl-vml-mc                      	       5        0        0        0        5
28358 libmkl-vml-mc2                     	       5        0        0        0        5
28359 libmkl-vml-mc3                     	       5        0        0        0        5
28360 libmms-dev                         	       5        0        5        0        0
28361 libmodule-install-perl             	       5        0        5        0        0
28362 libmodule-metadata-perl            	       5        0        5        0        0
28363 libmodulemd2                       	       5        0        0        0        5
28364 libmoosex-strictconstructor-perl   	       5        0        5        0        0
28365 libmoox-configfromfile-perl        	       5        1        4        0        0
28366 libmoox-file-configdir-perl        	       5        1        4        0        0
28367 libmoox-locale-passthrough-perl    	       5        2        3        0        0
28368 libmoox-options-perl               	       5        2        3        0        0
28369 libmosquitto-dev                   	       5        0        5        0        0
28370 libmpeg3-2t64                      	       5        0        0        0        5
28371 libmrml1c2a                        	       5        0        0        0        5
28372 libmsn0.3                          	       5        0        0        0        5
28373 libmumps-seq-5.5                   	       5        0        0        0        5
28374 libmutter-14-0                     	       5        0        3        0        2
28375 libmygui.opengl3platform0debian1v5 	       5        0        0        0        5
28376 libmysqlcppconn7v5                 	       5        0        1        0        4
28377 libnabrit3                         	       5        0        0        0        5
28378 libnacore5                         	       5        0        0        0        5
28379 libnanomsg5                        	       5        0        1        0        4
28380 libncap44                          	       5        0        0        0        5
28381 libneko2                           	       5        0        0        0        5
28382 libnet-imap-simple-ssl-perl        	       5        0        4        1        0
28383 libnet-ssh-perl                    	       5        1        4        0        0
28384 libnetcdf-c++4-1                   	       5        0        0        0        5
28385 libnetcdfc7                        	       5        0        0        0        5
28386 libnglib-6.2                       	       5        0        0        0        5
28387 libnifti2-2                        	       5        0        0        0        5
28388 libnl-idiag-3-200                  	       5        0        0        0        5
28389 libnl1                             	       5        0        0        0        5
28390 libnlopt-dev                       	       5        0        4        1        0
28391 libnotcurses-core2                 	       5        0        0        0        5
28392 libnotcurses2                      	       5        0        0        0        5
28393 libnotmuch-dev                     	       5        0        4        1        0
28394 libnpp-12-6                        	       5        0        0        0        5
28395 libnpp-dev-12-6                    	       5        0        4        1        0
28396 libnrepl-clojure                   	       5        0        0        0        5
28397 libnrepl-incomplete-clojure        	       5        0        0        0        5
28398 libnss-wrapper                     	       5        0        0        0        5
28399 libnvidia-container-tools          	       5        0        4        1        0
28400 libnvidia-container1               	       5        0        0        0        5
28401 libnvidia-legacy-340xx-cuda1       	       5        0        0        0        5
28402 libnvidia-legacy-340xx-nvcuvid1    	       5        0        0        0        5
28403 libnvjitlink12                     	       5        0        0        0        5
28404 libobasis24.2-base                 	       5        0        0        0        5
28405 libobasis24.2-calc                 	       5        0        0        0        5
28406 libobasis24.2-core                 	       5        0        0        0        5
28407 libobasis24.2-draw                 	       5        0        0        0        5
28408 libobasis24.2-en-us                	       5        0        0        0        5
28409 libobasis24.2-extension-beanshell-script-provider	       5        0        0        0        5
28410 libobasis24.2-extension-javascript-script-provider	       5        0        0        0        5
28411 libobasis24.2-extension-mediawiki-publisher	       5        0        0        0        5
28412 libobasis24.2-extension-nlpsolver  	       5        0        0        0        5
28413 libobasis24.2-extension-pdf-import 	       5        0        0        0        5
28414 libobasis24.2-extension-report-builder	       5        0        0        0        5
28415 libobasis24.2-firebird             	       5        0        0        0        5
28416 libobasis24.2-gnome-integration    	       5        0        0        0        5
28417 libobasis24.2-graphicfilter        	       5        0        0        0        5
28418 libobasis24.2-images               	       5        0        0        0        5
28419 libobasis24.2-impress              	       5        0        0        0        5
28420 libobasis24.2-kde-integration      	       5        0        0        0        5
28421 libobasis24.2-librelogo            	       5        0        0        0        5
28422 libobasis24.2-libreofficekit-data  	       5        0        0        0        5
28423 libobasis24.2-math                 	       5        0        0        0        5
28424 libobasis24.2-ogltrans             	       5        0        0        0        5
28425 libobasis24.2-onlineupdate         	       5        0        0        0        5
28426 libobasis24.2-ooolinguistic        	       5        0        0        0        5
28427 libobasis24.2-postgresql-sdbc      	       5        0        0        0        5
28428 libobasis24.2-python-script-provider	       5        0        0        0        5
28429 libobasis24.2-pyuno                	       5        0        5        0        0
28430 libobasis24.2-writer               	       5        0        0        0        5
28431 libobasis24.2-xsltfilter           	       5        0        0        0        5
28432 libobasis7.5-base                  	       5        0        0        0        5
28433 libobasis7.5-calc                  	       5        0        0        0        5
28434 libobasis7.5-core                  	       5        0        0        0        5
28435 libobasis7.5-draw                  	       5        0        0        0        5
28436 libobasis7.5-en-us                 	       5        0        0        0        5
28437 libobasis7.5-extension-beanshell-script-provider	       5        0        0        0        5
28438 libobasis7.5-extension-javascript-script-provider	       5        0        0        0        5
28439 libobasis7.5-extension-mediawiki-publisher	       5        0        0        0        5
28440 libobasis7.5-extension-nlpsolver   	       5        0        0        0        5
28441 libobasis7.5-extension-pdf-import  	       5        0        0        0        5
28442 libobasis7.5-extension-report-builder	       5        0        0        0        5
28443 libobasis7.5-firebird              	       5        0        0        0        5
28444 libobasis7.5-gnome-integration     	       5        0        0        0        5
28445 libobasis7.5-graphicfilter         	       5        0        0        0        5
28446 libobasis7.5-images                	       5        0        0        0        5
28447 libobasis7.5-impress               	       5        0        0        0        5
28448 libobasis7.5-kde-integration       	       5        0        0        0        5
28449 libobasis7.5-librelogo             	       5        0        0        0        5
28450 libobasis7.5-libreofficekit-data   	       5        0        0        0        5
28451 libobasis7.5-math                  	       5        0        0        0        5
28452 libobasis7.5-ogltrans              	       5        0        0        0        5
28453 libobasis7.5-onlineupdate          	       5        0        0        0        5
28454 libobasis7.5-ooolinguistic         	       5        0        0        0        5
28455 libobasis7.5-postgresql-sdbc       	       5        0        0        0        5
28456 libobasis7.5-python-script-provider	       5        0        0        0        5
28457 libobasis7.5-pyuno                 	       5        0        4        0        1
28458 libobasis7.5-writer                	       5        0        0        0        5
28459 libobasis7.5-xsltfilter            	       5        0        0        0        5
28460 libobasis7.6-ooofonts              	       5        0        0        0        5
28461 libocaml-compiler-libs-ocaml-dev   	       5        0        5        0        0
28462 liboctave6                         	       5        0        0        0        5
28463 libodc-0d                          	       5        0        0        0        5
28464 libode-dev                         	       5        0        5        0        0
28465 libofx-dev                         	       5        0        5        0        0
28466 libokteta2core2                    	       5        0        0        0        5
28467 libokteta2gui2                     	       5        0        0        0        5
28468 libomp-14-dev                      	       5        0        5        0        0
28469 libonvif1                          	       5        0        0        0        5
28470 libopencsg-dev                     	       5        0        5        0        0
28471 libopencv-imgproc2.3               	       5        0        0        0        5
28472 libopenh264-dev                    	       5        0        4        1        0
28473 libopenimageio-doc                 	       5        0        0        0        5
28474 libopenmpt-modplug-dev             	       5        0        5        0        0
28475 libopenni0                         	       5        0        0        0        5
28476 libopenthreads20                   	       5        0        0        0        5
28477 liboscpack1                        	       5        0        0        0        5
28478 libotf-bin                         	       5        0        5        0        0
28479 libow-3.2-3                        	       5        0        0        0        5
28480 liboxygenstyle5-6                  	       5        1        0        0        4
28481 libpackagekitqt5-dev               	       5        0        5        0        0
28482 libpam-freerdp2                    	       5        0        5        0        0
28483 libpanel-applet-4-0                	       5        0        0        0        5
28484 libpari-gmp-tls9                   	       5        0        0        0        5
28485 libpcc-dev                         	       5        0        0        0        5
28486 libpcp-archive1                    	       5        0        0        0        5
28487 libpcp-pmda-perl                   	       5        0        0        0        5
28488 libpdfrenderer-java                	       5        0        0        0        5
28489 libpgf6                            	       5        0        0        0        5
28490 libpgsbox7                         	       5        0        0        0        5
28491 libphonon4qt5experimental-dev      	       5        0        4        0        1
28492 libphonon4qt5experimental4t64      	       5        0        0        0        5
28493 libphononexperimental4             	       5        0        0        0        5
28494 libpicard-java                     	       5        0        0        0        5
28495 libpipewire-0.3-modules-x11        	       5        0        3        0        2
28496 libpixelif-common                  	       5        0        0        0        5
28497 libplack-middleware-reverseproxy-perl	       5        1        4        0        0
28498 libplack-middleware-session-perl   	       5        0        5        0        0
28499 libplasmagenericshell4             	       5        1        0        0        4
28500 libplexus-classworlds2-java        	       5        0        0        0        5
28501 libplib1t64                        	       5        0        0        0        5
28502 libplist-utils                     	       5        0        5        0        0
28503 libplplotqt2                       	       5        0        0        0        5
28504 libplplotwxwidgets1                	       5        0        0        0        5
28505 libpocl2t64                        	       5        0        0        0        5
28506 libpocoactiverecord80              	       5        0        0        0        5
28507 libpococrypto80                    	       5        0        0        0        5
28508 libpocodata80                      	       5        0        0        0        5
28509 libpocodatamysql80                 	       5        0        0        0        5
28510 libpocodataodbc80                  	       5        0        0        0        5
28511 libpocodatapostgresql80            	       5        0        0        0        5
28512 libpocodatasqlite80                	       5        0        0        0        5
28513 libpocoencodings80                 	       5        0        0        0        5
28514 libpocojwt80                       	       5        0        0        0        5
28515 libpocomongodb80                   	       5        0        0        0        5
28516 libpoconetssl80                    	       5        0        0        0        5
28517 libpocoredis80                     	       5        0        0        0        5
28518 libpodofo-utils                    	       5        0        5        0        0
28519 libpolkit-agent-1-dev              	       5        0        5        0        0
28520 libpolkit-gobject-1-0-elogind      	       5        0        0        0        5
28521 libpoppler44                       	       5        0        0        0        5
28522 libpostproc-ffmpeg53               	       5        0        0        0        5
28523 libpostscript-perl                 	       5        0        5        0        0
28524 libppx-derivers-ocaml-dev          	       5        0        5        0        0
28525 libppxlib-ocaml-dev                	       5        0        5        0        0
28526 libpqxx-dev                        	       5        0        5        0        0
28527 libprelude28                       	       5        0        0        0        5
28528 libproc-fastspawn-perl             	       5        0        0        0        5
28529 libprocessui4a                     	       5        1        0        0        4
28530 libprojectm-dev                    	       5        0        5        0        0
28531 libps2000                          	       5        0        5        0        0
28532 libpyside2-dev                     	       5        0        5        0        0
28533 libpython3.11-dbg                  	       5        0        5        0        0
28534 libpython3.8                       	       5        0        0        0        5
28535 libqalculate5                      	       5        1        0        0        4
28536 libqpol1                           	       5        0        0        0        5
28537 libqscintilla2-12v5                	       5        0        0        0        5
28538 libqt3-headers                     	       5        0        5        0        0
28539 libqt5gstreamer-dev                	       5        0        5        0        0
28540 libqt5remoteobjects5-bin           	       5        0        5        0        0
28541 libqt5remoteobjects5-dev           	       5        0        5        0        0
28542 libqt5serialbus5-dev               	       5        0        5        0        0
28543 libqt5serialbus5-plugins           	       5        0        0        0        5
28544 libqt5virtualkeyboard5-dev         	       5        0        5        0        0
28545 libqt5xdg2                         	       5        0        0        0        5
28546 libqt5xdgiconloader2               	       5        0        0        0        5
28547 libqt63dcore6                      	       5        0        0        0        5
28548 libqt63drender6                    	       5        0        0        0        5
28549 libqt6chartsqml6                   	       5        0        0        0        5
28550 libqtscript4-core                  	       5        0        0        0        5
28551 libqtscript4-gui                   	       5        0        0        0        5
28552 libqtscript4-network               	       5        0        0        0        5
28553 libqtscript4-sql                   	       5        0        0        0        5
28554 libqtscript4-uitools               	       5        0        0        0        5
28555 libqtscript4-xml                   	       5        0        0        0        5
28556 libquazip1                         	       5        0        0        0        5
28557 libquazip1-qt6-1t64                	       5        0        0        0        5
28558 libqwt-headers                     	       5        0        0        0        5
28559 libqwt5-qt4                        	       5        0        0        0        5
28560 librados-dev                       	       5        0        3        2        0
28561 libraw1394-doc                     	       5        0        0        0        5
28562 libraw5                            	       5        0        0        0        5
28563 librdf-ns-perl                     	       5        0        5        0        0
28564 librdf-trine-node-literal-xml-perl 	       5        0        5        0        0
28565 librdf-trinex-functions-perl       	       5        0        5        0        0
28566 librecoll39                        	       5        0        0        0        5
28567 librenderdoc                       	       5        0        0        0        5
28568 libreoffice-help-zh-cn             	       5        0        0        0        5
28569 libreoffice24.2-debian-menus       	       5        0        5        0        0
28570 libreoffice24.2-ure                	       5        0        0        0        5
28571 libreoffice6.4-debian-menus        	       5        0        5        0        0
28572 libreoffice7.5-debian-menus        	       5        0        5        0        0
28573 libreoffice7.6-ure                 	       5        0        0        0        5
28574 libresolv-wrapper                  	       5        0        0        0        5
28575 libretro-beetle-psx                	       5        0        0        0        5
28576 libretro-bsnes-mercury-balanced    	       5        0        0        0        5
28577 libretro-mgba                      	       5        0        0        0        5
28578 librgw2                            	       5        0        0        0        5
28579 libroar-compat2                    	       5        0        0        0        5
28580 librpmsign1                        	       5        0        0        0        5
28581 librrd-dev                         	       5        0        5        0        0
28582 librsync-dev                       	       5        0        5        0        0
28583 librte-acl18.11                    	       5        0        0        0        5
28584 librte-bbdev18.11                  	       5        0        0        0        5
28585 librte-bitratestats18.11           	       5        0        0        0        5
28586 librte-bpf18.11                    	       5        0        0        0        5
28587 librte-cfgfile18.11                	       5        0        0        0        5
28588 librte-cmdline18.11                	       5        0        0        0        5
28589 librte-compressdev18.11            	       5        0        0        0        5
28590 librte-cryptodev18.11              	       5        0        0        0        5
28591 librte-distributor18.11            	       5        0        0        0        5
28592 librte-eal18.11                    	       5        0        0        0        5
28593 librte-efd18.11                    	       5        0        0        0        5
28594 librte-ethdev18.11                 	       5        0        0        0        5
28595 librte-eventdev18.11               	       5        0        0        0        5
28596 librte-flow-classify18.11          	       5        0        0        0        5
28597 librte-gro18.11                    	       5        0        0        0        5
28598 librte-gso18.11                    	       5        0        0        0        5
28599 librte-hash18.11                   	       5        0        0        0        5
28600 librte-ip-frag18.11                	       5        0        0        0        5
28601 librte-jobstats18.11               	       5        0        0        0        5
28602 librte-kni18.11                    	       5        0        0        0        5
28603 librte-kvargs18.11                 	       5        0        0        0        5
28604 librte-latencystats18.11           	       5        0        0        0        5
28605 librte-lpm18.11                    	       5        0        0        0        5
28606 librte-mbuf18.11                   	       5        0        0        0        5
28607 librte-member18.11                 	       5        0        0        0        5
28608 librte-mempool18.11                	       5        0        0        0        5
28609 librte-meter18.11                  	       5        0        0        0        5
28610 librte-metrics18.11                	       5        0        0        0        5
28611 librte-net18.11                    	       5        0        0        0        5
28612 librte-pci18.11                    	       5        0        0        0        5
28613 librte-pdump18.11                  	       5        0        0        0        5
28614 librte-pipeline18.11               	       5        0        0        0        5
28615 librte-port18.11                   	       5        0        0        0        5
28616 librte-power18.11                  	       5        0        0        0        5
28617 librte-rawdev18.11                 	       5        0        0        0        5
28618 librte-reorder18.11                	       5        0        0        0        5
28619 librte-ring18.11                   	       5        0        0        0        5
28620 librte-sched18.11                  	       5        0        0        0        5
28621 librte-security18.11               	       5        0        0        0        5
28622 librte-table18.11                  	       5        0        0        0        5
28623 librte-telemetry18.11              	       5        0        0        0        5
28624 librte-timer18.11                  	       5        0        0        0        5
28625 librte-vhost18.11                  	       5        0        0        0        5
28626 libruby2.0                         	       5        0        5        0        0
28627 librust-bindgen+clap-dev           	       5        0        0        0        5
28628 librust-bindgen+default-dev        	       5        0        0        0        5
28629 librust-bindgen+env-logger-dev     	       5        0        0        0        5
28630 librust-bindgen+log-dev            	       5        0        0        0        5
28631 librust-bindgen+logging-dev        	       5        0        0        0        5
28632 librust-bindgen+runtime-dev        	       5        0        0        0        5
28633 librust-bindgen+which-dev          	       5        0        0        0        5
28634 librust-bindgen-dev                	       5        0        0        0        5
28635 librust-cairo-sys-rs-dev           	       5        0        0        0        5
28636 librust-cfg-expr-dev               	       5        0        0        0        5
28637 librust-clang-sys+libloading-dev   	       5        0        0        0        5
28638 librust-clang-sys-dev              	       5        0        4        1        0
28639 librust-clap-3-dev                 	       5        0        4        1        0
28640 librust-clap-derive-3-dev          	       5        0        0        0        5
28641 librust-futures-channel-dev        	       5        0        0        0        5
28642 librust-futures-core-dev           	       5        0        0        0        5
28643 librust-futures-io-dev             	       5        0        0        0        5
28644 librust-futures-macro-dev          	       5        0        0        0        5
28645 librust-futures-sink-dev           	       5        0        0        0        5
28646 librust-futures-task-dev           	       5        0        0        0        5
28647 librust-futures-util-dev           	       5        0        0        0        5
28648 librust-gdk-pixbuf-sys-dev         	       5        0        5        0        0
28649 librust-gio-dev                    	       5        0        0        0        5
28650 librust-io-lifetimes-dev           	       5        0        0        0        5
28651 librust-linked-hash-map-dev        	       5        0        0        0        5
28652 librust-linux-raw-sys+compiler-builtins-dev	       5        0        0        0        5
28653 librust-linux-raw-sys+core-dev     	       5        0        0        0        5
28654 librust-linux-raw-sys+default-dev  	       5        0        0        0        5
28655 librust-linux-raw-sys+rustc-dep-of-std-dev	       5        0        0        0        5
28656 librust-os-pipe-dev                	       5        0        4        1        0
28657 librust-os-str-bytes-dev           	       5        0        0        0        5
28658 librust-pango-dev                  	       5        0        0        0        5
28659 librust-print-bytes-dev            	       5        0        0        0        5
28660 librust-slab-dev                   	       5        0        0        0        5
28661 librust-smawk-dev                  	       5        0        0        0        5
28662 librust-system-deps-dev            	       5        0        5        0        0
28663 librust-target-lexicon-dev         	       5        0        0        0        5
28664 librust-textwrap-dev               	       5        0        0        0        5
28665 librust-toml-dev                   	       5        0        0        0        5
28666 librust-unicode-linebreak-dev      	       5        0        0        0        5
28667 librust-uniquote-dev               	       5        0        0        0        5
28668 librust-version-compare-dev        	       5        0        0        0        5
28669 librust-yaml-rust-dev              	       5        0        0        0        5
28670 libsane-extras-dev                 	       5        0        0        0        5
28671 libscalapack-openmpi-dev           	       5        0        5        0        0
28672 libscalar-does-perl                	       5        0        5        0        0
28673 libscotch-dev                      	       5        0        5        0        0
28674 libsdl-gfx1.2-doc                  	       5        0        0        0        5
28675 libsdl-kitchensink1                	       5        0        0        0        5
28676 libsdl2-tests                      	       5        0        0        0        5
28677 libserialport-dev                  	       5        0        5        0        0
28678 libsexplib0-ocaml-dev              	       5        0        5        0        0
28679 libsfml-network2.6                 	       5        0        0        0        5
28680 libshaderc-dev                     	       5        0        5        0        0
28681 libshiboken2-dev                   	       5        0        5        0        0
28682 libsigc++-2.0-doc                  	       5        0        0        0        5
28683 libsignal-protocol-c-dev           	       5        0        5        0        0
28684 libsmbios-doc                      	       5        0        0        0        5
28685 libsnapd-glib1                     	       5        0        0        0        5
28686 libsnl0                            	       5        0        0        0        5
28687 libsnowball-norwegian-perl         	       5        1        4        0        0
28688 libsnowball-swedish-perl           	       5        1        4        0        0
28689 libspa-ffmpeg                      	       5        0        0        0        5
28690 libspatialaudio-dev                	       5        0        5        0        0
28691 libspatialindex-c8                 	       5        0        0        0        5
28692 libspf2-2t64                       	       5        2        1        0        2
28693 libstd-rust-1.41                   	       5        0        0        0        5
28694 libstd-rust-1.85                   	       5        0        0        0        5
28695 libstdc++-12-dev-armhf-cross       	       5        0        5        0        0
28696 libstdc++-12-doc                   	       5        0        0        0        5
28697 libstdc++6-powerpc-cross           	       5        0        0        0        5
28698 libstoken-dev                      	       5        0        5        0        0
28699 libstring-approx-perl              	       5        1        0        0        4
28700 libsubunit-perl                    	       5        0        5        0        0
28701 libsunpinyin3v5                    	       5        1        1        0        3
28702 libsurefire-java                   	       5        0        0        0        5
28703 libsvn-dev                         	       5        0        4        1        0
28704 libsvtav1-0                        	       5        0        2        0        3
28705 libswscale-ffmpeg3                 	       5        0        0        0        5
28706 libsystem-command-perl             	       5        0        5        0        0
28707 libsystemd-daemon0                 	       5        0        0        0        5
28708 libtag-c-dev                       	       5        0        5        0        0
28709 libtag-dev                         	       5        0        5        0        0
28710 libtag-extras-dev                  	       5        1        4        0        0
28711 libtaoframework-sdl1.2-cil         	       5        0        5        0        0
28712 libteem2                           	       5        0        0        0        5
28713 libtelepathy-logger2               	       5        0        0        0        5
28714 libtelnet2                         	       5        0        0        0        5
28715 libterm-size-any-perl              	       5        1        4        0        0
28716 libterm-size-perl-perl             	       5        1        4        0        0
28717 libterralib3                       	       5        0        0        0        5
28718 libtest-requiresinternet-perl      	       5        0        5        0        0
28719 libtest-simple-perl                	       5        0        5        0        0
28720 libtest-www-mechanize-perl         	       5        0        5        0        0
28721 libtext-engine-0.1-0               	       5        0        0        0        5
28722 libtext-german-perl                	       5        1        4        0        0
28723 libtext-microtemplate-perl         	       5        0        5        0        0
28724 libticcutils8                      	       5        0        0        0        5
28725 libticonv8                         	       5        0        0        0        5
28726 libtiffxx0c2                       	       5        0        0        0        5
28727 libtifiles2-10                     	       5        0        0        0        5
28728 libtinyxml2-2                      	       5        0        0        0        5
28729 libtommath-dev                     	       5        2        2        1        0
28730 libtraceevent1-plugin              	       5        0        0        0        5
28731 libtracker-miner-0.14-0            	       5        0        0        0        5
28732 libtree-simple-perl                	       5        1        4        0        0
28733 libtree-sitter0.22                 	       5        0        0        0        5
28734 libtty1                            	       5        0        0        0        5
28735 libu2f-server0                     	       5        0        0        0        5
28736 libubsan1-powerpc-cross            	       5        0        0        0        5
28737 libucommon-dev                     	       5        0        5        0        0
28738 libuhd3.15.0                       	       5        0        0        0        5
28739 libukwm-1-0                        	       5        0        0        0        5
28740 libumlib0                          	       5        0        0        0        5
28741 libunicode-stringprep-perl         	       5        0        5        0        0
28742 libunwind-14-dev                   	       5        0        5        0        0
28743 libupsclient6t64                   	       5        1        1        0        3
28744 libuuid-urandom-perl               	       5        0        5        0        0
28745 libv8-3.14.5                       	       5        0        0        0        5
28746 libva-egl1                         	       5        0        0        0        5
28747 libversion-perl                    	       5        0        0        0        5
28748 libvformat0                        	       5        0        0        0        5
28749 libvips-doc                        	       5        0        0        0        5
28750 libvirt-wireshark                  	       5        0        0        0        5
28751 libvisio-0.0-0                     	       5        0        0        0        5
28752 libvisual-0.4-dev                  	       5        0        5        0        0
28753 libvkd3d-dev                       	       5        0        0        0        5
28754 libvolk1.4                         	       5        0        0        0        5
28755 libvolume-id1                      	       5        0        0        0        5
28756 libvpx-doc                         	       5        0        0        0        5
28757 libvte-2.91-gtk4-0                 	       5        0        0        0        5
28758 libvterm-bin                       	       5        0        5        0        0
28759 libwaili1c2                        	       5        0        0        0        5
28760 libwayland-amdgpu-egl1             	       5        0        2        0        3
28761 libwayland-client-extra++1         	       5        0        0        0        5
28762 libwayland-doc                     	       5        0        0        0        5
28763 libwayland-server++1               	       5        0        0        0        5
28764 libwbclient-dev                    	       5        0        4        1        0
28765 libwbxml2-1                        	       5        0        1        0        4
28766 libwebkitgtk-6.0-dev               	       5        0        4        1        0
28767 libwireshark8                      	       5        0        0        0        5
28768 libwiretap6                        	       5        0        0        0        5
28769 libwpd-dev                         	       5        0        5        0        0
28770 libwpg-0.1-1                       	       5        0        0        0        5
28771 libwps-0.1-1                       	       5        0        0        0        5
28772 libwscodecs1                       	       5        0        0        0        5
28773 libwsutil7                         	       5        0        0        0        5
28774 libwv2-4                           	       5        0        0        0        5
28775 libwx-perl-processstream-perl      	       5        0        5        0        0
28776 libwx-scintilla-perl               	       5        0        0        0        5
28777 libwxbase2.8-dev                   	       5        0        0        0        5
28778 libwxgtk-media3.2-1t64             	       5        0        0        0        5
28779 libwxgtk2.8-dev                    	       5        0        0        0        5
28780 libwxgtk3.0-0                      	       5        0        0        0        5
28781 libwxsmithlib-dev                  	       5        0        5        0        0
28782 libwxsqlite3-3.0-dev               	       5        0        1        0        4
28783 libwxsqlite3-3.2-0t64              	       5        0        0        1        4
28784 libwxsqlite3-3.2-dev               	       5        0        5        0        0
28785 libx264-118                        	       5        0        0        0        5
28786 libx265-79                         	       5        0        0        0        5
28787 libx32asan1                        	       5        0        0        0        5
28788 libx32gcc-4.9-dev                  	       5        0        0        0        5
28789 libx32gfortran-14-dev              	       5        0        0        0        5
28790 libx32stdc++-11-dev                	       5        0        5        0        0
28791 libx32stdc++-8-dev                 	       5        0        5        0        0
28792 libxapian15                        	       5        0        0        0        5
28793 libxaw3dxft8-dev                   	       5        0        5        0        0
28794 libxenmisc4.16                     	       5        0        2        0        3
28795 libxerces-c-doc                    	       5        0        0        0        5
28796 libxerces2-java-doc                	       5        0        0        0        5
28797 libxine1-bin                       	       5        0        5        0        0
28798 libxine2-gnome                     	       5        0        1        0        4
28799 libxml-commons-resolver1.1-java-doc	       5        0        0        0        5
28800 libxmlrpc-core-c3-dev              	       5        0        5        0        0
28801 libxosd-dev                        	       5        1        4        0        0
28802 libxp-dev                          	       5        0        0        0        5
28803 libxslthl-java                     	       5        0        0        0        5
28804 libzarchive0.1                     	       5        0        0        0        5
28805 libzarith-ocaml                    	       5        0        4        0        1
28806 libzbarqt0                         	       5        0        0        0        5
28807 libzephyr-dev                      	       5        0        5        0        0
28808 libzia                             	       5        0        0        0        5
28809 libzscanner3                       	       5        0        0        0        5
28810 licenseutils                       	       5        0        5        0        0
28811 lightdm-autologin-greeter          	       5        0        0        0        5
28812 lightning-l10n-fr                  	       5        0        0        0        5
28813 lighttpd-mod-webdav                	       5        1        4        0        0
28814 lightyears                         	       5        0        5        0        0
28815 limesuite                          	       5        0        5        0        0
28816 linux-doc-4.19                     	       5        0        0        0        5
28817 linux-doc-6.11                     	       5        0        0        0        5
28818 linux-headers-4.19.0-17-amd64      	       5        0        5        0        0
28819 linux-headers-4.19.0-19-amd64      	       5        0        5        0        0
28820 linux-headers-4.19.0-24-common     	       5        0        5        0        0
28821 linux-headers-4.9.0-14-amd64       	       5        0        5        0        0
28822 linux-headers-4.9.0-14-common      	       5        0        5        0        0
28823 linux-headers-4.9.227-vs2.3.9.12-beng	       5        1        4        0        0
28824 linux-headers-5.10-amd64           	       5        0        0        0        5
28825 linux-headers-5.10.0-7-common      	       5        0        5        0        0
28826 linux-headers-6.1.0-0.deb11.13-amd64	       5        1        4        0        0
28827 linux-headers-6.1.0-0.deb11.13-common	       5        1        4        0        0
28828 linux-headers-6.1.0-0.deb11.17-amd64	       5        1        4        0        0
28829 linux-headers-6.1.0-0.deb11.17-common	       5        1        4        0        0
28830 linux-headers-6.10.9-amd64         	       5        0        5        0        0
28831 linux-headers-6.10.9-common        	       5        0        5        0        0
28832 linux-headers-6.12.9-amd64         	       5        0        5        0        0
28833 linux-headers-6.6.13+bpo-amd64     	       5        0        5        0        0
28834 linux-headers-6.6.13+bpo-common    	       5        0        5        0        0
28835 linux-headers-liquorix-amd64       	       5        0        0        0        5
28836 linux-headers-vserver-4.9-beng     	       5        0        0        0        5
28837 linux-image-4.19.0-26-686-pae      	       5        0        5        0        0
28838 linux-image-4.19.0-27-686          	       5        0        5        0        0
28839 linux-image-4.4.202-vs2.3.9.8-beng 	       5        0        5        0        0
28840 linux-image-4.9.0-0.bpo.5-amd64    	       5        0        4        0        1
28841 linux-image-4.9.0-5-amd64          	       5        0        5        0        0
28842 linux-image-5.10.0-0.deb10.16-amd64	       5        1        4        0        0
28843 linux-image-5.10.0-28-686          	       5        0        5        0        0
28844 linux-image-5.10.0-32-686          	       5        0        5        0        0
28845 linux-image-5.10.0-34-686          	       5        0        0        5        0
28846 linux-image-5.19.0-0.deb11.2-amd64 	       5        0        5        0        0
28847 linux-image-5.19.0-2-amd64         	       5        0        4        0        1
28848 linux-image-6.0.0-2-amd64          	       5        0        4        1        0
28849 linux-image-6.1.0-0.deb11.11-amd64 	       5        1        4        0        0
28850 linux-image-6.1.0-13-686           	       5        0        5        0        0
28851 linux-image-6.1.0-18-686           	       5        0        5        0        0
28852 linux-image-6.1.0-18-686-pae       	       5        0        4        0        1
28853 linux-image-6.1.0-18-amd64-unsigned	       5        0        5        0        0
28854 linux-image-6.1.0-18-cloud-amd64-unsigned	       5        0        5        0        0
28855 linux-image-6.1.0-18-rt-amd64-unsigned	       5        0        5        0        0
28856 linux-image-6.1.0-25-rt-amd64      	       5        0        4        0        1
28857 linux-image-6.1.0-27-686-pae       	       5        0        5        0        0
28858 linux-image-6.1.0-3-amd64          	       5        0        5        0        0
28859 linux-image-6.10.3-amd64           	       5        0        5        0        0
28860 linux-image-6.12.3-amd64           	       5        1        4        0        0
28861 linux-image-6.5.0-0.deb12.4-amd64  	       5        1        4        0        0
28862 linux-image-6.5.0-5-amd64          	       5        0        5        0        0
28863 linux-image-6.6.13+bpo-amd64       	       5        1        4        0        0
28864 linux-kbuild-5.15                  	       5        0        0        0        5
28865 linux-kbuild-5.4                   	       5        0        0        0        5
28866 linux-kbuild-5.9                   	       5        0        0        0        5
28867 linux-kbuild-6.10.9                	       5        0        0        0        5
28868 linux-kbuild-6.6.13+bpo            	       5        0        0        0        5
28869 linux-libc-dev-mips-cross          	       5        0        5        0        0
28870 linux-perf-4.19                    	       5        0        5        0        0
28871 linux-perf-4.9                     	       5        0        5        0        0
28872 linux-source-3.16                  	       5        0        0        0        5
28873 linux-support-6.1.0-18             	       5        0        5        0        0
28874 linuxmint-keyring                  	       5        0        0        0        5
28875 listserialportsc                   	       5        0        5        0        0
28876 litecoin-qt                        	       5        2        3        0        0
28877 live-manual-pdf                    	       5        0        0        0        5
28878 live-task-non-free-firmware-pc     	       5        0        0        0        5
28879 lix                                	       5        0        5        0        0
28880 lix-data                           	       5        0        0        0        5
28881 llvm-10                            	       5        0        5        0        0
28882 llvm-10-runtime                    	       5        0        5        0        0
28883 lmbench-doc                        	       5        0        0        0        5
28884 logmein-hamachi                    	       5        0        5        0        0
28885 lookup                             	       5        1        4        0        0
28886 lordsawar                          	       5        0        5        0        0
28887 lordsawar-data                     	       5        0        0        0        5
28888 lp-solve-doc                       	       5        0        0        0        5
28889 lpe                                	       5        0        5        0        0
28890 lsb                                	       5        0        0        0        5
28891 lsb-desktop                        	       5        0        0        0        5
28892 lsb-graphics                       	       5        0        0        0        5
28893 lsb-multimedia                     	       5        0        0        0        5
28894 lsb-printing                       	       5        0        0        0        5
28895 lscolors                           	       5        0        5        0        0
28896 lsmount                            	       5        1        4        0        0
28897 ltunify                            	       5        1        4        0        0
28898 lua-argparse                       	       5        0        0        0        5
28899 lua-check                          	       5        0        4        1        0
28900 lua-coxpcall                       	       5        0        0        0        5
28901 lua-dbi-common                     	       5        0        0        0        5
28902 lua-inspect                        	       5        0        0        0        5
28903 lua-mmdb                           	       5        0        0        0        5
28904 lua-svn                            	       5        0        0        0        5
28905 luatex                             	       5        0        0        0        5
28906 luckyluks                          	       5        1        4        0        0
28907 m16c-flash                         	       5        0        5        0        0
28908 macfanctld                         	       5        1        4        0        0
28909 mafft                              	       5        0        5        0        0
28910 magicmaze                          	       5        0        5        0        0
28911 magnus                             	       5        0        5        0        0
28912 mah-jong                           	       5        0        5        0        0
28913 mailagent                          	       5        1        4        0        0
28914 makemkv-bin                        	       5        1        3        1        0
28915 makemkv-oss                        	       5        1        3        1        0
28916 mame-doc                           	       5        0        0        0        5
28917 manpages-fr-extra                  	       5        0        0        0        5
28918 mapivi                             	       5        0        5        0        0
28919 mapnik-utils                       	       5        0        5        0        0
28920 mariadb-client-10.0                	       5        2        3        0        0
28921 mariadb-client-core-10.0           	       5        0        5        0        0
28922 mariadb-server-10.0                	       5        2        3        0        0
28923 mariadb-server-core-10.0           	       5        0        5        0        0
28924 mat                                	       5        0        1        0        4
28925 mate-settings-daemon-dev           	       5        0        5        0        0
28926 mathematica-fonts                  	       5        0        0        0        5
28927 maven-debian-helper                	       5        0        5        0        0
28928 mbpoll                             	       5        0        5        0        0
28929 mbw                                	       5        0        5        0        0
28930 mecab                              	       5        0        4        1        0
28931 mecab-ipadic-utf8                  	       5        0        0        0        5
28932 mecab-jumandic                     	       5        0        0        0        5
28933 mecab-jumandic-utf8                	       5        0        0        0        5
28934 med-config                         	       5        0        5        0        0
28935 megacmd                            	       5        0        5        0        0
28936 megapixels                         	       5        0        5        0        0
28937 memtool                            	       5        0        5        0        0
28938 mercurial-git                      	       5        1        4        0        0
28939 mercurial-keyring                  	       5        0        5        0        0
28940 meritous                           	       5        0        5        0        0
28941 meritous-data                      	       5        0        0        0        5
28942 merkaartor                         	       5        0        5        0        0
28943 mew-bin                            	       5        0        5        0        0
28944 mfc9970cdwcupswrapper              	       5        0        0        0        5
28945 mfc9970cdwlpr                      	       5        1        4        0        0
28946 mgetty-viewfax                     	       5        0        5        0        0
28947 mh-book                            	       5        0        5        0        0
28948 miller                             	       5        0        5        0        0
28949 min                                	       5        0        4        1        0
28950 minetest-mod-craftguide            	       5        0        0        0        5
28951 minetest-mod-currency              	       5        0        0        0        5
28952 minetest-mod-homedecor             	       5        0        0        0        5
28953 minetest-mod-protector             	       5        0        0        0        5
28954 minetest-mod-throwing              	       5        0        0        0        5
28955 minetest-mod-throwing-arrows       	       5        0        0        0        5
28956 minetest-mod-unifieddyes           	       5        0        0        0        5
28957 minetest-mod-worldedit             	       5        0        0        0        5
28958 minilzip                           	       5        1        3        1        0
28959 miri-sdr                           	       5        0        5        0        0
28960 mkbootimg                          	       5        0        5        0        0
28961 mkchromecast-alsa                  	       5        0        0        0        5
28962 mldonkey-gui                       	       5        0        5        0        0
28963 modemmanager-dev                   	       5        0        4        1        0
28964 mold                               	       5        0        5        0        0
28965 morsegen                           	       5        0        5        0        0
28966 motivewave                         	       5        0        4        1        0
28967 mp4v2-utils                        	       5        0        5        0        0
28968 mpegdemux                          	       5        1        4        0        0
28969 mpop                               	       5        1        4        0        0
28970 mpt-status                         	       5        3        2        0        0
28971 mrbayes                            	       5        0        5        0        0
28972 mrboom                             	       5        0        5        0        0
28973 mrtgutils                          	       5        0        5        0        0
28974 msp430mcu                          	       5        0        5        0        0
28975 multimedia-audio-utilities         	       5        0        0        0        5
28976 multipath-tools                    	       5        2        3        0        0
28977 mummer                             	       5        0        5        0        0
28978 muscle                             	       5        0        5        0        0
28979 myspell-da                         	       5        0        0        0        5
28980 myspell-sk                         	       5        0        0        0        5
28981 myspell-sq                         	       5        0        0        0        5
28982 mysql-workbench-data               	       5        0        0        0        5
28983 mythtv-backend                     	       5        2        3        0        0
28984 mythtv-database                    	       5        0        0        0        5
28985 mythtv-transcode                   	       5        1        3        1        0
28986 naev-data                          	       5        0        0        0        5
28987 nas                                	       5        1        4        0        0
28988 nautilus-admin                     	       5        0        0        0        5
28989 ncaptool                           	       5        1        4        0        0
28990 neko                               	       5        0        5        0        0
28991 neowofetch                         	       5        0        5        0        0
28992 netgen-lvs                         	       5        0        5        0        0
28993 netrik                             	       5        0        4        1        0
28994 nginx-extras                       	       5        0        3        0        2
28995 nikto                              	       5        1        4        0        0
28996 njam                               	       5        0        5        0        0
28997 njam-data                          	       5        0        0        0        5
28998 noblenote                          	       5        0        5        0        0
28999 node-bindings                      	       5        0        0        0        5
29000 node-debbundle-acorn               	       5        0        3        0        2
29001 node-dompurify                     	       5        0        0        0        5
29002 node-exit                          	       5        0        0        0        5
29003 node-js-cookie                     	       5        0        0        0        5
29004 node-range-parser                  	       5        0        0        0        5
29005 nsca-client                        	       5        0        5        0        0
29006 nss-passwords                      	       5        1        4        0        0
29007 nut-ipmi                           	       5        2        3        0        0
29008 nvclock                            	       5        0        5        0        0
29009 nvidia-container-toolkit           	       5        0        4        1        0
29010 nvidia-container-toolkit-base      	       5        1        3        1        0
29011 nvidia-driver-libs-i386            	       5        0        0        0        5
29012 nvidia-legacy-340xx-smi            	       5        1        4        0        0
29013 nvidia-settings-legacy-340xx       	       5        1        4        0        0
29014 nvptx-tools                        	       5        1        4        0        0
29015 obmenu                             	       5        0        5        0        0
29016 obs-move-transition                	       5        0        0        0        5
29017 obs-scene-notes-dock               	       5        0        0        0        5
29018 obs-source-copy                    	       5        0        0        0        5
29019 obs-utils                          	       5        1        4        0        0
29020 ocaml-doc                          	       5        0        0        0        5
29021 octave-miscellaneous               	       5        0        0        0        5
29022 onvif-tools                        	       5        0        5        0        0
29023 openafs-krb5                       	       5        2        3        0        0
29024 openboard                          	       5        0        5        0        0
29025 openboard-common                   	       5        0        0        0        5
29026 openclipart2-libreoffice           	       5        0        5        0        0
29027 openclipart2-png                   	       5        0        0        0        5
29028 opencollada-dev                    	       5        0        5        0        0
29029 opencryptoki                       	       5        0        5        0        0
29030 opencv-doc                         	       5        0        0        0        5
29031 openimageio-tools                  	       5        0        5        0        0
29032 openjdk-7-jre-lib                  	       5        0        0        0        5
29033 openjdk-8-doc                      	       5        0        0        0        5
29034 openjdk-9-jre-headless             	       5        0        5        0        0
29035 openlp                             	       5        0        4        1        0
29036 openni-utils                       	       5        0        5        0        0
29037 openoffice.org-common              	       5        1        3        1        0
29038 openoffice.org-java-common         	       5        0        1        0        4
29039 openoffice.org-writer              	       5        0        5        0        0
29040 opensnitch                         	       5        2        3        0        0
29041 openssh-client-ssh1                	       5        0        5        0        0
29042 openvpn-auth-radius                	       5        0        5        0        0
29043 openyahtzee                        	       5        0        5        0        0
29044 oroborus                           	       5        0        5        0        0
29045 out-of-order                       	       5        0        5        0        0
29046 overlay-boot                       	       5        0        5        0        0
29047 owfs-fuse                          	       5        2        3        0        0
29048 pacvim                             	       5        1        4        0        0
29049 pal                                	       5        0        5        0        0
29050 pam-mkhomedir                      	       5        0        0        0        5
29051 pandoc-citeproc-preamble           	       5        0        5        0        0
29052 paraview-doc                       	       5        0        0        0        5
29053 parcimonie                         	       5        2        3        0        0
29054 parsec47                           	       5        0        5        0        0
29055 parsec47-data                      	       5        0        0        0        5
29056 pasmo                              	       5        0        5        0        0
29057 pcc                                	       5        1        4        0        0
29058 pd-ableton-link                    	       5        0        5        0        0
29059 pd-comport                         	       5        0        5        0        0
29060 pd-flext-doc                       	       5        0        0        0        5
29061 pd-kollabs                         	       5        0        5        0        0
29062 pd-lib-builder                     	       5        0        0        0        5
29063 pd-purepd                          	       5        0        5        0        0
29064 pdftoipe                           	       5        0        5        0        0
29065 pdksh                              	       5        1        4        0        0
29066 pdns-backend-bind                  	       5        2        2        0        1
29067 pdns-recursor                      	       5        2        3        0        0
29068 pdns-tools                         	       5        0        5        0        0
29069 peony                              	       5        1        4        0        0
29070 peony-extension-computer-view      	       5        0        0        0        5
29071 peony-extensions                   	       5        0        0        0        5
29072 peony-open-terminal                	       5        0        0        0        5
29073 peony-set-wallpaper                	       5        0        0        0        5
29074 percona-toolkit                    	       5        0        5        0        0
29075 perf-tools-unstable                	       5        0        5        0        0
29076 perforate                          	       5        1        4        0        0
29077 perlconsole                        	       5        1        4        0        0
29078 perlprimer-doc                     	       5        0        0        0        5
29079 pfm                                	       5        0        5        0        0
29080 pgbadger                           	       5        1        4        0        0
29081 pgbouncer                          	       5        3        2        0        0
29082 pgn-extract                        	       5        0        5        0        0
29083 phoronix-test-suite                	       5        0        5        0        0
29084 php-codesniffer                    	       5        0        5        0        0
29085 php-doctrine-deprecations          	       5        0        5        0        0
29086 php-file-iterator                  	       5        0        5        0        0
29087 php-font-lib                       	       5        0        5        0        0
29088 php-mdb2-driver-mysql              	       5        1        4        0        0
29089 php-parser                         	       5        0        5        0        0
29090 php-phar-io-version                	       5        0        5        0        0
29091 php-psr-event-dispatcher           	       5        0        4        1        0
29092 php-snmp                           	       5        0        0        0        5
29093 php-symfony-debug                  	       5        0        5        0        0
29094 php-tokenizer                      	       5        0        5        0        0
29095 php-uploadprogress                 	       5        1        3        0        1
29096 php5-fpm                           	       5        2        3        0        0
29097 php5.6-cgi                         	       5        1        4        0        0
29098 php5.6-fpm                         	       5        1        4        0        0
29099 php5.6-xmlrpc                      	       5        3        2        0        0
29100 php7.0-tidy                        	       5        2        3        0        0
29101 php7.2-cli                         	       5        1        4        0        0
29102 php7.2-json                        	       5        1        4        0        0
29103 php7.2-opcache                     	       5        1        4        0        0
29104 php7.2-readline                    	       5        1        4        0        0
29105 php7.4-igbinary                    	       5        2        3        0        0
29106 php8.1-bz2                         	       5        3        2        0        0
29107 php8.1-cgi                         	       5        0        5        0        0
29108 php8.1-pgsql                       	       5        1        4        0        0
29109 php8.1-tidy                        	       5        0        5        0        0
29110 php8.1-xsl                         	       5        0        0        0        5
29111 php8.2-uploadprogress              	       5        1        4        0        0
29112 php8.3-imap                        	       5        1        4        0        0
29113 php8.4-gd                          	       5        3        1        1        0
29114 php8.4-intl                        	       5        4        0        1        0
29115 phyml                              	       5        0        5        0        0
29116 picard-tools                       	       5        0        5        0        0
29117 pidgin-audacious                   	       5        0        0        0        5
29118 pidgin-awayonlock                  	       5        0        0        0        5
29119 pidgin-blinklight                  	       5        1        4        0        0
29120 pidgin-dev                         	       5        1        4        0        0
29121 pidgin-librvp                      	       5        1        0        0        4
29122 pike8.0                            	       5        0        0        0        5
29123 pike8.0-gdbm                       	       5        0        5        0        0
29124 pike8.0-mysql                      	       5        0        5        0        0
29125 pike8.0-pcre                       	       5        0        5        0        0
29126 pike8.0-pg                         	       5        0        5        0        0
29127 pinball-table-gnu                  	       5        0        0        0        5
29128 pinball-table-gnu-data             	       5        0        0        0        5
29129 pinball-table-hurd                 	       5        0        0        0        5
29130 pinball-table-hurd-data            	       5        0        0        0        5
29131 pipemeter                          	       5        1        4        0        0
29132 pkgdiff                            	       5        1        4        0        0
29133 pktstat                            	       5        0        5        0        0
29134 plasma-applet-redshift-control     	       5        0        1        0        4
29135 plasma-scriptengine-webkit         	       5        0        5        0        0
29136 plexmediaserver                    	       5        2        3        0        0
29137 plplot-driver-qt                   	       5        0        0        0        5
29138 plplot-driver-wxwidgets            	       5        0        0        0        5
29139 png23d                             	       5        0        5        0        0
29140 png2html                           	       5        0        5        0        0
29141 pngphoon                           	       5        0        5        0        0
29142 ponyprog                           	       5        1        4        0        0
29143 postgresql-10                      	       5        3        2        0        0
29144 postgresql-13-snakeoil             	       5        2        2        1        0
29145 postgresql-all                     	       5        0        0        0        5
29146 postgresql-client-10               	       5        1        4        0        0
29147 postgresql-client-9.1              	       5        1        4        0        0
29148 postgresql-doc-16                  	       5        0        0        0        5
29149 postgresql-doc-17                  	       5        0        0        0        5
29150 postgresql-doc-9.4                 	       5        0        0        0        5
29151 postgresql-plperl-13               	       5        0        5        0        0
29152 power-calibrate                    	       5        0        5        0        0
29153 powerpanel                         	       5        1        4        0        0
29154 pptpd                              	       5        0        5        0        0
29155 premake4                           	       5        0        5        0        0
29156 prometheus-node-exporter-collectors	       5        0        5        0        0
29157 proton-mail                        	       5        0        5        0        0
29158 psbasic                            	       5        0        5        0        0
29159 pslist                             	       5        1        4        0        0
29160 pstack                             	       5        0        5        0        0
29161 pt2-clone                          	       5        0        5        0        0
29162 pushover                           	       5        0        5        0        0
29163 pushover-data                      	       5        0        0        0        5
29164 pwget                              	       5        1        4        0        0
29165 pybuild-plugin-pyproject           	       5        0        0        0        5
29166 pycadf-common                      	       5        0        0        0        5
29167 python-adns                        	       5        1        4        0        0
29168 python-bittorrent                  	       5        0        5        0        0
29169 python-bson-ext                    	       5        1        4        0        0
29170 python-certbot-doc                 	       5        0        0        0        5
29171 python-cycler-doc                  	       5        0        0        0        5
29172 python-distlib                     	       5        0        5        0        0
29173 python-distorm3                    	       5        1        4        0        0
29174 python-distro-info                 	       5        0        5        0        0
29175 python-django-doc                  	       5        0        0        0        5
29176 python-dns                         	       5        0        5        0        0
29177 python-fuse                        	       5        0        5        0        0
29178 python-genshi-doc                  	       5        0        0        0        5
29179 python-gridfs                      	       5        1        4        0        0
29180 python-ipython-doc                 	       5        0        0        0        5
29181 python-m2crypto                    	       5        1        4        0        0
29182 python-opencv                      	       5        0        5        0        0
29183 python-pandas-doc                  	       5        0        0        0        5
29184 python-pastedeploy-tpl             	       5        0        0        0        5
29185 python-psycopg2-doc                	       5        0        0        0        5
29186 python-pyatspi                     	       5        0        5        0        0
29187 python-pyexiv2-doc                 	       5        0        0        0        5
29188 python-pygraphviz                  	       5        0        5        0        0
29189 python-pymongo-ext                 	       5        1        4        0        0
29190 python-qrcode                      	       5        0        5        0        0
29191 python-tornado-doc                 	       5        0        0        0        5
29192 python-twisted-conch               	       5        0        1        0        4
29193 python-twisted-mail                	       5        0        1        0        4
29194 python-uritools                    	       5        0        5        0        0
29195 python-urwid-doc                   	       5        0        0        0        5
29196 python-werkzeug-doc                	       5        0        0        0        5
29197 python-yara                        	       5        1        4        0        0
29198 python-zope.component              	       5        1        4        0        0
29199 python-zope.event                  	       5        1        4        0        0
29200 python-zope.hookable               	       5        1        4        0        0
29201 python2-dbg                        	       5        0        5        0        0
29202 python2-doc                        	       5        0        0        0        5
29203 python3-access2base                	       5        0        4        1        0
29204 python3-autopage                   	       5        0        5        0        0
29205 python3-barman                     	       5        2        2        1        0
29206 python3-blessings                  	       5        0        5        0        0
29207 python3-casacore                   	       5        0        5        0        0
29208 python3-catkin-pkg                 	       5        0        4        1        0
29209 python3-cloudflare                 	       5        1        4        0        0
29210 python3-cmarkgfm                   	       5        0        5        0        0
29211 python3-cracklib                   	       5        0        4        1        0
29212 python3-daemonize                  	       5        0        5        0        0
29213 python3-easygui                    	       5        0        5        0        0
29214 python3-easyprocess                	       5        0        5        0        0
29215 python3-editobj3                   	       5        0        5        0        0
29216 python3-eduvpn-client              	       5        0        5        0        0
29217 python3-enzyme                     	       5        0        5        0        0
29218 python3-etcd                       	       5        0        5        0        0
29219 python3-flask-babel                	       5        0        5        0        0
29220 python3-flask-cors                 	       5        0        4        1        0
29221 python3-gdcm                       	       5        0        5        0        0
29222 python3-geopandas                  	       5        0        5        0        0
29223 python3-google-auth-oauthlib       	       5        0        5        0        0
29224 python3-h5netcdf                   	       5        0        5        0        0
29225 python3-imath                      	       5        0        5        0        0
29226 python3-jupyterlab-server          	       5        1        4        0        0
29227 python3-lark                       	       5        0        5        0        0
29228 python3-lexicon                    	       5        0        5        0        0
29229 python3-mapnik                     	       5        0        5        0        0
29230 python3-mercurial-extension-utils  	       5        0        5        0        0
29231 python3-nut                        	       5        0        5        0        0
29232 python3-objgraph                   	       5        1        4        0        0
29233 python3-ofxparse                   	       5        0        5        0        0
29234 python3-opensnitch-ui              	       5        0        5        0        0
29235 python3-osc-lib                    	       5        0        5        0        0
29236 python3-parallel                   	       5        0        5        0        0
29237 python3-path-and-address           	       5        0        5        0        0
29238 python3-pecan                      	       5        0        5        0        0
29239 python3-pendulum                   	       5        0        5        0        0
29240 python3-pint                       	       5        0        5        0        0
29241 python3-prov                       	       5        0        5        0        0
29242 python3-psycopg                    	       5        0        4        1        0
29243 python3-pubsub                     	       5        0        5        0        0
29244 python3-pyqt5.qtx11extras          	       5        0        5        0        0
29245 python3-pyqt6.qtwebsockets         	       5        0        2        3        0
29246 python3-pysword                    	       5        0        4        1        0
29247 python3-pyvirtualdisplay           	       5        0        5        0        0
29248 python3-pyvisa                     	       5        0        5        0        0
29249 python3-pyvisa-py                  	       5        0        4        0        1
29250 python3-q-text-as-data             	       5        1        4        0        0
29251 python3-qrencode                   	       5        0        5        0        0
29252 python3-qwt                        	       5        1        4        0        0
29253 python3-renpy                      	       5        0        5        0        0
29254 python3-requests-futures           	       5        0        5        0        0
29255 python3-responses                  	       5        0        5        0        0
29256 python3-rtmidi                     	       5        0        5        0        0
29257 python3-searx                      	       5        0        5        0        0
29258 python3-singledispatch             	       5        0        5        0        0
29259 python3-softlayer                  	       5        0        5        0        0
29260 python3-soundfile                  	       5        0        5        0        0
29261 python3-statsmodels                	       5        0        5        0        0
29262 python3-statsmodels-lib            	       5        0        5        0        0
29263 python3-subliminal                 	       5        0        5        0        0
29264 python3-taglib                     	       5        0        5        0        0
29265 python3-termbox                    	       5        0        5        0        0
29266 python3-tesserocr                  	       5        0        4        1        0
29267 python3-torchvision                	       5        0        4        1        0
29268 python3-typogrify                  	       5        0        5        0        0
29269 python3-ufo2ft                     	       5        0        5        0        0
29270 python3-wxgtk-media4.0             	       5        0        5        0        0
29271 python3-xvfbwrapper                	       5        0        5        0        0
29272 python3-yattag                     	       5        0        5        0        0
29273 python3-zfpy                       	       5        0        4        1        0
29274 python3.8                          	       5        0        5        0        0
29275 ql700pdrv                          	       5        0        0        0        5
29276 qmail-uids-gids                    	       5        0        0        0        5
29277 qml-module-org-kde-kirigami        	       5        0        0        0        5
29278 qml-module-org-kde-notifications   	       5        0        0        0        5
29279 qml-module-qtquick-scene3d         	       5        0        0        0        5
29280 qml6-module-org-kde-kirigamiaddons-statefulapp	       5        0        0        0        5
29281 qonk                               	       5        0        5        0        0
29282 qt5-quick-demos                    	       5        0        0        0        5
29283 qt5serialport-examples             	       5        0        0        0        5
29284 qt6-charts-dev                     	       5        0        3        2        0
29285 qt6-serialport-dev                 	       5        0        3        2        0
29286 qtconnectivity5-dev                	       5        0        5        0        0
29287 quarto                             	       5        1        4        0        0
29288 quicktime-x11utils                 	       5        0        5        0        0
29289 r-cran-batchjobs                   	       5        0        5        0        0
29290 r-cran-brglm2                      	       5        1        4        0        0
29291 r-cran-broom.helpers               	       5        1        4        0        0
29292 r-cran-cmprsk                      	       5        1        4        0        0
29293 r-cran-conflicted                  	       5        1        4        0        0
29294 r-cran-corrplot                    	       5        1        4        0        0
29295 r-cran-cyclocomp                   	       5        1        4        0        0
29296 r-cran-deriv                       	       5        1        4        0        0
29297 r-cran-diptest                     	       5        1        4        0        0
29298 r-cran-doby                        	       5        1        4        0        0
29299 r-cran-enrichwith                  	       5        1        4        0        0
29300 r-cran-epi                         	       5        1        4        0        0
29301 r-cran-etm                         	       5        1        4        0        0
29302 r-cran-factoextra                  	       5        1        4        0        0
29303 r-cran-fauxpas                     	       5        1        4        0        0
29304 r-cran-fit.models                  	       5        1        4        0        0
29305 r-cran-flexmix                     	       5        0        4        1        0
29306 r-cran-fpc                         	       5        1        4        0        0
29307 r-cran-ggeffects                   	       5        1        4        0        0
29308 r-cran-ggforce                     	       5        1        4        0        0
29309 r-cran-ggpubr                      	       5        1        4        0        0
29310 r-cran-ggsci                       	       5        1        4        0        0
29311 r-cran-ggsignif                    	       5        1        4        0        0
29312 r-cran-ggstats                     	       5        1        4        0        0
29313 r-cran-gridsvg                     	       5        1        4        0        0
29314 r-cran-intergraph                  	       5        1        4        0        0
29315 r-cran-inum                        	       5        1        4        0        0
29316 r-cran-isocodes                    	       5        1        4        0        0
29317 r-cran-itertools                   	       5        1        4        0        0
29318 r-cran-kableextra                  	       5        1        4        0        0
29319 r-cran-kmi                         	       5        1        4        0        0
29320 r-cran-labelled                    	       5        1        4        0        0
29321 r-cran-lintr                       	       5        1        4        0        0
29322 r-cran-marginaleffects             	       5        1        4        0        0
29323 r-cran-mclogit                     	       5        1        4        0        0
29324 r-cran-mi                          	       5        0        5        0        0
29325 r-cran-microbenchmark              	       5        1        4        0        0
29326 r-cran-multicore                   	       5        0        5        0        0
29327 r-cran-nanoarrow                   	       5        1        4        0        0
29328 r-cran-nnls                        	       5        1        4        0        0
29329 r-cran-optimparallel               	       5        1        4        0        0
29330 r-cran-party                       	       5        1        4        0        0
29331 r-cran-partykit                    	       5        1        4        0        0
29332 r-cran-patrick                     	       5        1        4        0        0
29333 r-cran-pbmcapply                   	       5        1        4        0        0
29334 r-cran-pec                         	       5        1        4        0        0
29335 r-cran-pingr                       	       5        1        4        0        0
29336 r-cran-polynom                     	       5        1        4        0        0
29337 r-cran-prabclus                    	       5        1        4        0        0
29338 r-cran-publish                     	       5        1        4        0        0
29339 r-cran-pwr                         	       5        1        4        0        0
29340 r-cran-rcsdp                       	       5        1        4        0        0
29341 r-cran-renv                        	       5        0        4        1        0
29342 r-cran-rhpcblasctl                 	       5        1        4        0        0
29343 r-cran-riskregression              	       5        1        4        0        0
29344 r-cran-rjson                       	       5        0        5        0        0
29345 r-cran-rmpfr                       	       5        1        4        0        0
29346 r-cran-robust                      	       5        1        4        0        0
29347 r-cran-rrcov                       	       5        1        4        0        0
29348 r-cran-rstatix                     	       5        1        4        0        0
29349 r-cran-sem                         	       5        0        5        0        0
29350 r-cran-sendmailr                   	       5        0        5        0        0
29351 r-cran-snakecase                   	       5        1        4        0        0
29352 r-cran-spatialreg                  	       5        0        4        1        0
29353 r-cran-unitizer                    	       5        1        4        0        0
29354 r-cran-vcr                         	       5        1        4        0        0
29355 r-cran-venndiagram                 	       5        1        4        0        0
29356 r-cran-vioplot                     	       5        1        4        0        0
29357 r-cran-webmockr                    	       5        1        4        0        0
29358 r-cran-whoami                      	       5        1        4        0        0
29359 r-cran-xmlparsedata                	       5        1        4        0        0
29360 randtype                           	       5        0        5        0        0
29361 rasqal-utils                       	       5        0        5        0        0
29362 readpst                            	       5        0        0        0        5
29363 recode-doc                         	       5        0        0        0        5
29364 redmine                            	       5        0        5        0        0
29365 remake                             	       5        0        5        0        0
29366 remmina-plugin-python              	       5        0        0        0        5
29367 rename-flac                        	       5        0        5        0        0
29368 renpy                              	       5        0        5        0        0
29369 renpy-thequestion                  	       5        0        5        0        0
29370 retro-runner                       	       5        0        0        0        5
29371 rfcdiff                            	       5        1        4        0        0
29372 rien-knot-resolver                 	       5        0        0        1        4
29373 ripmime                            	       5        2        3        0        0
29374 rocm-hip-runtime                   	       5        0        0        0        5
29375 rocm-llvm                          	       5        0        5        0        0
29376 rocm-opencl-sdk                    	       5        0        0        0        5
29377 ronn                               	       5        0        5        0        0
29378 rrdcached                          	       5        2        3        0        0
29379 rrdtool-tcl                        	       5        0        0        0        5
29380 rrqnet                             	       5        1        4        0        0
29381 rubocop                            	       5        0        5        0        0
29382 ruby-actionpack-action-caching     	       5        0        5        0        0
29383 ruby-ansi                          	       5        0        5        0        0
29384 ruby-arel                          	       5        0        5        0        0
29385 ruby-ast                           	       5        0        4        1        0
29386 ruby-colorize                      	       5        0        5        0        0
29387 ruby-dnsruby                       	       5        1        4        0        0
29388 ruby-hashery                       	       5        0        4        1        0
29389 ruby-hitimes                       	       5        0        1        0        4
29390 ruby-jekyll-paginate               	       5        0        5        0        0
29391 ruby-mixlib-cli                    	       5        0        5        0        0
29392 ruby-mysql2                        	       5        2        3        0        0
29393 ruby-parallel                      	       5        0        5        0        0
29394 ruby-passenger                     	       5        0        0        0        5
29395 ruby-pdf-reader                    	       5        0        4        1        0
29396 ruby-progressbar                   	       5        0        5        0        0
29397 ruby-rails-observers               	       5        0        5        0        0
29398 ruby-rc4                           	       5        0        4        1        0
29399 ruby-rd                            	       5        0        4        1        0
29400 ruby-request-store                 	       5        0        5        0        0
29401 ruby-roadie                        	       5        0        5        0        0
29402 ruby-roadie-rails                  	       5        0        5        0        0
29403 ruby-rspec                         	       5        0        5        0        0
29404 ruby-rubocop-ast                   	       5        0        5        0        0
29405 ruby-sequel                        	       5        0        5        0        0
29406 ruby-terser                        	       5        0        5        0        0
29407 ruby-timers                        	       5        0        5        0        0
29408 ruby-whitequark-parser             	       5        0        5        0        0
29409 ruby2.0                            	       5        0        5        0        0
29410 ruby3.3-dev                        	       5        1        3        1        0
29411 ruby3.3-doc                        	       5        0        0        0        5
29412 samplv1-lv2                        	       5        0        5        0        0
29413 sat4j                              	       5        0        5        0        0
29414 sauerbraten                        	       5        1        4        0        0
29415 savi                               	       5        0        5        0        0
29416 sawfish-themes                     	       5        0        0        0        5
29417 sc3-plugins-server                 	       5        0        5        0        0
29418 schema2ldif                        	       5        0        5        0        0
29419 sdop                               	       5        0        5        0        0
29420 seafile-gui                        	       5        0        5        0        0
29421 searx                              	       5        0        5        0        0
29422 sequencer64                        	       5        0        5        0        0
29423 serdi                              	       5        0        5        0        0
29424 setbfree                           	       5        0        5        0        0
29425 sfftw2                             	       5        0        0        0        5
29426 sgrep                              	       5        1        4        0        0
29427 shishi-common                      	       5        0        0        0        5
29428 shorewall-init                     	       5        0        5        0        0
29429 shorewall6                         	       5        2        3        0        0
29430 showq                              	       5        0        5        0        0
29431 singularity                        	       5        1        4        0        0
29432 singularity-music                  	       5        0        0        0        5
29433 sioyek                             	       5        0        5        0        0
29434 sip-tester                         	       5        0        5        0        0
29435 sipsak                             	       5        0        5        0        0
29436 skkdic-extra                       	       5        0        1        0        4
29437 sludge-devkit                      	       5        0        5        0        0
29438 sludge-doc                         	       5        0        0        0        5
29439 smstools                           	       5        1        4        0        0
29440 snd-gtk-jack                       	       5        0        0        0        5
29441 snd-gtk-pulse                      	       5        0        0        0        5
29442 snd-nox                            	       5        0        5        0        0
29443 snmptrapfmt                        	       5        3        2        0        0
29444 snmptt                             	       5        1        4        0        0
29445 so-synth-lv2                       	       5        0        5        0        0
29446 soapyosmo-common0.7                	       5        0        0        0        5
29447 soapysdr0.7-module-airspy          	       5        0        0        0        5
29448 soapysdr0.7-module-all             	       5        0        0        0        5
29449 soapysdr0.7-module-bladerf         	       5        0        0        0        5
29450 soapysdr0.7-module-hackrf          	       5        0        0        0        5
29451 soapysdr0.7-module-lms7            	       5        0        0        0        5
29452 soapysdr0.7-module-mirisdr         	       5        0        0        0        5
29453 soapysdr0.7-module-osmosdr         	       5        0        0        0        5
29454 soapysdr0.7-module-redpitaya       	       5        0        0        0        5
29455 soapysdr0.7-module-remote          	       5        0        0        0        5
29456 soapysdr0.7-module-rfspace         	       5        0        0        0        5
29457 soapysdr0.7-module-uhd             	       5        0        0        0        5
29458 songwrite                          	       5        0        5        0        0
29459 sparse                             	       5        0        5        0        0
29460 sphinx-intl                        	       5        0        5        0        0
29461 sphinxbase-utils                   	       5        0        5        0        0
29462 spl-dkms                           	       5        1        0        0        4
29463 splint-doc-html                    	       5        0        0        0        5
29464 sqlmap                             	       5        1        4        0        0
29465 squid-cgi                          	       5        1        4        0        0
29466 squid-openssl                      	       5        0        5        0        0
29467 ssed                               	       5        1        4        0        0
29468 ssh-import-id                      	       5        0        5        0        0
29469 sslsniff                           	       5        0        5        0        0
29470 stardict-plugin-spell              	       5        0        2        0        3
29471 starfighter                        	       5        0        5        0        0
29472 starfighter-data                   	       5        0        0        0        5
29473 statcvs                            	       5        0        5        0        0
29474 subliminal                         	       5        0        5        0        0
29475 substance                          	       5        0        0        0        5
29476 subtitlecomposer                   	       5        0        5        0        0
29477 subunit                            	       5        0        5        0        0
29478 sunpinyin-data                     	       5        1        1        0        3
29479 supercollider-ide                  	       5        0        5        0        0
29480 suricata-update                    	       5        1        4        0        0
29481 svgtune                            	       5        0        4        1        0
29482 svn2git                            	       5        0        5        0        0
29483 swig4.0-examples                   	       5        0        5        0        0
29484 sword-text-kjv                     	       5        0        0        0        5
29485 syncthing-relaysrv                 	       5        0        4        1        0
29486 syncthingtray-kde-plasma           	       5        0        4        0        1
29487 sysinfo                            	       5        1        4        0        0
29488 systemd-shim                       	       5        0        5        0        0
29489 tagainijisho                       	       5        1        4        0        0
29490 task-esperanto                     	       5        0        0        0        5
29491 task-galician                      	       5        0        0        0        5
29492 task-romanian                      	       5        0        0        0        5
29493 tcl8.6-tdbc                        	       5        0        5        0        0
29494 tclx8.4-doc                        	       5        0        0        0        5
29495 tcpspy                             	       5        1        4        0        0
29496 tdesudo-trinity                    	       5        0        5        0        0
29497 termrec                            	       5        0        5        0        0
29498 termshark                          	       5        0        5        0        0
29499 texinfo-doc-nonfree                	       5        0        0        0        5
29500 tfortune                           	       5        0        5        0        0
29501 tfortunes                          	       5        0        0        0        5
29502 thc-ipv6                           	       5        1        4        0        0
29503 thunderbird-l10n-es-ar             	       5        0        4        1        0
29504 timg                               	       5        0        5        0        0
29505 timidity-el                        	       5        1        4        0        0
29506 titanion                           	       5        0        5        0        0
29507 tk-itk4                            	       5        0        0        0        5
29508 tkdesk                             	       5        0        5        0        0
29509 tldr-hs                            	       5        0        5        0        0
29510 tomcat9-admin                      	       5        0        0        0        5
29511 toxic                              	       5        0        5        0        0
29512 tracker-gui                        	       5        0        5        0        0
29513 transfuse                          	       5        0        5        0        0
29514 treetop                            	       5        0        5        0        0
29515 tribler                            	       5        0        5        0        0
29516 triggerhappy                       	       5        3        2        0        0
29517 ttf-denemo                         	       5        1        0        0        4
29518 ttf-indic-fonts                    	       5        0        0        0        5
29519 ttf-isabella                       	       5        0        0        0        5
29520 ttf-opensymbol                     	       5        0        0        0        5
29521 tth                                	       5        0        5        0        0
29522 tumiki-fighters                    	       5        0        5        0        0
29523 tupi-data                          	       5        0        0        0        5
29524 tuxguitar-fluidsynth               	       5        1        3        1        0
29525 tuxguitar-jsa                      	       5        0        0        0        5
29526 tvbrowser                          	       5        0        5        0        0
29527 twin                               	       5        0        5        0        0
29528 twine                              	       5        0        5        0        0
29529 twinkle-console                    	       5        1        4        0        0
29530 u-boot-menu                        	       5        0        4        1        0
29531 uacme                              	       5        0        5        0        0
29532 ubertooth                          	       5        0        5        0        0
29533 ubertooth-firmware                 	       5        0        0        0        5
29534 ublock-origin-doc                  	       5        0        0        0        5
29535 uboot-mkimage                      	       5        0        0        0        5
29536 udisks2-bcache                     	       5        0        0        0        5
29537 uhd-soapysdr                       	       5        0        0        0        5
29538 ukui-settings-daemon               	       5        0        5        0        0
29539 ukui-settings-daemon-common        	       5        0        0        0        5
29540 ukui-window-switch                 	       5        0        5        0        0
29541 ukwm                               	       5        0        5        0        0
29542 ukwm-common                        	       5        0        0        0        5
29543 undertime                          	       5        0        5        0        0
29544 unicon-imc2                        	       5        0        5        0        0
29545 unknown-horizons                   	       5        0        5        0        0
29546 unworkable                         	       5        0        5        0        0
29547 usbguard                           	       5        0        5        0        0
29548 usbredirserver                     	       5        1        4        0        0
29549 ussp-push                          	       5        0        5        0        0
29550 utalk                              	       5        0        4        1        0
29551 uw-mailutils                       	       5        0        4        1        0
29552 vamps                              	       5        0        5        0        0
29553 varnish                            	       5        4        1        0        0
29554 veeam-release-deb                  	       5        0        0        0        5
29555 veroroute-data                     	       5        0        0        0        5
29556 vesktop                            	       5        0        2        0        3
29557 videolan-doc                       	       5        0        0        0        5
29558 vidkicks                           	       5        0        5        0        0
29559 vim-lastplace                      	       5        0        0        0        5
29560 vim-puppet                         	       5        0        0        0        5
29561 virtiofsd                          	       5        0        4        1        0
29562 virtualbox-guest-dkms              	       5        0        5        0        0
29563 visual-regexp                      	       5        0        5        0        0
29564 vitables                           	       5        0        5        0        0
29565 vmg                                	       5        0        5        0        0
29566 vmware-horizon-client              	       5        3        2        0        0
29567 voctomix                           	       5        0        0        0        5
29568 voctomix-core                      	       5        0        5        0        0
29569 voctomix-gui                       	       5        0        5        0        0
29570 volatility                         	       5        1        4        0        0
29571 vtable-dumper                      	       5        1        4        0        0
29572 vuls                               	       5        2        3        0        0
29573 w32codecs                          	       5        0        5        0        0
29574 wav2cdr                            	       5        1        4        0        0
29575 webcamd                            	       5        0        5        0        0
29576 webext-browserpass                 	       5        0        5        0        0
29577 webext-bulk-media-downloader       	       5        0        0        0        5
29578 webext-eas4tbsync                  	       5        2        3        0        0
29579 webext-lightbeam                   	       5        0        0        0        5
29580 wf-recorder                        	       5        0        5        0        0
29581 whitedune                          	       5        0        5        0        0
29582 whohas                             	       5        1        4        0        0
29583 wily                               	       5        0        5        0        0
29584 wine64-development-preloader       	       5        1        4        0        0
29585 wing                               	       5        0        5        0        0
29586 wing-data                          	       5        0        0        0        5
29587 wlogout                            	       5        0        5        0        0
29588 wlsunset                           	       5        1        4        0        0
29589 wm2                                	       5        0        5        0        0
29590 wmauda                             	       5        0        5        0        0
29591 wmbubble                           	       5        1        4        0        0
29592 wmcalc                             	       5        0        5        0        0
29593 wmcliphist                         	       5        0        5        0        0
29594 wmcpu                              	       5        0        5        0        0
29595 wmdiskmon                          	       5        1        4        0        0
29596 wmhdplop                           	       5        0        5        0        0
29597 wmifs                              	       5        0        5        0        0
29598 wmpinboard                         	       5        1        4        0        0
29599 wmshutdown                         	       5        0        5        0        0
29600 wmweather+                         	       5        0        5        0        0
29601 wmwork                             	       5        0        5        0        0
29602 wolf4sdl                           	       5        0        5        0        0
29603 workrave-xfce4                     	       5        0        0        0        5
29604 wps-office                         	       5        0        5        0        0
29605 wrk                                	       5        0        5        0        0
29606 wsclean                            	       5        0        5        0        0
29607 wx2.8-headers                      	       5        0        5        0        0
29608 x2goserver-desktopsharing          	       5        1        4        0        0
29609 xamarin-gtk-theme                  	       5        0        5        0        0
29610 xapm                               	       5        0        5        0        0
29611 xawtv-tools                        	       5        0        5        0        0
29612 xblast-tnt                         	       5        1        4        0        0
29613 xblast-tnt-levels                  	       5        0        0        0        5
29614 xblast-tnt-models                  	       5        0        0        0        5
29615 xcal                               	       5        0        5        0        0
29616 xcscope-el                         	       5        0        0        0        5
29617 xdesktopwaves                      	       5        0        5        0        0
29618 xdeview                            	       5        1        4        0        0
29619 xdg-utils-cxx-dev                  	       5        0        4        1        0
29620 xe-guest-utilities                 	       5        1        4        0        0
29621 xfce4-screenshooter-plugin         	       5        0        0        0        5
29622 xfonts-naga10                      	       5        0        0        0        5
29623 xgridfit                           	       5        0        5        0        0
29624 xinv3d                             	       5        0        5        0        0
29625 xmlindent                          	       5        0        5        0        0
29626 xmltoman                           	       5        0        5        0        0
29627 xmltv-gui                          	       5        3        2        0        0
29628 xnee-doc                           	       5        0        0        0        5
29629 xonix                              	       5        2        3        0        0
29630 xpuzzles                           	       5        0        5        0        0
29631 xq                                 	       5        1        2        2        0
29632 xserver-xorg-input-evdev-dev       	       5        0        5        0        0
29633 xseticon                           	       5        0        5        0        0
29634 xsysinfo                           	       5        0        5        0        0
29635 xtrkcad                            	       5        0        5        0        0
29636 xtrkcad-common                     	       5        0        0        0        5
29637 xtv                                	       5        0        5        0        0
29638 xul-ext-adblock-plus               	       5        0        5        0        0
29639 xul-ext-ublock-origin              	       5        0        0        0        5
29640 xvid4conf                          	       5        0        5        0        0
29641 xwpe                               	       5        0        5        0        0
29642 xxdiff-scripts                     	       5        0        5        0        0
29643 xyscan                             	       5        0        5        0        0
29644 yggdrasil                          	       5        0        4        1        0
29645 ykls                               	       5        0        5        0        0
29646 yorick-yeti                        	       5        0        5        0        0
29647 yorick-yutils                      	       5        0        0        0        5
29648 zabbix-server-mysql                	       5        0        5        0        0
29649 zangband                           	       5        0        5        0        0
29650 zangband-data                      	       5        0        5        0        0
29651 zbarcam-qt                         	       5        0        5        0        0
29652 zfsnap                             	       5        1        4        0        0
29653 zita-dc1                           	       5        0        5        0        0
29654 zotero                             	       5        0        4        1        0
29655 zp                                 	       5        1        4        0        0
29656 zulu-6                             	       5        0        0        0        5
29657 zulusafe-cli                       	       5        0        5        0        0
29658 zynaddsubfx-lv2                    	       5        0        4        1        0
29659 3dchess                            	       4        0        4        0        0
29660 4g8                                	       4        1        3        0        0
29661 4pane                              	       4        2        2        0        0
29662 abgate                             	       4        0        4        0        0
29663 abi-monitor                        	       4        1        3        0        0
29664 abi-tracker                        	       4        1        3        0        0
29665 abrowser                           	       4        0        4        0        0
29666 acmetool                           	       4        0        3        1        0
29667 acr                                	       4        0        3        1        0
29668 actiona                            	       4        0        4        0        0
29669 ada-reference-manual-2005          	       4        0        0        0        4
29670 admesh                             	       4        0        4        0        0
29671 adplay                             	       4        0        4        0        0
29672 akonadi-backend-postgresql         	       4        0        0        0        4
29673 alien-arena                        	       4        1        3        0        0
29674 alien-arena-data                   	       4        0        0        0        4
29675 alire                              	       4        0        4        0        0
29676 allegro4-doc                       	       4        0        0        0        4
29677 alpine-chroot-install              	       4        0        4        0        0
29678 amanda-server                      	       4        0        4        0        0
29679 amd-opencl-icd                     	       4        0        0        0        4
29680 amdgpu-lib32                       	       4        0        0        0        4
29681 android-liblog-dev                 	       4        0        3        1        0
29682 android-libnativehelper            	       4        0        0        0        4
29683 android-platform-tools-base        	       4        0        4        0        0
29684 antlr4-maven-plugin                	       4        0        0        0        4
29685 ap-utils                           	       4        1        3        0        0
29686 apertium-en-es                     	       4        0        0        0        4
29687 apgdiff                            	       4        1        3        0        0
29688 apkverifier                        	       4        0        4        0        0
29689 appmenu-qt                         	       4        0        0        0        4
29690 aprsdigi                           	       4        0        4        0        0
29691 apt-cudf                           	       4        0        4        0        0
29692 apt-move                           	       4        0        4        0        0
29693 apt-transport-s3                   	       4        0        4        0        0
29694 arc-kde                            	       4        0        0        0        4
29695 archlinux-keyring                  	       4        0        0        0        4
29696 array-info                         	       4        2        2        0        0
29697 as31                               	       4        0        4        0        0
29698 asciidoc-fop                       	       4        0        0        0        4
29699 asciidoc-tests                     	       4        0        4        0        0
29700 aspell-br                          	       4        0        4        0        0
29701 aspell-eu                          	       4        0        4        0        0
29702 aspell-fa                          	       4        0        4        0        0
29703 aspell-he                          	       4        1        3        0        0
29704 aspell-hr                          	       4        0        4        0        0
29705 aspell-is                          	       4        0        4        0        0
29706 aspell-ta                          	       4        0        4        0        0
29707 aspnetcore-runtime-5.0             	       4        0        0        0        4
29708 assaultcube                        	       4        0        4        0        0
29709 augeas-doc                         	       4        0        0        0        4
29710 auto-multiple-choice               	       4        0        4        0        0
29711 auto-multiple-choice-common        	       4        0        4        0        0
29712 auto-multiple-choice-doc           	       4        0        0        0        4
29713 autoconf-dickey                    	       4        0        4        0        0
29714 autoconf2.59                       	       4        1        3        0        0
29715 automake1.4                        	       4        0        4        0        0
29716 autopostgresqlbackup               	       4        2        2        0        0
29717 avidemux-cli                       	       4        0        3        1        0
29718 avogadro-data                      	       4        0        0        0        4
29719 ballerburg                         	       4        0        4        0        0
29720 baloo-kf5-dev                      	       4        0        4        0        0
29721 bam                                	       4        0        4        0        0
29722 bambootracker                      	       4        0        4        0        0
29723 bareos-client                      	       4        0        0        0        4
29724 barman-cli                         	       4        2        1        1        0
29725 basex                              	       4        0        4        0        0
29726 bats                               	       4        0        4        0        0
29727 bauh                               	       4        0        1        3        0
29728 bbdb3                              	       4        0        4        0        0
29729 bdvmdbg                            	       4        0        4        0        0
29730 bedtools                           	       4        0        4        0        0
29731 beekeeper-studio                   	       4        0        1        2        1
29732 bettercap                          	       4        1        3        0        0
29733 bgpq3                              	       4        0        4        0        0
29734 bgpq4                              	       4        0        4        0        0
29735 bibcursed                          	       4        0        4        0        0
29736 biglybt                            	       4        0        4        0        0
29737 biloba                             	       4        0        4        0        0
29738 biloba-data                        	       4        0        0        0        4
29739 binfmtc                            	       4        1        2        1        0
29740 binutils-hppa-linux-gnu            	       4        0        3        1        0
29741 binutils-mips64el-linux-gnuabi64   	       4        0        4        0        0
29742 binutils-multiarch-dev             	       4        0        0        0        4
29743 binutils-powerpc64le-linux-gnu     	       4        1        3        0        0
29744 binutils-s390x-linux-gnu           	       4        0        3        1        0
29745 bird                               	       4        2        2        0        0
29746 bird2                              	       4        1        3        0        0
29747 birthday                           	       4        0        4        0        0
29748 bisonc++-doc                       	       4        0        4        0        0
29749 bitwarden                          	       4        0        1        0        3
29750 blackbox-themes                    	       4        0        0        0        4
29751 blobandconquer-data                	       4        0        4        0        0
29752 bluegriffon                        	       4        0        4        0        0
29753 bluraybackup                       	       4        0        4        0        0
29754 bochs-x                            	       4        0        2        0        2
29755 bogofilter-sqlite                  	       4        1        3        0        0
29756 boohu                              	       4        0        4        0        0
29757 bossa                              	       4        0        4        0        0
29758 brave-browser-nightly              	       4        0        4        0        0
29759 bristol                            	       4        0        4        0        0
29760 bristol-data                       	       4        0        0        0        4
29761 browser-plugin-evince              	       4        0        4        0        0
29762 brz-debian                         	       4        0        4        0        0
29763 bsdcpio                            	       4        0        0        0        4
29764 btfs                               	       4        0        4        0        0
29765 budgie-brightness-controller-applet	       4        0        0        0        4
29766 budgie-control-center              	       4        0        4        0        0
29767 budgie-control-center-data         	       4        0        0        0        4
29768 bugsquish                          	       4        0        4        0        0
29769 buildtorrent                       	       4        0        4        0        0
29770 bumprace                           	       4        0        4        0        0
29771 bumprace-data                      	       4        0        0        0        4
29772 bzr-builddeb                       	       4        0        1        0        3
29773 cadence-tools                      	       4        0        4        0        0
29774 cage                               	       4        0        4        0        0
29775 cairo-dock-cairo-penguin-plug-in   	       4        1        1        0        2
29776 cairo-dock-dbus-plug-in            	       4        1        3        0        0
29777 cairo-dock-desklet-rendering-plug-in	       4        1        1        0        2
29778 cairo-dock-dialog-rendering-plug-in	       4        1        1        0        2
29779 cairo-dock-dnd2share-plug-in       	       4        1        1        0        2
29780 cairo-dock-drop-indicator-plug-in  	       4        1        1        0        2
29781 cairo-dock-dustbin-plug-in         	       4        1        1        0        2
29782 cairo-dock-folders-plug-in         	       4        1        1        0        2
29783 cairo-dock-gmenu-plug-in           	       4        1        1        0        2
29784 cairo-dock-gnome-integration-plug-in	       4        1        1        0        2
29785 cairo-dock-icon-effect-plug-in     	       4        1        1        0        2
29786 cairo-dock-illusion-plug-in        	       4        1        1        0        2
29787 cairo-dock-keyboard-indicator-plug-in	       4        1        1        0        2
29788 cairo-dock-logout-plug-in          	       4        1        1        0        2
29789 cairo-dock-mail-plug-in            	       4        1        1        0        2
29790 cairo-dock-messaging-menu-plug-in  	       4        1        1        0        2
29791 cairo-dock-motion-blur-plug-in     	       4        1        1        0        2
29792 cairo-dock-musicplayer-plug-in     	       4        1        1        0        2
29793 cairo-dock-netspeed-plug-in        	       4        1        1        0        2
29794 cairo-dock-quick-browser-plug-in   	       4        1        1        0        2
29795 cairo-dock-recent-events-plug-in   	       4        1        1        0        2
29796 cairo-dock-remote-control-plug-in  	       4        1        1        0        2
29797 cairo-dock-rendering-plug-in       	       4        1        1        0        2
29798 cairo-dock-rssreader-plug-in       	       4        1        1        0        2
29799 cairo-dock-shortcuts-plug-in       	       4        1        1        0        2
29800 cairo-dock-showdesktop-plug-in     	       4        1        1        0        2
29801 cairo-dock-showmouse-plug-in       	       4        1        1        0        2
29802 cairo-dock-slider-plug-in          	       4        1        1        0        2
29803 cairo-dock-stack-plug-in           	       4        1        1        0        2
29804 cairo-dock-switcher-plug-in        	       4        1        1        0        2
29805 cairo-dock-system-monitor-plug-in  	       4        1        1        0        2
29806 cairo-dock-systray-plug-in         	       4        1        1        0        2
29807 cairo-dock-terminal-plug-in        	       4        1        1        0        2
29808 cairo-dock-tomboy-plug-in          	       4        1        1        0        2
29809 cairo-dock-toons-plug-in           	       4        1        1        0        2
29810 cairo-dock-wifi-plug-in            	       4        1        1        0        2
29811 cairo-dock-xgamma-plug-in          	       4        1        1        0        2
29812 camera.app                         	       4        0        4        0        0
29813 cantor-backend-maxima              	       4        0        0        0        4
29814 cargo-mozilla                      	       4        1        3        0        0
29815 carla-bridge-win64                 	       4        0        4        0        0
29816 catia                              	       4        0        4        0        0
29817 cdcover                            	       4        0        4        0        0
29818 celestia-common-nonfree            	       4        0        0        0        4
29819 celestia-gtk                       	       4        0        4        0        0
29820 cen64                              	       4        0        4        0        0
29821 ceph                               	       4        0        0        0        4
29822 ceph-base                          	       4        0        4        0        0
29823 ceph-fuse                          	       4        0        4        0        0
29824 ceph-mon                           	       4        0        4        0        0
29825 ceph-osd                           	       4        0        4        0        0
29826 chalk-data-trinity                 	       4        0        0        0        4
29827 chalk-trinity                      	       4        0        4        0        0
29828 checksec                           	       4        0        4        0        0
29829 checkstyle                         	       4        0        4        0        0
29830 chia-blockchain                    	       4        0        0        0        4
29831 chiark-really                      	       4        0        4        0        0
29832 chroma-data                        	       4        0        0        0        4
29833 cin                                	       4        1        3        0        0
29834 citation-style-language-styles     	       4        0        0        0        4
29835 cl-fiveam                          	       4        0        0        0        4
29836 cl-iterate                         	       4        0        0        0        4
29837 cl-reversi                         	       4        0        0        0        4
29838 cl-trivial-backtrace               	       4        0        0        0        4
29839 cl-uffi                            	       4        0        0        0        4
29840 clamav-doc                         	       4        0        0        0        4
29841 clamav-testfiles-rar               	       4        0        0        0        4
29842 clamz                              	       4        0        4        0        0
29843 clang-16-examples                  	       4        0        0        0        4
29844 clang-9-doc                        	       4        0        0        0        4
29845 clang-format-16                    	       4        1        3        0        0
29846 cltl                               	       4        0        0        0        4
29847 coccinelle-doc                     	       4        0        4        0        0
29848 cockpit-bridge                     	       4        0        3        1        0
29849 cockpit-storaged                   	       4        0        0        0        4
29850 coda                               	       4        0        4        0        0
29851 codecgraph                         	       4        0        4        0        0
29852 codecrypt                          	       4        0        4        0        0
29853 codegroup                          	       4        0        4        0        0
29854 colord-kde                         	       4        0        4        0        0
29855 com.github.johnfactotum.foliate    	       4        0        4        0        0
29856 config-package-dev                 	       4        0        4        0        0
29857 connect-proxy                      	       4        0        4        0        0
29858 conv-tools                         	       4        0        4        0        0
29859 courier-doc                        	       4        0        0        0        4
29860 cpan-listchanges                   	       4        0        4        0        0
29861 cpanoutdated                       	       4        0        4        0        0
29862 cpp-10-doc                         	       4        0        0        0        4
29863 cpp-12-i686-linux-gnu              	       4        0        4        0        0
29864 cpp-12-mips-linux-gnu              	       4        0        4        0        0
29865 cpp-12-mipsel-linux-gnu            	       4        0        4        0        0
29866 cpp-12-x86-64-linux-gnux32         	       4        0        4        0        0
29867 cpp-13-i686-linux-gnu              	       4        0        4        0        0
29868 cpp-4.1                            	       4        0        4        0        0
29869 cpp-s390x-linux-gnu                	       4        0        4        0        0
29870 cpuidtool                          	       4        1        3        0        0
29871 crack-md5                          	       4        0        3        1        0
29872 creduce                            	       4        0        4        0        0
29873 cronolog                           	       4        0        4        0        0
29874 crossfire-common                   	       4        0        0        0        4
29875 crossfire-server                   	       4        0        4        0        0
29876 crowdsec                           	       4        3        1        0        0
29877 cryptsetup-nuke-password           	       4        0        4        0        0
29878 cssmin                             	       4        0        4        0        0
29879 cstream                            	       4        0        4        0        0
29880 csvkit-doc                         	       4        0        0        0        4
29881 ctsim                              	       4        0        4        0        0
29882 ctsim-help                         	       4        0        0        0        4
29883 cuda-command-line-tools-12-6       	       4        0        0        0        4
29884 cuda-compiler-12-6                 	       4        0        0        0        4
29885 cuda-cuobjdump-12-6                	       4        0        2        1        1
29886 cuda-cuxxfilt-12-6                 	       4        0        2        1        1
29887 cuda-documentation-12-6            	       4        0        0        0        4
29888 cuda-gdb-12-6                      	       4        0        2        1        1
29889 cuda-libraries-12-6                	       4        0        0        0        4
29890 cuda-libraries-dev-12-6            	       4        0        0        0        4
29891 cuda-nsight-12-6                   	       4        0        2        1        1
29892 cuda-nsight-compute-12-6           	       4        0        2        1        1
29893 cuda-nsight-systems-12-6           	       4        0        2        1        1
29894 cuda-nvdisasm-12-6                 	       4        0        2        1        1
29895 cuda-nvml-dev-12-6                 	       4        0        3        1        0
29896 cuda-nvprune-12-6                  	       4        0        2        1        1
29897 cuda-nvrtc-12-6                    	       4        0        0        0        4
29898 cuda-nvrtc-dev-12-6                	       4        0        3        1        0
29899 cuda-nvtx-12-6                     	       4        0        3        1        0
29900 cuda-nvvp-12-6                     	       4        0        2        1        1
29901 cuda-opencl-12-6                   	       4        0        1        0        3
29902 cuda-opencl-dev-12-6               	       4        0        3        1        0
29903 cuda-sanitizer-12-6                	       4        0        2        1        1
29904 cuda-toolkit-12-6                  	       4        0        0        0        4
29905 cuda-tools-12-6                    	       4        0        0        0        4
29906 cuda-visual-tools-12-6             	       4        0        0        0        4
29907 cupswrappermfc7860dw               	       4        0        0        0        4
29908 cutmp3                             	       4        0        4        0        0
29909 cvsweb                             	       4        1        3        0        0
29910 cwebx                              	       4        0        4        0        0
29911 cxref-doc                          	       4        0        0        0        4
29912 cyclist                            	       4        0        4        0        0
29913 cyrus-clients                      	       4        0        4        0        0
29914 cyrus-common                       	       4        3        1        0        0
29915 d1x-rebirth                        	       4        0        4        0        0
29916 dante-server                       	       4        2        2        0        0
29917 db5.3-sql-util                     	       4        0        4        0        0
29918 dbar                               	       4        1        3        0        0
29919 dbconfig-no-thanks                 	       4        0        0        0        4
29920 dbf2mysql                          	       4        1        3        0        0
29921 ddir                               	       4        1        3        0        0
29922 dds2tar                            	       4        0        4        0        0
29923 deb-gview                          	       4        0        4        0        0
29924 debian-reference-id                	       4        0        0        0        4
29925 debootstick                        	       4        1        3        0        0
29926 debram                             	       4        1        2        0        1
29927 deepin-gettext-tools               	       4        0        4        0        0
29928 deepin-music                       	       4        0        4        0        0
29929 deepin-screen-recorder             	       4        0        4        0        0
29930 default-libmysqld-dev              	       4        0        0        0        4
29931 deltachat-desktop                  	       4        0        3        0        1
29932 deskmenu                           	       4        0        4        0        0
29933 desktop-profiles                   	       4        3        1        0        0
29934 devtodo                            	       4        0        4        0        0
29935 dfrs                               	       4        0        4        0        0
29936 dh-make-golang                     	       4        1        3        0        0
29937 dh-php5                            	       4        0        4        0        0
29938 dhcpcd-gtk                         	       4        1        3        0        0
29939 dialign-tx                         	       4        0        4        0        0
29940 dialign-tx-data                    	       4        0        0        0        4
29941 dicod                              	       4        2        2        0        0
29942 dict-freedict-deu-fra              	       4        0        0        0        4
29943 dict-freedict-deu-rus              	       4        0        0        0        4
29944 dict-freedict-eng-gle              	       4        0        0        0        4
29945 dict-freedict-eng-lat              	       4        0        0        0        4
29946 dict-freedict-eng-lit              	       4        0        0        0        4
29947 dict-freedict-eng-nld              	       4        0        0        0        4
29948 dict-freedict-nld-eng              	       4        1        0        0        3
29949 dict-freedict-swe-eng              	       4        0        0        0        4
29950 dime                               	       4        0        4        0        0
29951 display-dhammapada                 	       4        0        4        0        0
29952 distccmon-gnome                    	       4        0        4        0        0
29953 djbdns-utils                       	       4        0        4        0        0
29954 dkopp                              	       4        0        4        0        0
29955 dmagnetic                          	       4        0        4        0        0
29956 dmd                                	       4        0        4        0        0
29957 dns-browse                         	       4        0        4        0        0
29958 dnsmasq-base-lua                   	       4        1        3        0        0
29959 dnsperf                            	       4        0        4        0        0
29960 doc-debian-fr                      	       4        0        0        0        4
29961 docbook-html-forms                 	       4        0        0        0        4
29962 doom2-wad                          	       4        0        0        0        4
29963 dosbox-x                           	       4        0        4        0        0
29964 dosbox-x-data                      	       4        0        0        0        4
29965 dotnet-hostfxr-5.0                 	       4        0        0        0        4
29966 dotnet-runtime-5.0                 	       4        0        4        0        0
29967 dotnet-runtime-deps-5.0            	       4        0        0        0        4
29968 dovecot-dev                        	       4        0        4        0        0
29969 doxygen-awesome-css                	       4        0        0        0        4
29970 dpf-plugins-common                 	       4        0        4        0        0
29971 dqcache                            	       4        1        3        0        0
29972 drascula-german                    	       4        0        0        0        4
29973 drawtiming                         	       4        0        4        0        0
29974 driftnet                           	       4        0        4        0        0
29975 drumkv1-lv2                        	       4        0        4        0        0
29976 dub                                	       4        0        4        0        0
29977 dublin-traceroute                  	       4        0        4        0        0
29978 dunelegacy                         	       4        0        4        0        0
29979 dvbstream                          	       4        0        4        0        0
29980 dxvk-wine64                        	       4        0        4        0        0
29981 e-uae                              	       4        1        3        0        0
29982 eancheck                           	       4        1        3        0        0
29983 efte                               	       4        0        4        0        0
29984 eglexternalplatform-dev            	       4        0        4        0        0
29985 eiskaltdcpp                        	       4        0        1        0        3
29986 eiskaltdcpp-qt                     	       4        0        4        0        0
29987 eiskaltdcpp-qt-data                	       4        0        4        0        0
29988 elbe-archive-keyring               	       4        0        0        0        4
29989 electronics-analog                 	       4        0        0        0        4
29990 electronics-asic-dev               	       4        0        0        0        4
29991 electronics-cad-gui                	       4        0        0        0        4
29992 electronics-digital                	       4        0        0        0        4
29993 electronics-dsp-dev                	       4        0        0        0        4
29994 electronics-fpga-dev               	       4        0        0        0        4
29995 electronics-gadgets                	       4        0        0        0        4
29996 electronics-microcontrollers       	       4        0        0        0        4
29997 elpa-cmake-mode                    	       4        2        2        0        0
29998 elpa-dired-du                      	       4        0        4        0        0
29999 elpa-dired-quick-sort              	       4        0        4        0        0
30000 elpa-dired-rsync                   	       4        0        4        0        0
30001 elpa-editorconfig                  	       4        1        3        0        0
30002 elpa-elisp-refs                    	       4        0        4        0        0
30003 elpa-fsm                           	       4        0        4        0        0
30004 elpa-ht                            	       4        1        3        0        0
30005 elpa-inform-mode                   	       4        1        3        0        0
30006 elpa-jabber                        	       4        0        4        0        0
30007 elpa-lsp-mode                      	       4        1        3        0        0
30008 elpa-nov                           	       4        2        2        0        0
30009 elpa-queue                         	       4        0        4        0        0
30010 elpa-smex                          	       4        0        4        0        0
30011 elpa-spinner                       	       4        1        3        0        0
30012 elpa-srv                           	       4        0        4        0        0
30013 elpa-tuareg                        	       4        0        4        0        0
30014 elpa-yasnippet                     	       4        1        3        0        0
30015 elpa-yasnippet-snippets            	       4        1        3        0        0
30016 empathy                            	       4        0        4        0        0
30017 emscripten-doc                     	       4        0        0        0        4
30018 enamdict                           	       4        0        0        0        4
30019 eog-plugin-picasa                  	       4        0        0        0        4
30020 eperl                              	       4        0        4        0        0
30021 erlang-p1-acme                     	       4        1        3        0        0
30022 erlang-p1-eimp                     	       4        1        3        0        0
30023 erlang-p1-iconv                    	       4        0        4        0        0
30024 erlang-p1-mqtree                   	       4        0        4        0        0
30025 erlang-p1-mysql                    	       4        0        4        0        0
30026 erlang-p1-pam                      	       4        0        4        0        0
30027 erlang-p1-sip                      	       4        0        4        0        0
30028 erlang-p1-yconf                    	       4        1        3        0        0
30029 erlang-x11                         	       4        0        0        0        4
30030 eskatos-docker-config              	       4        0        0        0        4
30031 ethstats                           	       4        0        4        0        0
30032 exmh                               	       4        1        3        0        0
30033 exonerate                          	       4        0        4        0        0
30034 explosive-c4                       	       4        0        4        0        0
30035 ezquake                            	       4        0        4        0        0
30036 f-irc                              	       4        0        4        0        0
30037 fake                               	       4        1        3        0        0
30038 faust                              	       4        0        4        0        0
30039 faust-common                       	       4        0        4        0        0
30040 fcitx5-frontend-gtk2               	       4        0        1        0        3
30041 fdpowermon-icons                   	       4        0        0        0        4
30042 fdroidcl                           	       4        0        3        1        0
30043 festvox-en1                        	       4        0        0        0        4
30044 festvox-kdlpc16k                   	       4        0        0        0        4
30045 festvox-rablpc16k                  	       4        0        0        0        4
30046 fet                                	       4        0        4        0        0
30047 fet-data                           	       4        0        0        0        4
30048 firebird3.0-examples               	       4        0        4        0        0
30049 firebird3.0-server                 	       4        2        1        1        0
30050 firefox-esr-l10n-da                	       4        1        3        0        0
30051 firmware-crystalhd                 	       4        0        4        0        0
30052 fis-gtm                            	       4        0        0        0        4
30053 fische                             	       4        0        4        0        0
30054 flamerobin                         	       4        0        4        0        0
30055 flare                              	       4        0        0        0        4
30056 flare-data                         	       4        0        0        0        4
30057 flasm                              	       4        1        3        0        0
30058 flexc++                            	       4        0        4        0        0
30059 flightcrew                         	       4        0        4        0        0
30060 floorp                             	       4        2        2        0        0
30061 fontcustom                         	       4        0        4        0        0
30062 fontmanager.app                    	       4        0        4        0        0
30063 fonts-atarist                      	       4        0        0        0        4
30064 fonts-atkinson-hyperlegible-ttf    	       4        0        0        0        4
30065 fonts-jetbrains-mono-web           	       4        0        0        0        4
30066 fonts-lxgw-wenkai-doc              	       4        0        0        0        4
30067 fonts-vazirmatn                    	       4        1        0        0        3
30068 forensics-all-gui                  	       4        0        0        0        4
30069 forensics-full                     	       4        0        0        0        4
30070 fortunes-fr                        	       4        0        0        0        4
30071 fortunes-it-off                    	       4        0        0        0        4
30072 fp-compiler-2.6.4                  	       4        0        4        0        0
30073 fp-docs-3.0.4                      	       4        0        0        0        4
30074 fp-ide-3.0.4                       	       4        0        4        0        0
30075 fp-units-rtl-2.6.4                 	       4        0        4        0        0
30076 fpa-benchmark                      	       4        0        4        0        0
30077 fpa-config-sword                   	       4        0        4        0        0
30078 fpa-fixfilenames-perl              	       4        0        4        0        0
30079 fpa-investment-calculations        	       4        0        3        1        0
30080 fpa-metal-essentials               	       4        0        0        0        4
30081 fpa-mp3info                        	       4        0        0        0        4
30082 fpa-repo-devuan-beowulf            	       4        0        0        0        4
30083 fpa-wine-scripts                   	       4        0        4        0        0
30084 fprint-demo                        	       4        0        4        0        0
30085 fprintd-doc                        	       4        0        0        0        4
30086 fractalnow                         	       4        0        4        0        0
30087 fractgen                           	       4        0        4        0        0
30088 freebsd-glue                       	       4        2        2        0        0
30089 freeciv-ruleset-tools              	       4        0        4        0        0
30090 freeciv-sound-standard             	       4        0        0        0        4
30091 freefem                            	       4        0        4        0        0
30092 freefilesync                       	       4        0        4        0        0
30093 freemind                           	       4        0        4        0        0
30094 freetts                            	       4        0        0        0        4
30095 fretsonfire-songs-muldjord         	       4        0        0        0        4
30096 fretsonfire-songs-sectoid          	       4        0        0        0        4
30097 frogatto                           	       4        0        4        0        0
30098 frogatto-data                      	       4        0        0        0        4
30099 fs-uae-launcher                    	       4        0        4        0        0
30100 ftp-upload                         	       4        1        3        0        0
30101 ftpcopy                            	       4        1        3        0        0
30102 fusefile                           	       4        0        4        0        0
30103 fuzzel                             	       4        2        2        0        0
30104 fwupd-amd64-signed-template        	       4        0        0        0        4
30105 g++-10-aarch64-linux-gnu           	       4        0        4        0        0
30106 g++-14-arm-linux-gnueabihf         	       4        0        4        0        0
30107 g++-arm-linux-gnueabi              	       4        0        4        0        0
30108 gambas3-gb-gtk3-wayland            	       4        0        3        1        0
30109 gambas3-gb-highlight               	       4        0        2        2        0
30110 gambas3-gb-inotify                 	       4        0        2        2        0
30111 gambas3-gb-option                  	       4        0        2        2        0
30112 gambas3-gb-pdf                     	       4        0        2        2        0
30113 gambas3-gb-report                  	       4        0        2        2        0
30114 gambc                              	       4        0        3        1        0
30115 gambc-doc                          	       4        0        3        1        0
30116 gamepadtool                        	       4        0        4        0        0
30117 games-chess                        	       4        0        0        0        4
30118 games-mud                          	       4        0        0        0        4
30119 games-programming                  	       4        0        0        0        4
30120 games-strategy                     	       4        0        0        0        4
30121 ganyremote                         	       4        0        4        0        0
30122 gav                                	       4        0        4        0        0
30123 gav-themes                         	       4        0        0        0        4
30124 gcalcli                            	       4        0        4        0        0
30125 gcc-10-cross-base-ports            	       4        0        0        0        4
30126 gcc-12-i686-linux-gnu              	       4        0        4        0        0
30127 gcc-12-i686-linux-gnu-base         	       4        0        0        0        4
30128 gcc-12-mips-linux-gnu              	       4        0        4        0        0
30129 gcc-12-mips-linux-gnu-base         	       4        0        0        0        4
30130 gcc-12-mipsel-linux-gnu            	       4        0        4        0        0
30131 gcc-12-mipsel-linux-gnu-base       	       4        0        0        0        4
30132 gcc-12-multilib-x86-64-linux-gnux32	       4        0        0        0        4
30133 gcc-12-x86-64-linux-gnux32         	       4        0        4        0        0
30134 gcc-12-x86-64-linux-gnux32-base    	       4        0        0        0        4
30135 gcc-13-i686-linux-gnu              	       4        0        4        0        0
30136 gcc-13-locales                     	       4        0        0        0        4
30137 gcc-4.1-base                       	       4        0        0        0        4
30138 gcc-4.5-base                       	       4        0        0        0        4
30139 gcc-8-doc                          	       4        0        0        0        4
30140 gcc-s390x-linux-gnu                	       4        0        4        0        0
30141 gcin                               	       4        0        4        0        0
30142 gcin-data                          	       4        0        0        0        4
30143 gcin-gtk2-immodule                 	       4        0        0        0        4
30144 gcin-gtk3-immodule                 	       4        0        1        0        3
30145 gcin-tables                        	       4        0        0        0        4
30146 gcovr                              	       4        0        3        1        0
30147 gcstar                             	       4        1        3        0        0
30148 gdb-mingw-w64                      	       4        0        4        0        0
30149 gds-tools-12-6                     	       4        0        0        0        4
30150 gearhead-sdl                       	       4        0        3        1        0
30151 gearhead2                          	       4        0        4        0        0
30152 gearhead2-sdl                      	       4        0        3        1        0
30153 geda-xgsch2pcb                     	       4        0        4        0        0
30154 gedit-source-code-browser-plugin   	       4        0        4        0        0
30155 geg                                	       4        0        4        0        0
30156 gendarme                           	       4        0        4        0        0
30157 genders                            	       4        1        3        0        0
30158 geneagrapher                       	       4        1        3        0        0
30159 geoclue                            	       4        0        4        0        0
30160 geoclue-2-demo                     	       4        0        0        0        4
30161 geoclue-hostip                     	       4        0        4        0        0
30162 geoclue-localnet                   	       4        0        4        0        0
30163 geoclue-manual                     	       4        0        4        0        0
30164 geogebra-gnome                     	       4        2        2        0        0
30165 geographiclib-tools                	       4        0        4        0        0
30166 gfortran-13-doc                    	       4        0        0        0        4
30167 gfortran-6-doc                     	       4        0        0        0        4
30168 gfortran-mingw-w64-x86-64-win32    	       4        0        4        0        0
30169 gfxboot-themes                     	       4        0        4        0        0
30170 gimp-python                        	       4        0        4        0        0
30171 gip                                	       4        1        3        0        0
30172 gir1.2-caja                        	       4        0        0        0        4
30173 gir1.2-ebackend-1.2                	       4        0        0        0        4
30174 gir1.2-edatabook-1.2               	       4        0        0        0        4
30175 gir1.2-gda-5.0                     	       4        0        1        0        3
30176 gir1.2-gee-1.0                     	       4        0        1        0        3
30177 gir1.2-gepub-0.4                   	       4        0        0        0        4
30178 gir1.2-granite-1.0                 	       4        0        0        0        4
30179 gir1.2-gtklayershell-0.1           	       4        0        0        0        4
30180 gir1.2-jsonrpc-1.0                 	       4        0        0        0        4
30181 gir1.2-mutter-16                   	       4        0        0        1        3
30182 gir1.2-mutter-3                    	       4        0        1        0        3
30183 gir1.2-nice-0.1                    	       4        0        0        0        4
30184 gir1.2-panelapplet-4.0             	       4        0        1        0        3
30185 gir1.2-spelling-1                  	       4        0        0        0        4
30186 gir1.2-thunarx-3.0                 	       4        0        0        0        4
30187 gir1.2-xdpgtk4-1.0                 	       4        0        0        0        4
30188 gis-workstation                    	       4        0        0        0        4
30189 git-ftp                            	       4        1        3        0        0
30190 git-imerge                         	       4        0        4        0        0
30191 gita                               	       4        0        4        0        0
30192 gitlab-runner                      	       4        2        1        1        0
30193 gitlint                            	       4        0        4        0        0
30194 gliese                             	       4        0        0        0        4
30195 glmark2-es2-x11                    	       4        1        3        0        0
30196 glslc                              	       4        0        4        0        0
30197 glulxe                             	       4        0        4        0        0
30198 gm2                                	       4        0        3        1        0
30199 gnat-doc                           	       4        0        0        0        4
30200 gnome-authenticator                	       4        1        3        0        0
30201 gnome-connections                  	       4        0        3        1        0
30202 gnome-desktop-data                 	       4        0        0        0        4
30203 gnome-shell-extension-dashtodock   	       4        0        0        0        4
30204 gnome-video-effects-frei0r         	       4        0        0        0        4
30205 gnuaisgui                          	       4        0        4        0        0
30206 gnuastro                           	       4        0        4        0        0
30207 gnucobol4                          	       4        0        4        0        0
30208 gnuit                              	       4        1        3        0        0
30209 gnujump                            	       4        0        4        0        0
30210 gnujump-data                       	       4        0        0        0        4
30211 gnunet-fuse                        	       4        0        3        1        0
30212 gnuplot-tex                        	       4        0        0        0        4
30213 gnustep                            	       4        0        0        0        4
30214 go-md2man                          	       4        0        4        0        0
30215 go-qrcode                          	       4        1        3        0        0
30216 goban-original-games               	       4        0        0        0        4
30217 goban-ss                           	       4        0        4        0        0
30218 gobby                              	       4        0        4        0        0
30219 gobjc-6                            	       4        0        0        0        4
30220 golang-1.18-doc                    	       4        0        0        0        4
30221 golang-1.21                        	       4        0        0        0        4
30222 golang-1.7                         	       4        0        0        0        4
30223 golang-1.8                         	       4        0        0        0        4
30224 golang-1.8-doc                     	       4        0        4        0        0
30225 golang-1.8-go                      	       4        0        4        0        0
30226 golang-1.8-src                     	       4        0        4        0        0
30227 golang-docker-credential-helpers   	       4        0        4        0        0
30228 golang-github-containernetworking-plugin-dnsname	       4        0        2        0        2
30229 golang-github-stretchr-testify-dev 	       4        0        0        0        4
30230 golang-golang-x-mod-dev            	       4        0        0        0        4
30231 golang-golang-x-tools-dev          	       4        0        4        0        0
30232 golang-gopkg-yaml.v3-dev           	       4        0        0        0        4
30233 golang-mode                        	       4        0        0        0        4
30234 google-chrome-unstable             	       4        1        2        1        0
30235 google-cloud-cli                   	       4        0        2        2        0
30236 google-mock                        	       4        0        0        0        4
30237 goxel                              	       4        0        4        0        0
30238 gpaste-2                           	       4        0        4        0        0
30239 gpgrt-tools                        	       4        0        4        0        0
30240 gpiod                              	       4        0        4        0        0
30241 gplcver                            	       4        0        4        0        0
30242 gpx                                	       4        0        4        0        0
30243 gqview                             	       4        0        4        0        0
30244 gr-fcdproplus                      	       4        0        4        0        0
30245 gr-satellites                      	       4        0        4        0        0
30246 gradle-doc                         	       4        0        0        0        4
30247 gramofile                          	       4        0        4        0        0
30248 graphicsmagick-libmagick-dev-compat	       4        0        4        0        0
30249 gravit                             	       4        0        4        0        0
30250 gravit-data                        	       4        0        0        0        4
30251 gravitywars                        	       4        0        4        0        0
30252 grcompiler                         	       4        0        4        0        0
30253 greylistd                          	       4        2        2        0        0
30254 gringotts                          	       4        0        4        0        0
30255 grub-coreboot-bin                  	       4        0        4        0        0
30256 grub-efi-amd64-signed-template     	       4        0        0        0        4
30257 grub-efi-arm64                     	       4        0        0        0        4
30258 grub-efi-arm64-bin                 	       4        0        3        1        0
30259 grub-ieee1275                      	       4        0        0        0        4
30260 grub-invaders                      	       4        0        0        0        4
30261 gsasl                              	       4        0        4        0        0
30262 gsl-doc-info                       	       4        0        0        0        4
30263 gsmc                               	       4        0        4        0        0
30264 gstreamer-tools                    	       4        1        3        0        0
30265 gstreamer0.10-gnonlin              	       4        0        4        0        0
30266 gstreamer1.0-crystalhd             	       4        0        0        0        4
30267 gstreamer1.0-doc                   	       4        0        0        0        4
30268 gstreamer1.0-fluendo-mp3           	       4        0        0        0        4
30269 gstreamer1.0-gtk4                  	       4        0        0        0        4
30270 gtk2-engines-smooth                	       4        0        4        0        0
30271 gtkpool                            	       4        0        4        0        0
30272 gtranscribe                        	       4        0        4        0        0
30273 guetzli                            	       4        0        3        1        0
30274 guile-2.0-doc                      	       4        0        0        0        4
30275 guile-2.2-doc                      	       4        0        0        0        4
30276 gupnp-tools                        	       4        0        4        0        0
30277 hachu                              	       4        0        4        0        0
30278 hamexam                            	       4        0        4        0        0
30279 hamradio-morse                     	       4        0        0        0        4
30280 hannah-foo2zjs                     	       4        0        4        0        0
30281 healpy-data                        	       4        0        0        0        4
30282 hebcal                             	       4        0        4        0        0
30283 heroes-data                        	       4        0        0        0        4
30284 heroes-sound-effects               	       4        0        0        0        4
30285 heroes-sound-tracks                	       4        0        0        0        4
30286 hexwalk                            	       4        0        4        0        0
30287 hexxagon                           	       4        1        3        0        0
30288 hibiscus                           	       4        0        3        0        1
30289 hip-dev                            	       4        0        4        0        0
30290 hip-doc                            	       4        0        0        0        4
30291 hip-samples                        	       4        0        3        0        1
30292 hipblas                            	       4        0        0        0        4
30293 hipblas-dev                        	       4        0        4        0        0
30294 hledger-ui                         	       4        0        4        0        0
30295 hll2380dwcupswrapper               	       4        0        0        0        4
30296 hll2380dwlpr                       	       4        0        0        0        4
30297 hll8250cdncupswrapper              	       4        0        0        0        4
30298 hll8250cdnlpr                      	       4        1        3        0        0
30299 hovercraft                         	       4        0        4        0        0
30300 hp-health                          	       4        1        3        0        0
30301 hsa-amd-aqlprofile                 	       4        0        0        0        4
30302 hscolour                           	       4        0        4        0        0
30303 httptunnel                         	       4        1        3        0        0
30304 hunspell-kmr                       	       4        0        0        0        4
30305 hunt                               	       4        1        3        0        0
30306 hyphen-bg                          	       4        0        0        0        4
30307 hyphen-pt-pt                       	       4        0        0        0        4
30308 hyphen-sh                          	       4        0        0        0        4
30309 hyphy-common                       	       4        0        4        0        0
30310 hyphy-mpi                          	       4        0        4        0        0
30311 ibus-kkc                           	       4        0        1        0        3
30312 ibus-sunpinyin                     	       4        0        1        0        3
30313 icdiff                             	       4        0        3        1        0
30314 icedove-l10n-de                    	       4        0        0        0        4
30315 icinga                             	       4        0        0        0        4
30316 icinga-core                        	       4        3        1        0        0
30317 icinga2-ido-pgsql                  	       4        2        1        0        1
30318 icnsutils                          	       4        1        3        0        0
30319 icon-naming-utils                  	       4        0        0        0        4
30320 idle-python3.12                    	       4        0        4        0        0
30321 ietf2bibtex                        	       4        0        4        0        0
30322 imapcopy                           	       4        0        3        1        0
30323 imapfilter                         	       4        0        4        0        0
30324 inetutils-ftpd                     	       4        0        4        0        0
30325 infernal                           	       4        0        4        0        0
30326 infiniband-diags                   	       4        0        4        0        0
30327 inputlirc                          	       4        1        3        0        0
30328 intef-exe-appimage                 	       4        0        4        0        0
30329 integrit                           	       4        2        2        0        0
30330 intel-hdcp                         	       4        0        4        0        0
30331 intel-oneapi-mkl-devel             	       4        0        0        0        4
30332 ipe5toxml                          	       4        0        4        0        0
30333 iproute-doc                        	       4        0        0        0        4
30334 ipset-persistent                   	       4        0        3        0        1
30335 iptables-converter                 	       4        0        4        0        0
30336 iptux                              	       4        3        1        0        0
30337 ipvsadm                            	       4        0        4        0        0
30338 ipython                            	       4        0        4        0        0
30339 irda-utils                         	       4        0        4        0        0
30340 isdnlog-data                       	       4        0        0        0        4
30341 isdnvboxclient                     	       4        1        3        0        0
30342 itcl3-doc                          	       4        0        0        0        4
30343 itk3-doc                           	       4        0        0        0        4
30344 itools                             	       4        0        4        0        0
30345 ivy-doc                            	       4        0        0        0        4
30346 iwidgets4-doc                      	       4        0        0        0        4
30347 jameica                            	       4        1        3        0        0
30348 jameica-h2database                 	       4        0        0        0        4
30349 jdk-23                             	       4        0        3        1        0
30350 jenkins-debian-glue                	       4        0        4        0        0
30351 jenkins-debian-glue-buildenv       	       4        0        0        0        4
30352 jenkins-debian-glue-buildenv-devuan	       4        0        0        0        4
30353 jeuclid-cli                        	       4        0        4        0        0
30354 jeuclid-mathviewer                 	       4        0        4        0        0
30355 jicofo                             	       4        0        0        0        4
30356 jigit                              	       4        0        4        0        0
30357 jimsh                              	       4        0        4        0        0
30358 jitsi-meet-prosody                 	       4        0        0        0        4
30359 jitterentropy-rngd                 	       4        2        2        0        0
30360 jkmeter                            	       4        0        4        0        0
30361 jlink                              	       4        0        3        1        0
30362 jove                               	       4        0        4        0        0
30363 joy2key                            	       4        0        4        0        0
30364 jpegpixi                           	       4        0        4        0        0
30365 jpegqs                             	       4        0        4        0        0
30366 jruby                              	       4        0        4        0        0
30367 juce-modules-source-data           	       4        0        4        0        0
30368 jumpnbump-levels                   	       4        0        0        0        4
30369 junior-typing                      	       4        0        0        0        4
30370 jupyter-qtconsole                  	       4        1        2        1        0
30371 kanjipad                           	       4        1        3        0        0
30372 karbon-trinity                     	       4        0        4        0        0
30373 kcontrol-autostart-trinity         	       4        0        4        0        0
30374 kde-config-fcitx                   	       4        0        4        0        0
30375 kde-l10n-de                        	       4        1        0        0        3
30376 kdelibs-data                       	       4        0        4        0        0
30377 kdevelop-dev                       	       4        0        3        1        0
30378 kdevelop-php-l10n                  	       4        0        0        0        4
30379 kdevelop512-libs                   	       4        0        0        0        4
30380 kdm                                	       4        1        3        0        0
30381 keepassxc-minimal                  	       4        1        3        0        0
30382 kexi-mysql-driver                  	       4        0        0        0        4
30383 kexi-trinity                       	       4        0        4        0        0
30384 keyringer                          	       4        0        4        0        0
30385 kgb                                	       4        1        3        0        0
30386 kicad-doc-zh                       	       4        0        0        0        4
30387 kildclient                         	       4        0        4        0        0
30388 kio-audiocd-dev                    	       4        0        3        1        0
30389 kio-gopher                         	       4        0        1        0        3
30390 kivio-data-trinity                 	       4        0        0        0        4
30391 kivio-trinity                      	       4        0        4        0        0
30392 kiwix-tools                        	       4        0        4        0        0
30393 klystrack                          	       4        0        4        0        0
30394 kmon                               	       4        0        3        1        0
30395 kmscube                            	       4        0        4        0        0
30396 knot-doc                           	       4        0        0        0        4
30397 ko.tex-extra-hlfont                	       4        0        0        0        4
30398 kodi-audiodecoder-fluidsynth       	       4        0        0        0        4
30399 kodi-audiodecoder-openmpt          	       4        0        0        0        4
30400 kodi-audiodecoder-sidplay          	       4        0        0        0        4
30401 kodi-eventclients-wiiremote        	       4        0        4        0        0
30402 kodi-peripheral-xarcade            	       4        1        3        0        0
30403 kodi-pvr-vdr-vnsi                  	       4        0        0        0        4
30404 kodi-screensaver-biogenesis        	       4        0        0        0        4
30405 kodi-screensaver-greynetic         	       4        0        0        0        4
30406 kodi-screensaver-pingpong          	       4        0        0        0        4
30407 kodi-screensaver-shadertoy         	       4        0        0        0        4
30408 kodi-vfs-sftp                      	       4        0        1        0        3
30409 kraptor                            	       4        0        4        0        0
30410 kraptor-data                       	       4        0        0        0        4
30411 krb5-gss-samples                   	       4        0        4        0        0
30412 krb5-kdc-ldap                      	       4        1        3        0        0
30413 krb5-kpropd                        	       4        0        4        0        0
30414 krb5-strength                      	       4        0        4        0        0
30415 krename-trinity                    	       4        0        4        0        0
30416 kspread-trinity                    	       4        0        4        0        0
30417 ktikz                              	       4        0        4        0        0
30418 kuserfeedback-bin                  	       4        0        3        1        0
30419 kwaterfoxhelper                    	       4        0        4        0        0
30420 kwin-bismuth                       	       4        0        3        0        1
30421 kwin-style-qtcurve                 	       4        0        4        0        0
30422 kword-data-trinity                 	       4        0        0        0        4
30423 kword-trinity                      	       4        0        4        0        0
30424 kwstyle                            	       4        0        4        0        0
30425 language-env                       	       4        0        4        0        0
30426 latex209-base                      	       4        0        0        0        4
30427 lazarus-ide-3.6                    	       4        0        4        0        0
30428 lazarus-ide-gtk2-3.6               	       4        0        4        0        0
30429 lazarus-project                    	       4        0        4        0        0
30430 lazarus-src                        	       4        0        0        0        4
30431 lazarus-src-3.6                    	       4        0        4        0        0
30432 lbackup                            	       4        0        0        0        4
30433 lcab                               	       4        1        3        0        0
30434 lcl-3.6                            	       4        0        0        0        4
30435 lcl-gtk2-3.6                       	       4        0        4        0        0
30436 lcl-nogui-3.6                      	       4        0        4        0        0
30437 lcl-units-3.6                      	       4        0        4        0        0
30438 lcl-utils-3.6                      	       4        0        4        0        0
30439 ldm                                	       4        0        4        0        0
30440 ldm-server                         	       4        0        4        0        0
30441 leafnode                           	       4        3        1        0        0
30442 lebiniou                           	       4        0        3        1        0
30443 lebiniou-data                      	       4        0        0        0        4
30444 leela-zero                         	       4        0        4        0        0
30445 legacylauncher                     	       4        0        4        0        0
30446 lemon                              	       4        1        2        1        0
30447 lgrind                             	       4        1        3        0        0
30448 lib32asan8-x32-cross               	       4        0        0        0        4
30449 lib32gcc-12-dev-x32-cross          	       4        0        0        0        4
30450 lib32gcc-s1-x32-cross              	       4        0        0        0        4
30451 lib64asan8-x32-cross               	       4        0        0        0        4
30452 lib64atomic1-i386-cross            	       4        0        0        0        4
30453 lib64gcc-12-dev-x32-cross          	       4        0        0        0        4
30454 lib64gcc-s1-x32-cross              	       4        0        0        0        4
30455 lib64gomp1                         	       4        0        0        0        4
30456 lib64gomp1-i386-cross              	       4        0        0        0        4
30457 lib64itm1                          	       4        0        0        0        4
30458 lib64itm1-i386-cross               	       4        0        0        0        4
30459 lib64quadmath0                     	       4        0        0        0        4
30460 lib64quadmath0-i386-cross          	       4        0        0        0        4
30461 lib64stdc++6-i386-cross            	       4        0        0        0        4
30462 lib64ubsan1-i386-cross             	       4        0        0        0        4
30463 libabiword-2.9                     	       4        0        0        0        4
30464 libaccess-bridge-java              	       4        0        0        0        4
30465 libaccinj64-11.2                   	       4        0        0        0        4
30466 libaccinj64-12.2                   	       4        0        0        0        4
30467 libace-7.0.8                       	       4        0        0        0        4
30468 libace-perl                        	       4        0        4        0        0
30469 libadmesh1                         	       4        0        0        0        4
30470 libagg2t64                         	       4        0        0        0        4
30471 libalgorithm-backoff-perl          	       4        0        4        0        0
30472 libalgorithm-checkdigits-perl      	       4        0        4        0        0
30473 libalien-sdl-dev-perl              	       4        0        0        0        4
30474 liballegro-physfs5-dev             	       4        0        4        0        0
30475 liballegro-physfs5.2               	       4        0        0        0        4
30476 liballegro-video5-dev              	       4        0        4        0        0
30477 liballegro4.4t64                   	       4        0        0        0        4
30478 libantlr4-runtime4.9               	       4        0        1        0        3
30479 libanyevent-dbi-perl               	       4        1        3        0        0
30480 libanyevent-fork-perl              	       4        1        3        0        0
30481 libapache-mime4j-java              	       4        0        0        0        4
30482 libapache2-mod-python-doc          	       4        0        0        0        4
30483 libapache2-mod-rpaf                	       4        1        3        0        0
30484 libapertium3                       	       4        0        0        0        4
30485 libapol4                           	       4        0        0        0        4
30486 libappmenu-gtk-parser-dev-common   	       4        0        4        0        0
30487 libapt-pkg-doc                     	       4        0        0        0        4
30488 libargtable2-dev                   	       4        1        3        0        0
30489 libargtable2-docs                  	       4        0        0        0        4
30490 libasan5-armel-cross               	       4        0        0        0        4
30491 libasan5-i386-cross                	       4        0        0        0        4
30492 libasan6-i386-cross                	       4        0        0        0        4
30493 libasan8-powerpc-cross             	       4        0        0        0        4
30494 libasan8-ppc64-cross               	       4        0        0        0        4
30495 libasan8-x32-cross                 	       4        0        0        0        4
30496 libassimp4                         	       4        0        0        0        4
30497 libastro-dev                       	       4        0        3        1        0
30498 libastro-fits-cfitsio-perl         	       4        0        0        0        4
30499 libasyncns-dev                     	       4        0        4        0        0
30500 libatk-adaptor-data                	       4        0        0        0        4
30501 libatomic1-s390x-cross             	       4        0        0        0        4
30502 libaudcore2                        	       4        0        0        0        4
30503 libaudio-file-perl                 	       4        0        4        0        0
30504 libauthen-cas-client-perl          	       4        0        4        0        0
30505 libavdevice56                      	       4        0        0        0        4
30506 libavfilter2                       	       4        0        0        0        4
30507 libax25-dev                        	       4        1        3        0        0
30508 libb-utils-perl                    	       4        0        0        0        4
30509 libbaloopim4                       	       4        1        0        0        3
30510 libbctoolbox-dev                   	       4        0        4        0        0
30511 libbenchmark-timer-perl            	       4        0        4        0        0
30512 libbinio-dev                       	       4        0        4        0        0
30513 libbit4xpki                        	       4        1        3        0        0
30514 libblas64-3                        	       4        0        0        0        4
30515 libbloom1                          	       4        0        3        0        1
30516 libbogl-dev                        	       4        0        4        0        0
30517 libbogl0                           	       4        0        0        0        4
30518 libboost-atomic1.55.0              	       4        0        0        0        4
30519 libboost-coroutine1.67-dev         	       4        0        0        0        4
30520 libboost-date-time1.49.0           	       4        0        0        0        4
30521 libboost-date-time1.62-dev         	       4        0        0        0        4
30522 libboost-date-time1.71.0           	       4        0        0        0        4
30523 libboost-exception1.67-dev         	       4        0        0        0        4
30524 libboost-iostreams1.54.0           	       4        0        0        0        4
30525 libboost-iostreams1.71.0           	       4        0        0        0        4
30526 libboost-locale1.67-dev            	       4        0        0        0        4
30527 libboost-locale1.71.0              	       4        0        0        0        4
30528 libboost-log1.67-dev               	       4        0        0        0        4
30529 libboost-program-options1.71.0     	       4        0        0        0        4
30530 libboost-python1.49.0              	       4        0        0        0        4
30531 libboost-random1.55.0              	       4        0        0        0        4
30532 libboost-regex1.71.0               	       4        0        0        0        4
30533 libboost-serialization1.62-dev     	       4        0        0        0        4
30534 libboost-type-erasure1.67-dev      	       4        0        0        0        4
30535 libboost-wave1.62.0                	       4        0        0        0        4
30536 libboost-wave1.67-dev              	       4        0        0        0        4
30537 libbotan-2-doc                     	       4        0        0        0        4
30538 libbox2d-dev                       	       4        0        4        0        0
30539 libbudgie-appindexer0              	       4        0        1        0        3
30540 libbudgie-raven-plugin0            	       4        0        1        0        3
30541 libbullet3.06                      	       4        0        0        0        4
30542 libc++-11-dev                      	       4        1        2        0        1
30543 libc++-14-dev-wasm32               	       4        0        4        0        0
30544 libc++-dev-wasm32                  	       4        0        0        0        4
30545 libc++1-7                          	       4        0        4        0        0
30546 libc++abi-11-dev                   	       4        0        3        0        1
30547 libc++abi-14-dev-wasm32            	       4        0        4        0        0
30548 libc++abi1-7                       	       4        0        4        0        0
30549 libc6-amd64-cross                  	       4        0        0        0        4
30550 libc6-dev-amd64-i386-cross         	       4        0        4        0        0
30551 libc6-dev-x32-i386-cross           	       4        0        4        0        0
30552 libc6-s390x-cross                  	       4        0        0        0        4
30553 libc6-x32-i386-cross               	       4        0        0        0        4
30554 libc6.1-alpha-cross                	       4        0        0        0        4
30555 libcalendarsupport4                	       4        1        0        0        3
30556 libcapnp-dev                       	       4        0        4        0        0
30557 libcasa-coordinates5               	       4        0        0        0        4
30558 libcasa-derivedmscal5              	       4        0        0        0        4
30559 libcasa-fits5                      	       4        0        0        0        4
30560 libcasa-images5                    	       4        0        0        0        4
30561 libcasa-lattices5                  	       4        0        0        0        4
30562 libcasa-meas5                      	       4        0        0        0        4
30563 libcasa-mirlib5                    	       4        0        0        0        4
30564 libcasa-python3-5                  	       4        0        0        0        4
30565 libcbf1                            	       4        0        0        0        4
30566 libccfits-dev                      	       4        0        4        0        0
30567 libcddb-file-perl                  	       4        0        4        0        0
30568 libcec7                            	       4        2        0        0        2
30569 libcfitsio2                        	       4        0        0        0        4
30570 libcgal12                          	       4        0        0        0        4
30571 libcgif-dev                        	       4        0        4        0        0
30572 libcglib3-java                     	       4        0        0        0        4
30573 libcgns3.4                         	       4        0        0        0        4
30574 libchewing3                        	       4        0        0        0        4
30575 libchewing3-data                   	       4        0        0        0        4
30576 libchipcard-data                   	       4        0        0        0        4
30577 libcib4                            	       4        0        0        0        4
30578 libcitadel4                        	       4        0        0        0        4
30579 libcivetweb1                       	       4        1        1        0        2
30580 libclang-cpp14-dev                 	       4        1        3        0        0
30581 libclang1-9                        	       4        0        4        0        0
30582 libclass-dbi-sqlite-perl           	       4        0        4        0        0
30583 libclaw-graphic1v5                 	       4        0        0        0        4
30584 libclblas-dev                      	       4        0        4        0        0
30585 libclblas2                         	       4        0        0        0        4
30586 libclc-19                          	       4        0        3        1        0
30587 libclc-19-dev                      	       4        0        3        1        0
30588 libcld2-dev                        	       4        0        4        0        0
30589 libcli1.9                          	       4        0        0        0        4
30590 libclutter-gst-1.0-0               	       4        0        0        0        4
30591 libcmark-dev                       	       4        0        4        0        0
30592 libcmark-gfm-extensions0.29.0.gfm.3	       4        0        0        0        4
30593 libcmark-gfm0.29.0.gfm.3           	       4        0        0        0        4
30594 libcmark0                          	       4        0        0        0        4
30595 libcminpack-dev                    	       4        0        4        0        0
30596 libcneartree7                      	       4        0        0        0        4
30597 libcob5-dev                        	       4        0        4        0        0
30598 libcoda15                          	       4        0        0        0        4
30599 libcodeblocks0t64                  	       4        0        0        0        4
30600 libcodec2-0.4                      	       4        0        0        0        4
30601 libcodesize-java                   	       4        0        0        0        4
30602 libcommons-net-java-doc            	       4        0        0        0        4
30603 libconvert-binary-c-perl           	       4        0        4        0        0
30604 libconvert-scalar-perl             	       4        0        0        0        4
30605 libcork16                          	       4        1        3        0        0
30606 libcorkipset1                      	       4        1        3        0        0
30607 libcotp3                           	       4        0        0        0        4
30608 libcpan-common-index-perl          	       4        0        4        0        0
30609 libcpdb-libs-common1               	       4        0        0        0        4
30610 libcpp-common0d                    	       4        0        0        0        4
30611 libcpp-hocon0.3.0                  	       4        0        0        0        4
30612 libcppunit-doc                     	       4        0        4        0        0
30613 libcpufreq-dev                     	       4        0        4        0        0
30614 libcpuid17                         	       4        0        0        0        4
30615 libcqrlib2                         	       4        0        0        0        4
30616 libcriu2                           	       4        0        0        0        4
30617 libcrmcluster4                     	       4        0        0        0        4
30618 libcrmcommon3                      	       4        0        0        0        4
30619 libcrmservice3                     	       4        0        0        0        4
30620 libcrypt-mysql-perl                	       4        0        0        0        4
30621 libcrypt-random-source-perl        	       4        2        2        0        0
30622 libcrypt-unixcrypt-xs-perl         	       4        0        0        0        4
30623 libcryptsetup-dev                  	       4        0        4        0        0
30624 libcsfml-audio2.5                  	       4        0        0        0        4
30625 libcsfml-graphics2.5               	       4        0        0        0        4
30626 libcsfml-network2.5                	       4        0        0        0        4
30627 libcsfml-system2.5                 	       4        0        0        0        4
30628 libcsfml-window2.5                 	       4        0        0        0        4
30629 libcss-parser-pp0v5                	       4        0        0        0        4
30630 libcublas-12-6                     	       4        0        0        0        4
30631 libcublas-dev-12-6                 	       4        0        3        1        0
30632 libcublas12                        	       4        0        0        0        4
30633 libcublaslt12                      	       4        0        0        0        4
30634 libcuda1-i386                      	       4        0        0        0        4
30635 libcudart12                        	       4        0        0        0        4
30636 libcufft-12-6                      	       4        0        0        0        4
30637 libcufft-dev-12-6                  	       4        0        3        1        0
30638 libcufft11                         	       4        0        0        0        4
30639 libcufftw11                        	       4        0        0        0        4
30640 libcufile-12-6                     	       4        0        0        0        4
30641 libcufile-dev-12-6                 	       4        0        3        1        0
30642 libcuinj64-11.2                    	       4        0        0        0        4
30643 libcurand-12-6                     	       4        0        0        0        4
30644 libcurand-dev-12-6                 	       4        0        3        1        0
30645 libcurlpp-dev                      	       4        0        4        0        0
30646 libcurlpp0                         	       4        0        0        0        4
30647 libcurses-widgets-perl             	       4        1        3        0        0
30648 libcusolver-12-6                   	       4        0        0        0        4
30649 libcusolver-dev-12-6               	       4        0        3        1        0
30650 libcusparse-12-6                   	       4        0        0        0        4
30651 libcusparse-dev-12-6               	       4        0        3        1        0
30652 libcvector2                        	       4        0        0        0        4
30653 libdaemon-dev                      	       4        0        4        0        0
30654 libdapclient3                      	       4        0        0        0        4
30655 libdata-dumper-simple-perl         	       4        0        4        0        0
30656 libdata-guid-perl                  	       4        1        3        0        0
30657 libdata-stag-perl                  	       4        0        4        0        0
30658 libdatetimex-easy-perl             	       4        0        4        0        0
30659 libdatrie-doc                      	       4        0        0        0        4
30660 libdbd-odbc-perl                   	       4        0        0        0        4
30661 libdbix-class-schema-loader-perl   	       4        1        3        0        0
30662 libdbus-c++-bin                    	       4        0        4        0        0
30663 libdbus-c++-dev                    	       4        0        4        0        0
30664 libdbus-glib-1-doc                 	       4        0        0        0        4
30665 libdbus-tqt-1-1c2                  	       4        0        0        0        4
30666 libdcmtk17t64                      	       4        0        0        0        4
30667 libddcutil-dev                     	       4        0        3        1        0
30668 libdebian-copyright-perl           	       4        0        4        0        0
30669 libdevel-cover-perl                	       4        0        4        0        0
30670 libdevel-declare-perl              	       4        0        0        0        4
30671 libdirectfb-1.0-0                  	       4        0        0        0        4
30672 libdirectfb-bin                    	       4        0        4        0        0
30673 libdirgra-java                     	       4        0        0        0        4
30674 libdjinterop0                      	       4        0        0        0        4
30675 libdmrconf0                        	       4        0        0        0        4
30676 libdns22                           	       4        0        0        0        4
30677 libdnssec8                         	       4        0        0        0        4
30678 libdotconf1.0                      	       4        0        0        0        4
30679 libdovi3                           	       4        0        0        0        4
30680 libdropwizard-metrics-java         	       4        0        0        0        4
30681 libdsdcc1                          	       4        0        0        0        4
30682 libdsk-utils                       	       4        1        3        0        0
30683 libdtkcore2                        	       4        0        0        0        4
30684 libdtkwidget2                      	       4        0        0        0        4
30685 libdublintraceroute0               	       4        0        0        0        4
30686 libduktape206                      	       4        0        0        0        4
30687 libdvbv5-0t64                      	       4        0        0        0        4
30688 libdxflib-dev                      	       4        0        4        0        0
30689 libdynarmic6                       	       4        0        0        0        4
30690 libeb16t64                         	       4        0        0        0        4
30691 libebackend-1.2-2                  	       4        0        0        0        4
30692 libebackend1.2-dev                 	       4        0        4        0        0
30693 libebook-contacts1.2-dev           	       4        0        4        0        0
30694 libebook1.2-dev                    	       4        0        4        0        0
30695 libeccodes-dev                     	       4        0        4        0        0
30696 libeclipse-swtchart-java           	       4        0        0        0        4
30697 libecore-con1t64                   	       4        0        1        0        3
30698 libedata-book1.2-dev               	       4        0        4        0        0
30699 libeditline0                       	       4        0        0        0        4
30700 libeggdbus-1-0                     	       4        0        0        0        4
30701 libeina1t64                        	       4        0        1        0        3
30702 libemail-date-perl                 	       4        0        4        0        0
30703 libepc-1.0-3                       	       4        0        0        0        4
30704 libexcel-writer-xlsx-perl          	       4        0        4        0        0
30705 libexecline2.7                     	       4        0        0        0        4
30706 libextractor-dev                   	       4        0        4        0        0
30707 libfame-0.9-1                      	       4        0        0        0        4
30708 libfann-dev                        	       4        0        4        0        0
30709 libfarstream-0.1-0                 	       4        1        0        0        3
30710 libfcitx5gclient1                  	       4        0        0        0        4
30711 libfdisk-dev                       	       4        0        4        0        0
30712 libfetk1.9                         	       4        0        0        0        4
30713 libffmpegthumbnailer4              	       4        0        0        0        4
30714 libfile-copy-link-perl             	       4        1        3        0        0
30715 libfile-slurp-unicode-perl         	       4        0        4        0        0
30716 libfile-type-perl                  	       4        0        4        0        0
30717 libflatpak-dev                     	       4        0        4        0        0
30718 libflext-pd0                       	       4        0        0        0        4
30719 libflightcrew0v5                   	       4        0        0        0        4
30720 libfm-dev                          	       4        0        4        0        0
30721 libfm-extra-dev                    	       4        0        4        0        0
30722 libfm-gtk-dev                      	       4        0        0        0        4
30723 libfm-qt13                         	       4        1        3        0        0
30724 libfm-qt14                         	       4        1        2        0        1
30725 libfolia14                         	       4        0        0        0        4
30726 libforks-perl                      	       4        0        0        0        4
30727 libforms-bin                       	       4        0        4        0        0
30728 libforms-doc                       	       4        0        0        0        4
30729 libfox-1.6-dev                     	       4        0        4        0        0
30730 libfreebsd-glue-0                  	       4        0        0        0        4
30731 libfreefem0                        	       4        0        0        0        4
30732 libftl0                            	       4        0        0        0        4
30733 libgambit4-dev                     	       4        0        3        1        0
30734 libgcc-12-dev-i386-cross           	       4        0        0        0        4
30735 libgcc-12-dev-mips-cross           	       4        0        0        0        4
30736 libgcc-12-dev-mipsel-cross         	       4        0        0        0        4
30737 libgcc-12-dev-x32-cross            	       4        0        0        0        4
30738 libgcc-8-dev-i386-cross            	       4        0        0        0        4
30739 libgcc-s1-mips-cross               	       4        0        0        0        4
30740 libgcc-s1-s390x-cross              	       4        0        0        0        4
30741 libgccjit-11-dev                   	       4        0        0        0        4
30742 libgcin                            	       4        0        1        0        3
30743 libgcj12                           	       4        0        0        0        4
30744 libgcj13                           	       4        0        0        0        4
30745 libgda-5.0-4t64                    	       4        0        0        0        4
30746 libgda-5.0-postgres                	       4        0        0        0        4
30747 libgdcm-tools                      	       4        0        4        0        0
30748 libgdm-dev                         	       4        0        3        1        0
30749 libgdu-gtk0                        	       4        0        0        0        4
30750 libgeo-metar-perl                  	       4        1        3        0        0
30751 libgeoip2-perl                     	       4        0        4        0        0
30752 libgeos-3.4.2                      	       4        0        0        0        4
30753 libgepub0                          	       4        0        0        0        4
30754 libgexiv2-1                        	       4        0        0        0        4
30755 libgf2x1                           	       4        0        0        0        4
30756 libgfortran4                       	       4        0        0        0        4
30757 libghc-alsa-core-dev               	       4        0        4        0        0
30758 libghc-ansi-terminal-prof          	       4        0        0        0        4
30759 libghc-base-compat-prof            	       4        0        0        0        4
30760 libghc-base-orphans-prof           	       4        0        0        0        4
30761 libghc-base16-bytestring-dev       	       4        0        4        0        0
30762 libghc-bifunctors-prof             	       4        0        0        0        4
30763 libghc-colour-prof                 	       4        0        0        0        4
30764 libghc-comonad-prof                	       4        0        0        0        4
30765 libghc-contravariant-prof          	       4        0        0        0        4
30766 libghc-cookie-dev                  	       4        0        4        0        0
30767 libghc-distributive-prof           	       4        0        0        0        4
30768 libghc-emojis-dev                  	       4        0        4        0        0
30769 libghc-gi-gdkpixbuf-dev            	       4        0        4        0        0
30770 libghc-gi-gio-dev                  	       4        0        4        0        0
30771 libghc-gi-glib-dev                 	       4        0        4        0        0
30772 libghc-gi-gobject-dev              	       4        0        4        0        0
30773 libghc-gtk3-dev                    	       4        0        4        0        0
30774 libghc-hashable-doc                	       4        0        4        0        0
30775 libghc-hashtables-dev              	       4        0        4        0        0
30776 libghc-hint-dev                    	       4        0        4        0        0
30777 libghc-hslogger-dev                	       4        0        4        0        0
30778 libghc-hunit-dev                   	       4        0        4        0        0
30779 libghc-kan-extensions-dev          	       4        0        4        0        0
30780 libghc-lens-dev                    	       4        0        4        0        0
30781 libghc-libyaml-dev                 	       4        0        4        0        0
30782 libghc-memory-dev                  	       4        0        4        0        0
30783 libghc-mime-types-dev              	       4        0        4        0        0
30784 libghc-monadrandom-dev             	       4        0        4        0        0
30785 libghc-monadrandom-prof            	       4        0        0        0        4
30786 libghc-network-bsd-dev             	       4        0        4        0        0
30787 libghc-old-locale-prof             	       4        0        0        0        4
30788 libghc-os-string-dev               	       4        1        3        0        0
30789 libghc-profunctors-prof            	       4        0        0        0        4
30790 libghc-random-shuffle-dev          	       4        0        4        0        0
30791 libghc-random-shuffle-prof         	       4        0        0        0        4
30792 libghc-reflection-dev              	       4        0        4        0        0
30793 libghc-regex-tdfa-prof             	       4        0        0        0        4
30794 libghc-semigroupoids-prof          	       4        0        0        0        4
30795 libghc-socks-dev                   	       4        0        4        0        0
30796 libghc-statevar-prof               	       4        0        0        0        4
30797 libghc-tagged-prof                 	       4        0        0        0        4
30798 libghc-th-lift-dev                 	       4        0        4        0        0
30799 libghc-time-locale-compat-dev      	       4        0        4        0        0
30800 libghc-transformers-base-prof      	       4        0        0        0        4
30801 libghc-type-equality-dev           	       4        0        4        0        0
30802 libghc-unix-compat-dev             	       4        0        4        0        0
30803 libghc-vector-stream-dev           	       4        1        3        0        0
30804 libghc-xml-dev                     	       4        0        4        0        0
30805 libghc-yaml-dev                    	       4        0        4        0        0
30806 libgig-dev                         	       4        0        4        0        0
30807 libgii1                            	       4        0        4        0        0
30808 libgii1-target-x                   	       4        0        4        0        0
30809 libgimp2.0-doc                     	       4        0        0        0        4
30810 libgit-repository-plugin-log-perl  	       4        0        4        0        0
30811 libglee0d1                         	       4        0        0        0        4
30812 libglewmx1.10                      	       4        0        0        0        4
30813 libglfw3-doc                       	       4        0        0        0        4
30814 libglibd-2.0-0                     	       4        0        0        0        4
30815 libglobus-callout0                 	       4        0        0        0        4
30816 libglobus-gss-assist3              	       4        0        0        0        4
30817 libgloox-dev                       	       4        0        4        0        0
30818 libgloox17                         	       4        0        0        0        4
30819 libgnat-6                          	       4        0        0        0        4
30820 libgnatcoll21                      	       4        0        0        0        4
30821 libgnome-vfs2.0-cil                	       4        0        0        0        4
30822 libgnome2-canvas-perl              	       4        0        4        0        0
30823 libgnome2.24-cil                   	       4        0        0        0        4
30824 libgnomecups1.0-1                  	       4        0        0        0        4
30825 libgnomeprint2.2-0                 	       4        0        4        0        0
30826 libgnomeprint2.2-data              	       4        0        0        0        4
30827 libgnomeprintui2.2-0               	       4        0        0        0        4
30828 libgnomeprintui2.2-common          	       4        0        0        0        4
30829 libgnunet0.20t64                   	       4        1        3        0        0
30830 libgnuradio-channels3.8.2          	       4        0        0        0        4
30831 libgnuradio-fcdproplus3.8.0        	       4        0        0        0        4
30832 libgnuradio-hpsdr1.0.0             	       4        0        0        0        4
30833 libgnuradio-iqbalance3.8.0         	       4        0        0        0        4
30834 libgnuradio-pmt3.7.13              	       4        0        0        0        4
30835 libgnuradio-qtgui3.8.2             	       4        0        0        0        4
30836 libgnuradio-runtime3.7.13          	       4        0        0        0        4
30837 libgnuradio-trellis3.8.2           	       4        0        0        0        4
30838 libgnuradio-uhd3.8.2               	       4        0        0        0        4
30839 libgnuradio-vocoder3.8.2           	       4        0        0        0        4
30840 libgnuradio-zeromq3.8.2            	       4        0        0        0        4
30841 libgnutls13                        	       4        0        0        0        4
30842 libgomp1-s390x-cross               	       4        0        0        0        4
30843 libgoogle-api-client-java          	       4        0        0        0        4
30844 libgoogle-http-client-java         	       4        0        0        0        4
30845 libgoogle-oauth-client-java        	       4        0        0        0        4
30846 libgpac11                          	       4        0        0        0        4
30847 libgpaste-2                        	       4        0        4        0        0
30848 libgpaste-2-common                 	       4        0        2        0        2
30849 libgpgme++2                        	       4        1        0        0        3
30850 libgphobos76                       	       4        0        0        0        4
30851 libgpuarray3                       	       4        0        0        0        4
30852 libgranite5                        	       4        0        0        0        4
30853 libgrantlee-core0                  	       4        1        3        0        0
30854 libgrantlee-gui0                   	       4        1        0        0        3
30855 libgraphite3                       	       4        0        0        0        4
30856 libgrpc-java                       	       4        0        0        0        4
30857 libgrpc6                           	       4        0        0        0        4
30858 libgsl0ldbl                        	       4        0        0        0        4
30859 libgsmsd8                          	       4        0        0        0        4
30860 libgsoap-2.8.75                    	       4        0        0        0        4
30861 libgssdp-1.6-dev                   	       4        0        3        1        0
30862 libgtk3-webkit2-perl               	       4        0        4        0        0
30863 libgtkdatabox1t64                  	       4        0        0        0        4
30864 libguestfs0t64                     	       4        0        0        0        4
30865 libgupnp-1.6-dev                   	       4        0        3        1        0
30866 libgv-tcl                          	       4        0        0        0        4
30867 libgweather-3-0                    	       4        0        0        0        4
30868 libgwengui-gtk3-dev                	       4        0        4        0        0
30869 libh2-java                         	       4        0        0        0        4
30870 libhamlib++4                       	       4        0        0        0        4
30871 libhamlib2                         	       4        0        4        0        0
30872 libhash-ordered-perl               	       4        1        3        0        0
30873 libhbci4j-core-java                	       4        0        0        0        4
30874 libhdf5-7                          	       4        0        0        0        4
30875 libhdhomerun5                      	       4        2        1        0        1
30876 libhe5-hdfeos0                     	       4        0        0        0        4
30877 libheadius-options-java            	       4        0        0        0        4
30878 libhsm-bin                         	       4        0        4        0        0
30879 libhtml-clean-perl                 	       4        0        4        0        0
30880 libhtml-formattext-withlinks-perl  	       4        0        4        0        0
30881 libhtml-gentoc-perl                	       4        1        3        0        0
30882 libhtml-html5-parser-perl          	       4        0        4        0        0
30883 libhtml-html5-sanity-perl          	       4        0        4        0        0
30884 libhtml-linklist-perl              	       4        1        3        0        0
30885 libhtml-simpleparse-perl           	       4        1        3        0        0
30886 libhtml5parser-java                	       4        0        0        0        4
30887 libhtmlcxx-dev                     	       4        0        4        0        0
30888 libhttp-request-params-perl        	       4        0        4        0        0
30889 libhttp-tiny-perl                  	       4        1        3        0        0
30890 libhugetlbfs-bin                   	       4        0        4        0        0
30891 libhx32t64                         	       4        1        1        0        2
30892 libhypre-dev                       	       4        0        4        0        0
30893 libibnetdisc5                      	       4        0        0        0        4
30894 libibumad-dev                      	       4        0        3        1        0
30895 libicc2                            	       4        0        0        0        4
30896 libid3-3.8.3-dev                   	       4        0        4        0        0
30897 libid3-3.8.3c2a                    	       4        0        0        0        4
30898 libifp4                            	       4        0        0        0        4
30899 libijs-doc                         	       4        0        0        0        4
30900 libimage-exif-perl                 	       4        0        0        0        4
30901 libimdi0                           	       4        0        0        0        4
30902 libimobiledevice2                  	       4        0        0        0        4
30903 libimporter-perl                   	       4        0        4        0        0
30904 libincidenceeditorsng4             	       4        1        0        0        3
30905 libint1                            	       4        0        0        0        4
30906 libinventor1                       	       4        0        0        0        4
30907 libinvokebinder-java               	       4        0        0        0        4
30908 libiptables-parse-perl             	       4        0        4        0        0
30909 libirstlm1                         	       4        0        0        0        4
30910 libisal2                           	       4        0        0        0        4
30911 libisc11                           	       4        0        0        0        4
30912 libisc44                           	       4        0        0        0        4
30913 libisofs-dev                       	       4        0        4        0        0
30914 libitl0                            	       4        0        0        0        4
30915 libitm1-s390x-cross                	       4        0        0        0        4
30916 libjameica-datasource-java         	       4        0        0        0        4
30917 libjameica-util-java               	       4        0        0        0        4
30918 libjava-gnome-java                 	       4        0        0        0        4
30919 libjava-gnome-jni                  	       4        0        4        0        0
30920 libjavassist-java                  	       4        0        0        0        4
30921 libjaxme-java                      	       4        0        0        0        4
30922 libjaxws-api-java                  	       4        0        0        0        4
30923 libjboss-vfs-java                  	       4        0        0        0        4
30924 libjbzip2-java                     	       4        0        0        0        4
30925 libjitescript-java                 	       4        0        0        0        4
30926 libjpa-2.1-spec-java               	       4        0        0        0        4
30927 libjruby-joni-java                 	       4        0        4        0        0
30928 libjs-chosen                       	       4        0        0        0        4
30929 libjs-elycharts                    	       4        0        0        0        4
30930 libjs-impress                      	       4        0        0        0        4
30931 libjs-jquery-jstree                	       4        0        0        0        4
30932 libjs-mochikit                     	       4        0        4        0        0
30933 libjs-node-uuid                    	       4        0        0        0        4
30934 libjs-pdf                          	       4        0        0        0        4
30935 libjs-s5                           	       4        0        0        0        4
30936 libjson-validator-perl             	       4        0        3        1        0
30937 libjsonrpc-glib-1.0-1              	       4        0        0        0        4
30938 libjsr305-java-doc                 	       4        0        0        0        4
30939 libjts-java                        	       4        0        0        0        4
30940 libjws-api-java                    	       4        0        0        0        4
30941 libjxr-dev                         	       4        0        4        0        0
30942 libkadm5srv-mit9                   	       4        0        0        0        4
30943 libkdb5-7                          	       4        0        0        0        4
30944 libkdecorations2-dev               	       4        0        4        0        0
30945 libkdecorations2private9           	       4        0        1        0        3
30946 libkdegames6abi1                   	       4        0        0        0        4
30947 libkdepim4                         	       4        1        1        0        2
30948 libkdepimdbusinterfaces4           	       4        1        0        0        3
30949 libkdgantt2-0                      	       4        1        0        0        3
30950 libkf5activitiesstats-dev          	       4        0        4        0        0
30951 libkf5akonadicontact-dev           	       4        0        4        0        0
30952 libkf5akonadimime-dev              	       4        0        4        0        0
30953 libkf5calendarcore-dev             	       4        0        4        0        0
30954 libkf5calendarutils-dev            	       4        0        4        0        0
30955 libkf5contacteditor-dev            	       4        0        4        0        0
30956 libkf5grantleetheme-dev            	       4        0        4        0        0
30957 libkf5identitymanagement-dev       	       4        0        4        0        0
30958 libkf5idletime-doc                 	       4        0        0        0        4
30959 libkf5kjs-dev                      	       4        0        4        0        0
30960 libkf5people-dev                   	       4        0        4        0        0
30961 libkf5pimtextedit-dev              	       4        0        4        0        0
30962 libkf5pty-doc                      	       4        0        0        0        4
30963 libkf5runner-dev                   	       4        0        4        0        0
30964 libkf5su-dev                       	       4        0        4        0        0
30965 libkf5su-doc                       	       4        0        0        0        4
30966 libkf6config-dev                   	       4        0        3        1        0
30967 libkf6config-dev-bin               	       4        0        4        0        0
30968 libkf6config-doc                   	       4        0        0        0        4
30969 libkf6coreaddons-dev               	       4        0        3        1        0
30970 libkf6coreaddons-doc               	       4        0        0        0        4
30971 libkf6windowsystem-dev             	       4        0        3        1        0
30972 libkf6windowsystem-doc             	       4        0        0        0        4
30973 libkleo4                           	       4        1        0        0        3
30974 libknot11                          	       4        0        0        0        4
30975 libkontactinterface4a              	       4        0        0        0        4
30976 libkpathsea-dev                    	       4        0        4        0        0
30977 libkpgp4                           	       4        1        0        0        3
30978 libktnef4                          	       4        0        0        0        4
30979 libkyotocabinet16                  	       4        0        0        0        4
30980 liblablgl-ocaml                    	       4        0        4        0        0
30981 libldl3                            	       4        0        0        0        4
30982 libldm-1.0-0t64                    	       4        0        0        0        4
30983 libldns3t64                        	       4        0        0        0        4
30984 libleatherman1.12.1                	       4        0        0        0        4
30985 liblightdm-gobject-dev             	       4        0        3        1        0
30986 liblimesuite-dev                   	       4        0        4        0        0
30987 liblingua-en-findnumber-perl       	       4        1        3        0        0
30988 liblingua-en-inflect-number-perl   	       4        1        3        0        0
30989 liblingua-en-inflect-phrase-perl   	       4        1        3        0        0
30990 liblingua-en-number-isordinal-perl 	       4        1        3        0        0
30991 liblingua-en-tagger-perl           	       4        1        3        0        0
30992 liblingua-en-words2nums-perl       	       4        1        3        0        0
30993 liblingua-stem-fr-perl             	       4        0        4        0        0
30994 liblingua-stem-it-perl             	       4        0        4        0        0
30995 liblingua-stem-ru-perl             	       4        0        4        0        0
30996 liblinux-distribution-perl         	       4        0        4        0        0
30997 liblldb-11                         	       4        0        4        0        0
30998 libllvm18.1-amdgpu                 	       4        1        2        0        1
30999 libllvmspirvlib11                  	       4        0        0        0        4
31000 libllvmspirvlib17                  	       4        0        0        0        4
31001 libllvmspirvlib19.1                	       4        0        0        0        4
31002 liblnk-utils                       	       4        0        4        0        0
31003 libloader-java-doc                 	       4        0        0        0        4
31004 liblocale-msgfmt-perl              	       4        0        4        0        0
31005 liblockdev1                        	       4        0        0        0        4
31006 liblog4cxx-dev                     	       4        0        4        0        0
31007 liblog4cxx15                       	       4        0        0        0        4
31008 libloki-dev                        	       4        0        4        0        0
31009 libloki0.1.7                       	       4        0        0        0        4
31010 liblrmd1                           	       4        0        0        0        4
31011 liblscp6                           	       4        0        0        0        4
31012 liblttng-ust-ctl4                  	       4        0        0        0        4
31013 liblttng-ust0                      	       4        0        0        0        4
31014 liblttoolbox3                      	       4        0        0        0        4
31015 liblualib50-dev                    	       4        0        4        0        0
31016 liblwp-online-perl                 	       4        1        3        0        0
31017 liblzo1                            	       4        0        0        0        4
31018 libmail-srs-perl                   	       4        2        2        0        0
31019 libmailutils4                      	       4        0        0        0        4
31020 libmapnik3.0                       	       4        0        4        0        0
31021 libmarblewidget-qt6-28             	       4        0        0        0        4
31022 libmariadbd19t64                   	       4        0        1        0        3
31023 libmate-desktop-doc                	       4        0        0        0        4
31024 libmath-derivative-perl            	       4        0        4        0        0
31025 libmath-random-mt-perl             	       4        0        2        0        2
31026 libmath-spline-perl                	       4        0        4        0        0
31027 libmaven-artifact-transfer-java    	       4        0        0        0        4
31028 libmaven-scm-java                  	       4        0        0        0        4
31029 libmaven2-core-java                	       4        0        0        0        4
31030 libmaxmind-db-reader-xs-perl       	       4        0        0        0        4
31031 libmbt1                            	       4        0        0        0        4
31032 libmckoisqldb-java                 	       4        0        0        0        4
31033 libmeanwhile-dev                   	       4        0        4        0        0
31034 libmed11                           	       4        0        0        0        4
31035 libmediainfo-doc                   	       4        0        0        0        4
31036 libmedimport0v5                    	       4        0        0        0        4
31037 libmemoize-expirelru-perl          	       4        1        3        0        0
31038 libmeshoptimizer2d                 	       4        0        0        0        4
31039 libmessagecomposer4                	       4        1        0        0        3
31040 libmessagecore4                    	       4        1        0        0        3
31041 libmessageviewer4                  	       4        1        3        0        0
31042 libmicrodns-dev                    	       4        0        4        0        0
31043 libmimalloc3                       	       4        0        0        0        4
31044 libminpack1                        	       4        0        0        0        4
31045 libmkl-computational-dev           	       4        0        0        0        4
31046 libmkl-dev                         	       4        0        4        0        0
31047 libmkl-interface-dev               	       4        0        0        0        4
31048 libmkl-rt                          	       4        0        0        0        4
31049 libmkl-threading-dev               	       4        0        0        0        4
31050 libmodello-java                    	       4        0        0        0        4
31051 libmodpbase64-0                    	       4        0        0        0        4
31052 libmojolicious-plugin-openapi-perl 	       4        0        3        1        0
31053 libmono-corlib4.0-cil              	       4        0        4        0        0
31054 libmono-npgsql4.0-cil              	       4        0        4        0        0
31055 libmono-opensystem-c4.0-cil        	       4        0        4        0        0
31056 libmoosex-emulate-class-accessor-fast-perl	       4        1        3        0        0
31057 libmoosex-markasmethods-perl       	       4        1        3        0        0
31058 libmoosex-methodattributes-perl    	       4        1        3        0        0
31059 libmoosex-singleton-perl           	       4        1        3        0        0
31060 libmowgli2                         	       4        0        4        0        0
31061 libmozjs17d                        	       4        0        0        0        4
31062 libmp3splt0-flac                   	       4        0        0        0        4
31063 libmp4-info-perl                   	       4        0        3        1        0
31064 libmpx2-i386-cross                 	       4        0        0        0        4
31065 libmsgpack-dev                     	       4        0        4        0        0
31066 libmsgsl-dev                       	       4        0        0        0        4
31067 libmtp-doc                         	       4        0        0        0        4
31068 libmumps-dev                       	       4        0        0        0        4
31069 libmumps-headers-dev               	       4        0        4        0        0
31070 libmutter-16-0                     	       4        0        0        1        3
31071 libmutter0i                        	       4        0        0        0        4
31072 libmx4j-java                       	       4        0        0        0        4
31073 libmysql++-dev                     	       4        0        4        0        0
31074 libmythavcodec59                   	       4        1        1        0        2
31075 libmythavfilter8                   	       4        1        1        0        2
31076 libmythavformat59                  	       4        1        1        0        2
31077 libmythavutil57                    	       4        1        1        0        2
31078 libmythpostproc56                  	       4        1        1        0        2
31079 libmythswresample4                 	       4        1        1        0        2
31080 libmythswscale6                    	       4        1        1        0        2
31081 libmythtv-perl                     	       4        0        2        2        0
31082 libnatpmp-dev                      	       4        0        4        0        0
31083 libnb-absolutelayout-java          	       4        0        0        0        4
31084 libnet-freedb-perl                 	       4        0        0        0        4
31085 libnet-irc-perl                    	       4        0        4        0        0
31086 libnet-openid-common-perl          	       4        0        4        0        0
31087 libnet-openid-consumer-perl        	       4        0        4        0        0
31088 libnet-openssh-perl                	       4        0        4        0        0
31089 libnet-rawip-perl                  	       4        0        0        0        4
31090 libnetcdff7                        	       4        0        0        0        4
31091 libnetfilter-conntrack-dev         	       4        0        4        0        0
31092 libnetpbm10-dev                    	       4        0        4        0        0
31093 libnetty-tcnative-java             	       4        0        0        0        4
31094 libnetty-tcnative-jni              	       4        0        0        0        4
31095 libnews-nntpclient-perl            	       4        1        3        0        0
31096 libnfc-dev                         	       4        0        4        0        0
31097 libnfs4                            	       4        0        0        0        4
31098 libnifti2                          	       4        0        0        0        4
31099 libnppc12                          	       4        0        0        0        4
31100 libnppial12                        	       4        0        0        0        4
31101 libnppicc12                        	       4        0        0        0        4
31102 libnppidei12                       	       4        0        0        0        4
31103 libnppif12                         	       4        0        0        0        4
31104 libnppig12                         	       4        0        0        0        4
31105 libnppim12                         	       4        0        0        0        4
31106 libnppist12                        	       4        0        0        0        4
31107 libnppisu12                        	       4        0        0        0        4
31108 libnppitc12                        	       4        0        0        0        4
31109 libnpps12                          	       4        0        0        0        4
31110 libnss-lwres                       	       4        0        0        0        4
31111 libnss3-1d                         	       4        0        0        0        4
31112 libntl35                           	       4        0        0        0        4
31113 libnvblas12                        	       4        0        0        0        4
31114 libnvfatbin-12-6                   	       4        0        0        0        4
31115 libnvfatbin-dev-12-6               	       4        0        3        1        0
31116 libnvidia-legacy-340xx-encode1     	       4        0        0        0        4
31117 libnvidia-pkcs11                   	       4        0        0        0        4
31118 libnvidia-sandboxutils             	       4        0        0        0        4
31119 libnvjitlink-12-6                  	       4        0        0        0        4
31120 libnvjitlink-dev-12-6              	       4        0        3        1        0
31121 libnvjpeg-12-6                     	       4        0        0        0        4
31122 libnvjpeg-dev-12-6                 	       4        0        3        1        0
31123 libnvjpeg12                        	       4        1        0        0        3
31124 libnvrtc-builtins12.2              	       4        1        0        0        3
31125 libnvrtc12                         	       4        1        0        0        3
31126 libnx-x11-6t64                     	       4        0        1        0        3
31127 libobantoo-java                    	       4        0        0        0        4
31128 libobasis6.3-ooofonts              	       4        0        0        0        4
31129 libobasis6.4-base                  	       4        0        0        0        4
31130 libobasis6.4-calc                  	       4        0        0        0        4
31131 libobasis6.4-core                  	       4        0        0        0        4
31132 libobasis6.4-draw                  	       4        0        0        0        4
31133 libobasis6.4-en-us                 	       4        0        0        0        4
31134 libobasis6.4-extension-beanshell-script-provider	       4        0        0        0        4
31135 libobasis6.4-extension-javascript-script-provider	       4        0        0        0        4
31136 libobasis6.4-extension-mediawiki-publisher	       4        0        0        0        4
31137 libobasis6.4-extension-nlpsolver   	       4        0        0        0        4
31138 libobasis6.4-extension-pdf-import  	       4        0        0        0        4
31139 libobasis6.4-extension-report-builder	       4        0        0        0        4
31140 libobasis6.4-firebird              	       4        0        0        0        4
31141 libobasis6.4-gnome-integration     	       4        0        0        0        4
31142 libobasis6.4-graphicfilter         	       4        0        0        0        4
31143 libobasis6.4-images                	       4        0        0        0        4
31144 libobasis6.4-impress               	       4        0        0        0        4
31145 libobasis6.4-kde-integration       	       4        0        0        0        4
31146 libobasis6.4-librelogo             	       4        0        0        0        4
31147 libobasis6.4-libreofficekit-data   	       4        0        0        0        4
31148 libobasis6.4-math                  	       4        0        0        0        4
31149 libobasis6.4-ogltrans              	       4        0        0        0        4
31150 libobasis6.4-onlineupdate          	       4        0        0        0        4
31151 libobasis6.4-ooolinguistic         	       4        0        0        0        4
31152 libobasis6.4-postgresql-sdbc       	       4        0        0        0        4
31153 libobasis6.4-python-script-provider	       4        0        0        0        4
31154 libobasis6.4-pyuno                 	       4        0        4        0        0
31155 libobasis6.4-writer                	       4        0        0        0        4
31156 libobasis6.4-xsltfilter            	       4        0        0        0        4
31157 libobasis7.6-base                  	       4        0        0        0        4
31158 libobasis7.6-calc                  	       4        0        0        0        4
31159 libobasis7.6-core                  	       4        0        0        0        4
31160 libobasis7.6-draw                  	       4        0        0        0        4
31161 libobasis7.6-en-us                 	       4        0        0        0        4
31162 libobasis7.6-extension-beanshell-script-provider	       4        0        0        0        4
31163 libobasis7.6-extension-javascript-script-provider	       4        0        0        0        4
31164 libobasis7.6-extension-mediawiki-publisher	       4        0        0        0        4
31165 libobasis7.6-extension-nlpsolver   	       4        0        0        0        4
31166 libobasis7.6-extension-pdf-import  	       4        0        0        0        4
31167 libobasis7.6-extension-report-builder	       4        0        0        0        4
31168 libobasis7.6-firebird              	       4        0        0        0        4
31169 libobasis7.6-gnome-integration     	       4        0        0        0        4
31170 libobasis7.6-graphicfilter         	       4        0        0        0        4
31171 libobasis7.6-images                	       4        0        0        0        4
31172 libobasis7.6-impress               	       4        0        0        0        4
31173 libobasis7.6-kde-integration       	       4        0        0        0        4
31174 libobasis7.6-librelogo             	       4        0        0        0        4
31175 libobasis7.6-libreofficekit-data   	       4        0        0        0        4
31176 libobasis7.6-math                  	       4        0        0        0        4
31177 libobasis7.6-ogltrans              	       4        0        0        0        4
31178 libobasis7.6-onlineupdate          	       4        0        0        0        4
31179 libobasis7.6-ooolinguistic         	       4        0        0        0        4
31180 libobasis7.6-postgresql-sdbc       	       4        0        0        0        4
31181 libobasis7.6-python-script-provider	       4        0        0        0        4
31182 libobasis7.6-pyuno                 	       4        0        4        0        0
31183 libobasis7.6-writer                	       4        0        0        0        4
31184 libobasis7.6-xsltfilter            	       4        0        0        0        4
31185 libobrender29                      	       4        0        0        0        4
31186 libobt2                            	       4        0        0        0        4
31187 liboce-foundation-dev              	       4        0        4        0        0
31188 liboce-modeling-dev                	       4        0        4        0        0
31189 libogdi3.2-dev                     	       4        0        4        0        0
31190 libogg-ocaml-dev                   	       4        0        4        0        0
31191 libola1                            	       4        2        0        0        2
31192 libomniorb4-2                      	       4        0        0        0        4
31193 libomnithread4                     	       4        0        0        0        4
31194 libomp-10-dev                      	       4        0        4        0        0
31195 libomp-19-dev                      	       4        3        1        0        0
31196 libomp5-10                         	       4        0        4        0        0
31197 libomp5-19                         	       4        3        1        0        0
31198 libopencdk8                        	       4        0        0        0        4
31199 libopencensus-java                 	       4        0        0        0        4
31200 libopendbx1-mysql                  	       4        1        3        0        0
31201 libopenimageio-dev                 	       4        0        4        0        0
31202 libopenni-sensor-pointclouds0      	       4        0        4        0        0
31203 libopenobex1                       	       4        1        1        0        2
31204 libopenshot-audio9t64              	       4        0        0        0        4
31205 libopenshot25t64                   	       4        0        0        0        4
31206 libopensm9                         	       4        1        0        0        3
31207 libopenvdb-dev                     	       4        0        4        0        0
31208 libosip2-11                        	       4        0        0        0        4
31209 libosmcomp5                        	       4        1        0        0        3
31210 libosmvendor5                      	       4        1        0        0        3
31211 libossp-uuid-dev                   	       4        0        4        0        0
31212 libowcapi-3.2-3                    	       4        0        0        0        4
31213 libpackage-new-perl                	       4        0        4        0        0
31214 libpam-heimdal                     	       4        0        1        0        3
31215 libpam-oath                        	       4        0        0        0        4
31216 libpam-passwdqc                    	       4        1        1        0        2
31217 libpam-x2go                        	       4        0        3        1        0
31218 libpam-yubico                      	       4        1        3        0        0
31219 libpangox-1.0-dev                  	       4        0        4        0        0
31220 libpaperclips-java                 	       4        0        0        0        4
31221 libparistraceroute1                	       4        0        0        0        4
31222 libparpack2                        	       4        0        0        0        4
31223 libpcre-ocaml                      	       4        0        4        0        0
31224 libpdf-create-perl                 	       4        0        4        0        0
31225 libpe-rules2                       	       4        0        0        0        4
31226 libpe-status10                     	       4        0        0        0        4
31227 libpengine10                       	       4        0        0        0        4
31228 libphp-embed                       	       4        0        0        0        4
31229 libphp8.2-embed                    	       4        0        4        0        0
31230 libpicocontainer-java              	       4        0        0        0        4
31231 libpicoipp                         	       4        0        0        0        4
31232 libpimcommon4                      	       4        1        0        0        3
31233 libpj2                             	       4        0        0        0        4
31234 libpjlib-util2                     	       4        0        0        0        4
31235 libpjmedia-audiodev2               	       4        0        0        0        4
31236 libpjmedia-codec2                  	       4        0        0        0        4
31237 libpjmedia2                        	       4        0        0        0        4
31238 libpjnath2                         	       4        0        0        0        4
31239 libpkcs11-fnmtdnie                 	       4        0        1        0        3
31240 libplacebo157                      	       4        0        0        0        4
31241 libplexus-xml-java                 	       4        0        0        0        4
31242 libplist++-dev                     	       4        0        4        0        0
31243 libplist++3v5                      	       4        0        0        0        4
31244 libplot-dev                        	       4        0        4        0        0
31245 libplplot-lua                      	       4        0        0        0        4
31246 libplymouth4                       	       4        0        0        0        4
31247 libpmem-dev                        	       4        0        4        0        0
31248 libpococrypto70                    	       4        0        0        0        4
31249 libpocofoundation100               	       4        0        0        0        4
31250 libpocojson70                      	       4        0        0        0        4
31251 libpoconetssl70                    	       4        0        0        0        4
31252 libpocoutil70                      	       4        0        0        0        4
31253 libpocoxml100                      	       4        0        0        0        4
31254 libpocozip100                      	       4        0        0        0        4
31255 libpolkit-gtk-mate-1-0             	       4        0        0        0        4
31256 libpostproc51                      	       4        0        1        0        3
31257 libpowercap0                       	       4        0        0        0        4
31258 libpowerkit1                       	       4        0        2        0        2
31259 libproc-pid-file-perl              	       4        1        3        0        0
31260 libproj22                          	       4        0        0        0        4
31261 libprotobuf7                       	       4        0        0        0        4
31262 libps2000a                         	       4        0        4        0        0
31263 libps3000                          	       4        0        4        0        0
31264 libps3000a                         	       4        0        4        0        0
31265 libps4000                          	       4        0        4        0        0
31266 libps4000a                         	       4        0        4        0        0
31267 libps5000                          	       4        0        4        0        0
31268 libps5000a                         	       4        0        4        0        0
31269 libps6000                          	       4        0        4        0        0
31270 libps6000a                         	       4        0        4        0        0
31271 libpseudo                          	       4        0        0        0        4
31272 libpth-dev                         	       4        1        3        0        0
31273 libptscotch-dev                    	       4        0        4        0        0
31274 libpulse-java                      	       4        0        0        0        4
31275 libpulse-jni                       	       4        0        0        0        4
31276 libpulse-ocaml                     	       4        0        3        0        1
31277 libpython-all-dbg                  	       4        0        0        0        4
31278 libpython-dbg                      	       4        0        4        0        0
31279 libpython3.3-minimal               	       4        0        4        0        0
31280 libpython3.3-stdlib                	       4        0        4        0        0
31281 libpython3.7-dbg                   	       4        0        4        0        0
31282 libqcustomplot1.3                  	       4        0        0        0        4
31283 libqcustomplot2.0                  	       4        0        0        0        4
31284 libqextserialport1                 	       4        0        0        0        4
31285 libqfits0                          	       4        0        0        0        4
31286 libqgpgme1                         	       4        1        0        0        3
31287 libqrcodegen-java                  	       4        0        0        0        4
31288 libqsastime-dev                    	       4        0        4        0        0
31289 libqscintilla2-qt4-13              	       4        0        0        0        4
31290 libqscintilla2-qt4-l10n            	       4        0        0        0        4
31291 libqscintilla2-qt5-designer        	       4        0        0        0        4
31292 libqt-jami                         	       4        0        4        0        0
31293 libqt3-i18n                        	       4        0        0        0        4
31294 libqt5scxml5-bin                   	       4        0        4        0        0
31295 libqt5scxml5-dev                   	       4        0        4        0        0
31296 libqt5sql5-odbc                    	       4        0        0        0        4
31297 libqt63danimation6                 	       4        0        0        0        4
31298 libqt63dinput6                     	       4        0        0        0        4
31299 libqt63dlogic6                     	       4        0        0        0        4
31300 libqt63dquickscene2d6              	       4        0        0        0        4
31301 libqt6quickvectorimagegenerator6   	       4        0        0        0        4
31302 libqt6sql6-ibase                   	       4        0        0        0        4
31303 libqt6sql6-psql                    	       4        0        0        0        4
31304 libqtcore4-perl                    	       4        0        0        0        4
31305 libqtgui4-perl                     	       4        0        4        0        0
31306 libqtlocation1                     	       4        0        0        0        4
31307 libqxt-core0                       	       4        0        0        0        4
31308 libqxt-gui0                        	       4        0        0        0        4
31309 librasqal3-dev                     	       4        0        4        0        0
31310 librbd-dev                         	       4        0        3        1        0
31311 librbio4                           	       4        0        0        0        4
31312 librdf-perl                        	       4        0        4        0        0
31313 librdf-rdfa-parser-perl            	       4        0        4        0        0
31314 librdf0-dev                        	       4        0        4        0        0
31315 libreflections-java                	       4        0        0        0        4
31316 libreoffice-dev-common             	       4        0        3        1        0
31317 libreoffice-dev-doc                	       4        0        3        1        0
31318 libreoffice-help-da                	       4        0        0        0        4
31319 libreoffice-l10n-be                	       4        0        4        0        0
31320 libreoffice-l10n-bg                	       4        0        3        1        0
31321 libreoffice-l10n-et                	       4        0        4        0        0
31322 libreoffice-l10n-eu                	       4        0        4        0        0
31323 libreoffice-l10n-he                	       4        0        4        0        0
31324 libreoffice-l10n-pt                	       4        0        4        0        0
31325 libreoffice-l10n-zh-tw             	       4        0        4        0        0
31326 libreoffice-lightproof-hu          	       4        0        4        0        0
31327 libreoffice-style-oxygen           	       4        0        3        0        1
31328 libreoffice6.4                     	       4        0        0        0        4
31329 libreoffice6.4-base                	       4        0        0        0        4
31330 libreoffice6.4-calc                	       4        0        0        0        4
31331 libreoffice6.4-dict-en             	       4        0        0        0        4
31332 libreoffice6.4-dict-es             	       4        0        0        0        4
31333 libreoffice6.4-dict-fr             	       4        0        0        0        4
31334 libreoffice6.4-draw                	       4        0        0        0        4
31335 libreoffice6.4-en-us               	       4        0        0        0        4
31336 libreoffice6.4-impress             	       4        0        0        0        4
31337 libreoffice6.4-math                	       4        0        0        0        4
31338 libreoffice6.4-ure                 	       4        0        0        0        4
31339 libreoffice6.4-writer              	       4        0        0        0        4
31340 libreoffice7.5                     	       4        0        0        0        4
31341 libreoffice7.5-base                	       4        0        0        0        4
31342 libreoffice7.5-calc                	       4        0        0        0        4
31343 libreoffice7.5-dict-en             	       4        0        0        0        4
31344 libreoffice7.5-dict-es             	       4        0        0        0        4
31345 libreoffice7.5-dict-fr             	       4        0        0        0        4
31346 libreoffice7.5-draw                	       4        0        0        0        4
31347 libreoffice7.5-en-us               	       4        0        0        0        4
31348 libreoffice7.5-impress             	       4        0        0        0        4
31349 libreoffice7.5-math                	       4        0        0        0        4
31350 libreoffice7.5-writer              	       4        0        0        0        4
31351 libreoffice7.6-debian-menus        	       4        0        4        0        0
31352 librep9                            	       4        1        0        0        3
31353 librestbed0                        	       4        0        0        0        4
31354 libretro-beetle-pce-fast           	       4        0        0        0        4
31355 libretro-beetle-vb                 	       4        0        0        0        4
31356 libretro-beetle-wswan              	       4        0        0        0        4
31357 libretro-desmume                   	       4        0        0        0        4
31358 libretro-gambatte                  	       4        0        0        0        4
31359 libretro-gtk-1-0                   	       4        0        0        0        4
31360 librhino-java-doc                  	       4        0        0        0        4
31361 librhythmbox-core8                 	       4        0        0        0        4
31362 librole-commons-perl               	       4        0        4        0        0
31363 librosconsole3d                    	       4        0        0        0        4
31364 libroscpp-serialization0d          	       4        0        0        0        4
31365 librospack0d                       	       4        0        0        0        4
31366 librostime1d                       	       4        0        0        0        4
31367 librouter-simple-perl              	       4        0        4        0        0
31368 librust-anyhow-dev                 	       4        0        0        0        4
31369 librust-byteorder-dev              	       4        0        0        0        4
31370 librust-cairo-rs-dev               	       4        0        0        0        4
31371 librust-futures-executor-dev       	       4        0        0        0        4
31372 librust-gdk-pixbuf-dev             	       4        0        0        0        4
31373 librust-glib-macros-dev            	       4        0        0        0        4
31374 librust-openssl-sys-dev            	       4        0        0        0        4
31375 librust-proc-macro-crate-dev       	       4        0        0        0        4
31376 librust-thiserror-dev              	       4        0        0        0        4
31377 librust-thiserror-impl-dev         	       4        0        0        0        4
31378 librust-vcpkg-dev                  	       4        0        0        0        4
31379 librust-x11-dev                    	       4        0        0        0        4
31380 librygel-core-2.4-2                	       4        0        0        0        4
31381 libs3d2                            	       4        0        0        0        4
31382 libsaaj-java                       	       4        0        0        0        4
31383 libsasl2-modules-ldap              	       4        0        1        0        3
31384 libsasl2-modules-sql               	       4        1        0        0        3
31385 libscalapack-mpi-dev               	       4        0        0        0        4
31386 libscotch-6.1                      	       4        0        0        0        4
31387 libscrypt-dev                      	       4        0        4        0        0
31388 libscrypt0                         	       4        0        0        0        4
31389 libsdl-sge-dev                     	       4        0        4        0        0
31390 libsdl1.2-compat-shim              	       4        0        0        0        4
31391 libsdl2-image-tests                	       4        0        0        0        4
31392 libseafile0                        	       4        0        0        0        4
31393 libseat-dev                        	       4        0        4        0        0
31394 libsendlater4                      	       4        1        0        0        3
31395 libsensors3                        	       4        0        0        0        4
31396 libsexy2                           	       4        0        0        0        4
31397 libshairport2                      	       4        0        0        0        4
31398 libshhmsg1                         	       4        0        0        0        4
31399 libshhopt1                         	       4        0        0        0        4
31400 libshishi0                         	       4        0        0        0        4
31401 libsignal-protocol-c2              	       4        0        0        0        4
31402 libsigsegv0                        	       4        0        0        0        4
31403 libsilly                           	       4        0        0        0        4
31404 libsimavrparts1                    	       4        0        0        0        4
31405 libsimple-validation-java          	       4        0        0        0        4
31406 libsimpleini1t64                   	       4        0        0        0        4
31407 libskarnet2.10                     	       4        0        0        0        4
31408 libsmpeg0t64                       	       4        0        0        0        4
31409 libsnacc0c2                        	       4        0        0        0        4
31410 libsndobj2v5                       	       4        0        0        0        4
31411 libsoapysdr-dev                    	       4        0        4        0        0
31412 libsoil-dev                        	       4        0        4        0        0
31413 libsolv-tools                      	       4        0        4        0        0
31414 libsoup2.4-doc                     	       4        0        0        0        4
31415 libspatialindex-c7                 	       4        0        0        0        4
31416 libspatialindex4v5                 	       4        0        0        0        4
31417 libspng-dev                        	       4        0        4        0        0
31418 libspng-doc                        	       4        0        0        0        4
31419 libspooles2.2t64                   	       4        0        0        0        4
31420 libsql-abstract-classic-perl       	       4        0        4        0        0
31421 libsquish-dev                      	       4        0        4        0        0
31422 libstd-rust-1.70                   	       4        0        0        0        4
31423 libstd-rust-1.84                   	       4        0        0        0        4
31424 libstdc++-14-dev-armhf-cross       	       4        0        4        0        0
31425 libstdc++6-4.7-dev                 	       4        0        4        0        0
31426 libstdc++6-riscv64-cross           	       4        0        0        0        4
31427 libstdc++6-s390x-cross             	       4        0        0        0        4
31428 libstfl-ruby                       	       4        0        0        0        4
31429 libstonithd2                       	       4        0        0        0        4
31430 libstreamanalyzer0                 	       4        1        3        0        0
31431 libstring-camelcase-perl           	       4        1        3        0        0
31432 libstring-random-perl              	       4        0        4        0        0
31433 libstring-toidentifier-en-perl     	       4        1        3        0        0
31434 libstrophe-dev                     	       4        0        4        0        0
31435 libsubtitles-perl                  	       4        0        4        0        0
31436 libsuper-csv-java                  	       4        0        0        0        4
31437 libsvg-graph-perl                  	       4        0        4        0        0
31438 libsvtav1dec0                      	       4        0        0        0        4
31439 libswish-api-common-perl           	       4        0        4        0        0
31440 libswresample-ffmpeg1              	       4        0        0        0        4
31441 libswt-cairo-gtk-3-jni             	       4        0        4        0        0
31442 libswt-gnome-gtk-3-jni             	       4        0        4        0        0
31443 libswtcalendar-java                	       4        0        0        0        4
31444 libsysadm-install-perl             	       4        0        4        0        0
31445 libsysfs-dev                       	       4        1        3        0        0
31446 libsystemback                      	       4        0        4        0        0
31447 libsystemd-id128-0                 	       4        0        0        0        4
31448 libsystemd-journal0                	       4        0        0        0        4
31449 libt3key1                          	       4        0        0        0        4
31450 libt3window0                       	       4        0        0        0        4
31451 libtagc0-dev                       	       4        0        3        0        1
31452 libtaint-util-perl                 	       4        0        0        0        4
31453 libtaoframework-opengl3.0-cil      	       4        0        4        0        0
31454 libtar-dev                         	       4        1        3        0        0
31455 libtcc-dev                         	       4        0        4        0        0
31456 libtclcl1                          	       4        0        0        0        4
31457 libtemplate-plugin-xml-perl        	       4        0        4        0        0
31458 libtemplateparser4                 	       4        1        0        0        3
31459 libterm-shell-perl                 	       4        0        4        0        0
31460 libterm-termkey-perl               	       4        0        0        0        4
31461 libtest-mockmodule-perl            	       4        0        4        0        0
31462 libtest-pod-coverage-perl          	       4        0        4        0        0
31463 libtest-taint-perl                 	       4        0        0        0        4
31464 libtest-yaml-valid-perl            	       4        0        4        0        0
31465 libtet1.5                          	       4        0        0        0        4
31466 libticables2-7                     	       4        0        4        0        0
31467 libticalcs2-12                     	       4        0        0        0        4
31468 libtie-handle-offset-perl          	       4        0        4        0        0
31469 libtiff-doc                        	       4        0        0        0        4
31470 libtimbl4                          	       4        0        0        0        4
31471 libtime-modules-perl               	       4        0        0        0        4
31472 libtls-dev                         	       4        0        4        0        0
31473 libtmglib-dev                      	       4        0        0        0        4
31474 libtommath-doc                     	       4        0        0        0        4
31475 libtorque2                         	       4        0        0        0        4
31476 libtorrent-dev                     	       4        0        4        0        0
31477 libtorrent-rasterbar-dev           	       4        0        4        0        0
31478 libtorrent19                       	       4        0        0        0        4
31479 libtracker-extract-0.14-0          	       4        0        0        0        4
31480 libtrang-java                      	       4        0        0        0        4
31481 libtranscript1                     	       4        0        0        0        4
31482 libtransitioner2                   	       4        0        0        0        4
31483 libtree-dagnode-perl               	       4        0        4        0        0
31484 libtrident-java                    	       4        0        0        0        4
31485 libtsan2-ppc64-cross               	       4        0        0        0        4
31486 libttfautohint1                    	       4        0        0        0        4
31487 libubsan1-s390x-cross              	       4        0        0        0        4
31488 libucto5                           	       4        0        0        0        4
31489 libudis86-0                        	       4        0        0        0        4
31490 libuecc0                           	       4        0        0        0        4
31491 libuninameslist0                   	       4        0        0        0        4
31492 libunivalue0                       	       4        0        0        0        4
31493 libunixsocket-java                 	       4        0        4        0        0
31494 libunshield-dev                    	       4        0        4        0        0
31495 liburfkill-glib0                   	       4        0        0        0        4
31496 liburi-find-perl                   	       4        0        4        0        0
31497 liburl-encode-xs-perl              	       4        0        0        0        4
31498 libusbredirparser0                 	       4        0        0        0        4
31499 libusrsctp2                        	       4        0        1        0        3
31500 libutf8-all-perl                   	       4        1        3        0        0
31501 libuutil1linux                     	       4        0        0        0        4
31502 libuwac0-0t64                      	       4        0        0        0        4
31503 libvalapanel0                      	       4        0        0        0        4
31504 libvips-dev                        	       4        0        4        0        0
31505 libvirglrenderer-dev               	       4        0        4        0        0
31506 libvirt-daemon-driver-storage-iscsi-direct	       4        0        3        0        1
31507 libvlccore5                        	       4        0        0        0        4
31508 libvlfeat-dev                      	       4        0        4        0        0
31509 libvlfeat-doc                      	       4        0        3        0        1
31510 libvlfeat1                         	       4        0        0        0        4
31511 libvomsapi1v5                      	       4        0        0        0        4
31512 libvsqlitepp3v5                    	       4        0        1        0        3
31513 libvtk6.3-qt                       	       4        0        0        0        4
31514 libwbxml2-utils                    	       4        1        3        0        0
31515 libwcs6                            	       4        0        0        0        4
31516 libwebkit-1.0-common               	       4        0        0        0        4
31517 libweston-14-0                     	       4        0        0        0        4
31518 libwf-utils0t64                    	       4        0        0        0        4
31519 libwim-dev                         	       4        0        4        0        0
31520 libwine-development-dev            	       4        1        3        0        0
31521 libwolfssl-dev                     	       4        0        4        0        0
31522 libwpg-dev                         	       4        0        4        0        0
31523 libwps-dev                         	       4        0        4        0        0
31524 libwsclean2                        	       4        0        0        0        4
31525 libwutil3                          	       4        0        0        0        4
31526 libwx-perl-datawalker-perl         	       4        0        4        0        0
31527 libwxbase2.6-0                     	       4        0        0        0        4
31528 libwxgtk-media3.0-dev              	       4        0        0        0        4
31529 libx11-freedesktop-desktopentry-perl	       4        0        3        1        0
31530 libx11-keyboard-perl               	       4        0        4        0        0
31531 libx11-windowhierarchy-perl        	       4        0        4        0        0
31532 libx264-120                        	       4        1        0        0        3
31533 libx265-59                         	       4        0        0        0        4
31534 libx265-doc                        	       4        0        0        0        4
31535 libx32atomic1-i386-cross           	       4        0        0        0        4
31536 libx32gomp1-i386-cross             	       4        0        0        0        4
31537 libx32itm1-i386-cross              	       4        0        0        0        4
31538 libx32objc4                        	       4        0        0        0        4
31539 libx32quadmath0-i386-cross         	       4        0        0        0        4
31540 libx32stdc++6-i386-cross           	       4        0        0        0        4
31541 libx32ubsan1-i386-cross            	       4        0        0        0        4
31542 libxalan-c111                      	       4        0        0        0        4
31543 libxaw-doc                         	       4        0        0        0        4
31544 libxcompshad3t64                   	       4        0        1        0        3
31545 libxcrypt1                         	       4        0        0        0        4
31546 libxdf0                            	       4        0        0        0        4
31547 libxdg-basedir-dev                 	       4        0        4        0        0
31548 libxfce4ui-glade                   	       4        0        0        0        4
31549 libxine1-console                   	       4        0        4        0        0
31550 libxine1-ffmpeg                    	       4        0        4        0        0
31551 libxine1-x                         	       4        0        4        0        0
31552 libxml-dom-xpath-perl              	       4        0        4        0        0
31553 libxml-security-java               	       4        0        0        0        4
31554 libxmlezout7                       	       4        0        0        0        4
31555 libxmlrpcpp3d                      	       4        0        0        0        4
31556 libxmp-dev                         	       4        0        3        1        0
31557 libxom-java-doc                    	       4        0        0        0        4
31558 libxwiimote2                       	       4        0        1        0        3
31559 libyaml-doc                        	       4        0        0        0        4
31560 libyaz4                            	       4        0        0        0        4
31561 libykclient3                       	       4        1        0        0        3
31562 libzck1                            	       4        0        0        0        4
31563 libzen-dev                         	       4        0        4        0        0
31564 libzeroc-ice-dev                   	       4        0        4        0        0
31565 libzfp1                            	       4        0        0        0        4
31566 libzfsbootenv1linux                	       4        0        0        0        4
31567 libzita-alsa-pcmi-dev              	       4        0        4        0        0
31568 libzita-convolver3                 	       4        0        0        0        4
31569 libzlcore-dev                      	       4        0        4        0        0
31570 libzthread-2.3-2                   	       4        0        0        0        4
31571 libzydis4.0                        	       4        0        0        0        4
31572 lifeograph                         	       4        0        4        0        0
31573 lightning-l10n-de                  	       4        0        0        0        4
31574 lighttpd-modules-lua               	       4        0        4        0        0
31575 linpac                             	       4        0        4        0        0
31576 linphone-nogtk                     	       4        0        1        0        3
31577 lintex                             	       4        2        2        0        0
31578 linux-compiler-gcc-9-x86           	       4        0        0        0        4
31579 linux-config-6.10                  	       4        0        0        0        4
31580 linux-doc-5.18                     	       4        0        0        0        4
31581 linux-doc-6.12                     	       4        0        0        0        4
31582 linux-headers-2.6.32-5-common      	       4        0        3        0        1
31583 linux-headers-4.19.0-13-common     	       4        0        3        0        1
31584 linux-headers-4.19.0-14-amd64      	       4        0        4        0        0
31585 linux-headers-4.19.0-23-amd64      	       4        0        4        0        0
31586 linux-headers-4.19.0-24-amd64      	       4        0        4        0        0
31587 linux-headers-4.19.0-8-common      	       4        1        3        0        0
31588 linux-headers-4.19.0-9-common      	       4        0        4        0        0
31589 linux-headers-4.4.144-vs2.3.9.7.2-beng	       4        0        4        0        0
31590 linux-headers-4.9.0-7-amd64        	       4        0        4        0        0
31591 linux-headers-4.9.0-7-common       	       4        0        4        0        0
31592 linux-headers-5.10.0-0.bpo.15-amd64	       4        0        4        0        0
31593 linux-headers-5.10.0-0.bpo.15-common	       4        0        4        0        0
31594 linux-headers-5.16.0-0.bpo.4-common	       4        0        3        0        1
31595 linux-headers-6.1.0-0.deb11.21-amd64	       4        1        3        0        0
31596 linux-headers-6.1.0-0.deb11.21-common	       4        1        3        0        0
31597 linux-headers-6.1.0-0.deb11.7-common	       4        0        4        0        0
31598 linux-headers-6.10.6-amd64         	       4        0        4        0        0
31599 linux-headers-6.10.6-common        	       4        0        4        0        0
31600 linux-headers-6.11.5-amd64         	       4        0        4        0        0
31601 linux-headers-6.11.5-common        	       4        0        4        0        0
31602 linux-headers-6.11.7-amd64         	       4        0        4        0        0
31603 linux-headers-6.11.7-common        	       4        0        4        0        0
31604 linux-headers-6.11.9-amd64         	       4        0        4        0        0
31605 linux-headers-6.11.9-common        	       4        0        4        0        0
31606 linux-headers-6.12.10-amd64        	       4        1        3        0        0
31607 linux-headers-6.12.10-common       	       4        1        3        0        0
31608 linux-headers-6.8.12-amd64         	       4        0        4        0        0
31609 linux-headers-6.8.12-common        	       4        0        4        0        0
31610 linux-headers-6.9.10+bpo-amd64     	       4        0        4        0        0
31611 linux-headers-6.9.10+bpo-common    	       4        0        4        0        0
31612 linux-image-4.19.0-0.bpo.19-amd64  	       4        2        2        0        0
31613 linux-image-4.19.0-25-686          	       4        0        4        0        0
31614 linux-image-4.19.0-25-686-pae      	       4        0        4        0        0
31615 linux-image-4.19.0-5-amd64         	       4        0        4        0        0
31616 linux-image-4.4.144-vs2.3.9.7.2-beng	       4        0        4        0        0
31617 linux-image-4.9.0-0.bpo.6-amd64    	       4        0        4        0        0
31618 linux-image-4.9.0-12-686-pae       	       4        0        3        0        1
31619 linux-image-4.9.0-19-686-pae       	       4        0        4        0        0
31620 linux-image-4.9.0-4-amd64          	       4        0        4        0        0
31621 linux-image-4.9.0-8-686-pae        	       4        0        4        0        0
31622 linux-image-5.10.0-0.bpo.12-amd64  	       4        1        3        0        0
31623 linux-image-5.10.0-0.bpo.15-amd64  	       4        0        4        0        0
31624 linux-image-5.10.0-0.deb10.28-amd64	       4        2        2        0        0
31625 linux-image-5.10.0-13-686          	       4        0        4        0        0
31626 linux-image-5.10.0-20-686          	       4        0        4        0        0
31627 linux-image-5.10.0-21-686          	       4        0        4        0        0
31628 linux-image-5.10.0-32-686-pae      	       4        0        4        0        0
31629 linux-image-5.10.0-33-686-pae      	       4        0        4        0        0
31630 linux-image-5.15.0-0.bpo.2-amd64   	       4        0        4        0        0
31631 linux-image-5.16.0-6-amd64         	       4        0        4        0        0
31632 linux-image-586                    	       4        0        0        0        4
31633 linux-image-6.1.0-0.deb11.5-amd64  	       4        0        4        0        0
31634 linux-image-6.1.0-10-686-pae       	       4        0        4        0        0
31635 linux-image-6.1.0-19-amd64         	       4        0        4        0        0
31636 linux-image-6.1.0-20-686           	       4        0        4        0        0
31637 linux-image-6.1.0-22-686           	       4        0        4        0        0
31638 linux-image-6.1.0-23-686-pae       	       4        0        3        0        1
31639 linux-image-6.1.0-25-686-pae       	       4        0        2        0        2
31640 linux-image-6.1.0-26-686-pae       	       4        0        4        0        0
31641 linux-image-6.1.0-28-rt-amd64      	       4        0        4        0        0
31642 linux-image-6.1.0-31-686-pae       	       4        0        3        1        0
31643 linux-image-6.1.0-31-arm64         	       4        0        2        2        0
31644 linux-image-6.1.0-31-rt-amd64      	       4        0        2        2        0
31645 linux-image-6.10.12-amd64          	       4        0        4        0        0
31646 linux-image-6.11.6-amd64           	       4        0        4        0        0
31647 linux-image-6.12.15-amd64          	       4        3        1        0        0
31648 linux-image-6.12.8-amd64           	       4        1        3        0        0
31649 linux-image-6.13.0                 	       4        3        1        0        0
31650 linux-image-6.2.12                 	       4        0        3        0        1
31651 linux-image-6.5.0-0.deb12.1-amd64  	       4        0        4        0        0
31652 linux-image-6.9.0-rc3              	       4        0        1        0        3
31653 linux-image-amd64-signed-template  	       4        0        0        0        4
31654 linux-image-liquorix-amd64         	       4        0        0        0        4
31655 linux-kbuild-2.6.32                	       4        0        0        0        4
31656 linux-kbuild-5.14                  	       4        0        0        0        4
31657 linux-kbuild-6.10.6                	       4        0        0        0        4
31658 linux-kbuild-6.11.5                	       4        0        0        0        4
31659 linux-kbuild-6.11.7                	       4        0        0        0        4
31660 linux-kbuild-6.11.9                	       4        0        0        0        4
31661 linux-kbuild-6.12.10               	       4        0        0        0        4
31662 linux-kbuild-6.8.12                	       4        0        0        0        4
31663 linux-kbuild-6.9.10+bpo            	       4        0        0        0        4
31664 linux-libc-dev-amd64-cross         	       4        1        3        0        0
31665 linux-source-6.10                  	       4        0        0        0        4
31666 litecoind                          	       4        1        3        0        0
31667 live-manual-epub                   	       4        0        0        0        4
31668 live-manual-odf                    	       4        0        0        0        4
31669 live-task-recommended              	       4        0        0        0        4
31670 lldb-16                            	       4        0        4        0        0
31671 lloconv                            	       4        0        4        0        0
31672 llvm-10-dev                        	       4        0        4        0        0
31673 llvm-10-tools                      	       4        0        4        0        0
31674 llvm-11-doc                        	       4        0        0        0        4
31675 llvm-14-doc                        	       4        0        0        0        4
31676 llvmlite-doc                       	       4        0        0        0        4
31677 lmdb-utils                         	       4        0        4        0        0
31678 logisim                            	       4        0        4        0        0
31679 logtools                           	       4        0        4        0        0
31680 lomoco                             	       4        1        3        0        0
31681 lowdown                            	       4        0        4        0        0
31682 lpctools                           	       4        0        4        0        0
31683 lsb-cxx                            	       4        0        0        0        4
31684 lsb-invalid-mta                    	       4        1        1        0        2
31685 lsb-languages                      	       4        0        0        0        4
31686 ltsp-server                        	       4        0        4        0        0
31687 ltspfs                             	       4        0        4        0        0
31688 lua-curl                           	       4        0        0        0        4
31689 lua-curl-dev                       	       4        0        4        0        0
31690 lua-curses                         	       4        0        0        0        4
31691 lua-curses-dev                     	       4        0        4        0        0
31692 lua-dbi-sqlite3                    	       4        1        0        0        3
31693 lua-penlight                       	       4        0        0        0        4
31694 lua-zlib                           	       4        0        0        0        4
31695 lvm2-lockd                         	       4        1        3        0        0
31696 lwresd                             	       4        0        4        0        0
31697 m2r                                	       4        0        4        0        0
31698 m2vrequantiser                     	       4        1        3        0        0
31699 maelstrom                          	       4        0        4        0        0
31700 mahjongg                           	       4        0        0        0        4
31701 mail-expire                        	       4        1        3        0        0
31702 mailcheck                          	       4        1        3        0        0
31703 mailfilter                         	       4        0        4        0        0
31704 mailscripts                        	       4        0        4        0        0
31705 maint-guide-fr                     	       4        0        0        0        4
31706 makepkg                            	       4        0        4        0        0
31707 manpages-es-dev                    	       4        0        0        0        4
31708 manpages-fr-dev                    	       4        0        0        0        4
31709 manpages-ro                        	       4        0        0        0        4
31710 maq                                	       4        0        4        0        0
31711 marble-maps                        	       4        0        4        0        0
31712 mariadb-plugin-connect             	       4        2        1        1        0
31713 mate-hud                           	       4        0        4        0        0
31714 mate-optimus                       	       4        1        3        0        0
31715 mathgl                             	       4        0        4        0        0
31716 mathomatic-primes                  	       4        2        2        0        0
31717 matrix-synapse                     	       4        1        3        0        0
31718 matrix-synapse-ldap3               	       4        1        3        0        0
31719 maven-ant-helper                   	       4        0        0        0        4
31720 maven-cache-cleanup                	       4        0        4        0        0
31721 mbrola-es1                         	       4        0        0        0        4
31722 md2term                            	       4        0        4        0        0
31723 mdbtools-gmdb                      	       4        1        3        0        0
31724 media-downloader                   	       4        1        2        1        0
31725 medit                              	       4        0        4        0        0
31726 megaraid-storage-manager           	       4        1        3        0        0
31727 mencal                             	       4        1        3        0        0
31728 mercury-browser                    	       4        0        4        0        0
31729 metapixel                          	       4        0        4        0        0
31730 mfc7860dwlpr                       	       4        0        0        0        4
31731 mftrace                            	       4        0        4        0        0
31732 mgen                               	       4        0        4        0        0
31733 mgitstatus                         	       4        0        4        0        0
31734 mgrant-obs-deb-keyring             	       4        0        0        0        4
31735 microsocks                         	       4        0        4        0        0
31736 migrationtools                     	       4        0        0        0        4
31737 minetest-mod-3d-armor              	       4        0        0        0        4
31738 minetest-mod-lucky-block           	       4        0        0        0        4
31739 minetest-mod-maidroid              	       4        0        0        0        4
31740 minetest-mod-skyblock              	       4        0        0        0        4
31741 minetest-mod-xdecor                	       4        0        0        0        4
31742 mingetty                           	       4        0        4        0        0
31743 mintmenu                           	       4        3        1        0        0
31744 mixmaster                          	       4        1        3        0        0
31745 mldonkey-server                    	       4        1        3        0        0
31746 mmsd-tng                           	       4        0        4        0        0
31747 moblin-cursor-theme                	       4        0        0        0        4
31748 mod-sdk-lv2                        	       4        0        4        0        0
31749 mon                                	       4        0        4        0        0
31750 mon-client                         	       4        0        4        0        0
31751 mongodb                            	       4        0        0        0        4
31752 mono-dbg                           	       4        0        4        0        0
31753 monster-masher                     	       4        0        4        0        0
31754 mpage                              	       4        0        4        0        0
31755 mpdas                              	       4        0        4        0        0
31756 mpdtoys                            	       4        0        4        0        0
31757 mpich-doc                          	       4        0        0        0        4
31758 mricron                            	       4        0        4        0        0
31759 mricron-data                       	       4        0        0        0        4
31760 mrtgutils-sensors                  	       4        0        4        0        0
31761 mscgen                             	       4        0        4        0        0
31762 msort-gui                          	       4        0        4        0        0
31763 mssql-tools                        	       4        0        4        0        0
31764 multiboot-doc                      	       4        0        0        0        4
31765 multicat                           	       4        0        4        0        0
31766 multimedia-audio-plugins           	       4        0        0        0        4
31767 multimedia-midi                    	       4        0        0        0        4
31768 multitee                           	       4        0        4        0        0
31769 munge                              	       4        0        4        0        0
31770 muse-hub                           	       4        0        4        0        0
31771 muse-sounds-manager                	       4        0        4        0        0
31772 mustang                            	       4        0        4        0        0
31773 myspell-cs                         	       4        0        0        0        4
31774 myspell-de-ch                      	       4        0        0        0        4
31775 myspell-el-gr                      	       4        0        0        0        4
31776 myspell-en-au                      	       4        0        4        0        0
31777 myspell-he                         	       4        0        0        0        4
31778 mysql-client-5.5                   	       4        1        3        0        0
31779 mythes-bg                          	       4        0        0        0        4
31780 mythes-en-au                       	       4        0        0        0        4
31781 mythffmpeg                         	       4        0        2        2        0
31782 mytop                              	       4        0        4        0        0
31783 naev                               	       4        0        4        0        0
31784 nagios-plugins-common              	       4        0        0        0        4
31785 nagios-plugins-standard            	       4        0        0        0        4
31786 nagios-snmp-plugins                	       4        0        4        0        0
31787 nagstamon                          	       4        0        4        0        0
31788 naspro-bridges                     	       4        0        1        0        3
31789 nautilus-nextcloud                 	       4        0        0        0        4
31790 nbdkit                             	       4        0        4        0        0
31791 nco                                	       4        0        4        0        0
31792 ndppd                              	       4        0        4        0        0
31793 nekobee                            	       4        0        4        0        0
31794 nekoray                            	       4        0        3        0        1
31795 nemo-font-manager                  	       4        0        0        0        4
31796 nemo-gtkhash                       	       4        0        0        0        4
31797 netdata                            	       4        0        0        0        4
31798 netdata-core                       	       4        1        3        0        0
31799 netdata-plugins-bash               	       4        1        3        0        0
31800 netdata-plugins-python             	       4        0        4        0        0
31801 netdata-web                        	       4        0        0        0        4
31802 nethack-qt                         	       4        1        3        0        0
31803 netplan                            	       4        1        3        0        0
31804 netplug                            	       4        2        2        0        0
31805 netrw                              	       4        1        3        0        0
31806 nim                                	       4        0        4        0        0
31807 node-accepts                       	       4        0        0        0        4
31808 node-array-flatten                 	       4        0        0        0        4
31809 node-bignumber                     	       4        0        1        0        3
31810 node-content-disposition           	       4        0        0        0        4
31811 node-content-type                  	       4        0        0        0        4
31812 node-cookie                        	       4        0        0        0        4
31813 node-cookie-jar                    	       4        0        1        0        3
31814 node-cookie-signature              	       4        0        0        0        4
31815 node-css                           	       4        0        4        0        0
31816 node-d                             	       4        0        0        0        4
31817 node-d3-dsv                        	       4        0        0        0        4
31818 node-emittery                      	       4        0        0        0        4
31819 node-encodeurl                     	       4        0        0        0        4
31820 node-es5-ext                       	       4        0        0        0        4
31821 node-es6-iterator                  	       4        0        0        0        4
31822 node-es6-symbol                    	       4        0        4        0        0
31823 node-escape-html                   	       4        0        2        0        2
31824 node-etag                          	       4        0        0        0        4
31825 node-finalhandler                  	       4        0        0        0        4
31826 node-fresh                         	       4        0        0        0        4
31827 node-global-modules                	       4        0        0        0        4
31828 node-global-prefix                 	       4        0        0        0        4
31829 node-http-errors                   	       4        0        0        0        4
31830 node-ipaddr.js                     	       4        0        0        0        4
31831 node-is-promise                    	       4        0        0        0        4
31832 node-jju                           	       4        0        0        0        4
31833 node-js-beautify                   	       4        0        4        0        0
31834 node-json-loader                   	       4        0        0        0        4
31835 node-json-localizer                	       4        0        0        0        4
31836 node-json-parse-helpfulerror       	       4        0        0        0        4
31837 node-json2module                   	       4        0        4        0        0
31838 node-jsonminify                    	       4        0        0        0        4
31839 node-jsonselect                    	       4        0        0        0        4
31840 node-jstimezonedetect              	       4        0        0        0        4
31841 node-jszip                         	       4        0        4        0        0
31842 node-jszip-utils                   	       4        0        0        0        4
31843 node-lie                           	       4        0        0        0        4
31844 node-map-cache                     	       4        0        0        0        4
31845 node-media-typer                   	       4        0        0        0        4
31846 node-methods                       	       4        0        0        0        4
31847 node-on-finished                   	       4        0        2        0        2
31848 node-pako                          	       4        0        4        0        0
31849 node-parseurl                      	       4        0        0        0        4
31850 node-promise                       	       4        0        0        0        4
31851 node-proxy-addr                    	       4        0        0        0        4
31852 node-raw-body                      	       4        0        0        0        4
31853 node-react                         	       4        0        0        0        4
31854 node-react-is                      	       4        0        0        0        4
31855 node-requires-port                 	       4        0        0        0        4
31856 node-scheduler                     	       4        0        0        0        4
31857 node-setimmediate                  	       4        0        0        0        4
31858 node-setprototypeof                	       4        0        0        0        4
31859 node-source-map-resolve            	       4        0        4        0        0
31860 node-statuses                      	       4        0        0        0        4
31861 node-toidentifier                  	       4        0        2        0        2
31862 node-type-is                       	       4        0        0        0        4
31863 node-uglify-js                     	       4        0        0        0        4
31864 node-underscore                    	       4        0        1        0        3
31865 node-utils-merge                   	       4        0        0        0        4
31866 node-vary                          	       4        0        0        0        4
31867 notmuch-vim                        	       4        0        0        0        4
31868 ntop-data                          	       4        0        0        0        4
31869 ntopng                             	       4        1        3        0        0
31870 ntopng-data                        	       4        0        0        0        4
31871 numpy-stl                          	       4        0        4        0        0
31872 nut-xml                            	       4        0        4        0        0
31873 nv-codec-headers                   	       4        0        4        0        0
31874 nvidia-cg-dev                      	       4        0        4        0        0
31875 nvidia-driver-cuda                 	       4        0        3        1        0
31876 nvidia-driver-full                 	       4        0        0        0        4
31877 nvidia-kernel-open                 	       4        0        0        0        4
31878 nvidia-open                        	       4        0        0        0        4
31879 nvidia-open-kernel-dkms            	       4        0        4        0        0
31880 nvidia-open-kernel-support         	       4        0        0        0        4
31881 nvidia-powerd                      	       4        1        3        0        0
31882 nyancat                            	       4        0        4        0        0
31883 nzbget                             	       4        1        3        0        0
31884 obconf-qt-l10n                     	       4        0        0        0        4
31885 obkey                              	       4        0        4        0        0
31886 obs-cli                            	       4        0        4        0        0
31887 occt-draw                          	       4        0        4        0        0
31888 oce-draw                           	       4        0        3        1        0
31889 ocl-icd-dev                        	       4        0        4        0        0
31890 octave-general                     	       4        0        0        0        4
31891 octave-image                       	       4        0        0        0        4
31892 octave-linear-algebra              	       4        0        0        0        4
31893 octave-matgeom                     	       4        0        0        0        4
31894 octave-openems                     	       4        0        0        0        4
31895 octave-optim                       	       4        0        0        0        4
31896 odafileconverter                   	       4        0        4        0        0
31897 odoo                               	       4        4        0        0        0
31898 ola                                	       4        2        2        0        0
31899 omegat                             	       4        0        4        0        0
31900 onioncircuits                      	       4        0        4        0        0
31901 onscripter                         	       4        0        4        0        0
31902 opencollada-tools                  	       4        0        4        0        0
31903 opencolorio-doc                    	       4        0        0        0        4
31904 opendnssec                         	       4        0        0        0        4
31905 opendnssec-common                  	       4        0        4        0        0
31906 opendnssec-enforcer                	       4        0        4        0        0
31907 opendnssec-enforcer-sqlite3        	       4        0        4        0        0
31908 opendnssec-signer                  	       4        0        4        0        0
31909 openexr-viewers                    	       4        0        4        0        0
31910 openfortigui                       	       4        1        3        0        0
31911 openjdk-11-dbg                     	       4        0        3        1        0
31912 openjdk-15-jre-headless            	       4        0        4        0        0
31913 openjdk-17-jre-zero                	       4        0        0        0        4
31914 openjdk-24-jre                     	       4        0        0        0        4
31915 openjdk-24-jre-headless            	       4        0        2        2        0
31916 openmp-extras-dev                  	       4        0        4        0        0
31917 openmsx-debugger                   	       4        0        4        0        0
31918 openni2-utils                      	       4        0        4        0        0
31919 openoffice.org-draw                	       4        0        4        0        0
31920 openoffice.org-help-en-us          	       4        0        1        0        3
31921 openoffice.org-impress             	       4        0        4        0        0
31922 openrct2                           	       4        0        3        1        0
31923 openrct2-data                      	       4        0        0        0        4
31924 openrct2-objects                   	       4        0        0        0        4
31925 openrct2-title-sequences           	       4        0        0        0        4
31926 openseachest                       	       4        0        4        0        0
31927 opensta                            	       4        0        4        0        0
31928 openvswitch-common                 	       4        1        3        0        0
31929 openvswitch-switch                 	       4        0        4        0        0
31930 opera-beta                         	       4        1        2        1        0
31931 opt                                	       4        0        4        0        0
31932 ora2pg                             	       4        1        3        0        0
31933 origami-pdf                        	       4        0        4        0        0
31934 osmcoastline                       	       4        2        2        0        0
31935 osmid                              	       4        0        4        0        0
31936 osmpbf-bin                         	       4        0        4        0        0
31937 osslsigncode                       	       4        0        4        0        0
31938 otf-freefont                       	       4        0        0        0        4
31939 pagetools                          	       4        0        4        0        0
31940 paperwork-gtk-l10n-de              	       4        0        0        0        4
31941 paris-traceroute                   	       4        2        2        0        0
31942 parolottero                        	       4        0        4        0        0
31943 passwordmaker-cli                  	       4        0        4        0        0
31944 pcb2gcode                          	       4        0        4        0        0
31945 pchar                              	       4        0        4        0        0
31946 pconsole                           	       4        0        4        0        0
31947 pd-extendedview                    	       4        0        4        0        0
31948 pd-flext-dev                       	       4        0        4        0        0
31949 pd-gil                             	       4        0        4        0        0
31950 pd-mapping                         	       4        0        4        0        0
31951 pd-maxlib                          	       4        0        4        0        0
31952 pd-pduino                          	       4        0        4        0        0
31953 pd-rtclib                          	       4        0        4        0        0
31954 pdmenu                             	       4        0        4        0        0
31955 pdnsd                              	       4        1        3        0        0
31956 pdsh                               	       4        1        3        0        0
31957 peony-share                        	       4        0        0        0        4
31958 performous-tools                   	       4        0        4        0        0
31959 perlprimer                         	       4        0        4        0        0
31960 persepolis                         	       4        1        3        0        0
31961 pgcli                              	       4        0        3        1        0
31962 pgdg-keyring                       	       4        0        0        0        4
31963 pgn2web                            	       4        0        4        0        0
31964 pgreplay                           	       4        1        3        0        0
31965 php-all-dev                        	       4        0        0        0        4
31966 php-apc                            	       4        0        0        0        4
31967 php-doctrine-instantiator          	       4        0        4        0        0
31968 php-dompdf                         	       4        0        4        0        0
31969 php-geoip                          	       4        2        1        0        1
31970 php-log                            	       4        1        3        0        0
31971 php-monolog                        	       4        0        3        1        0
31972 php-net-ftp                        	       4        1        3        0        0
31973 php-net-imap                       	       4        1        3        0        0
31974 php-net-ldap2                      	       4        0        4        0        0
31975 php-net-ldap3                      	       4        0        4        0        0
31976 php-phar-io-manifest               	       4        0        4        0        0
31977 php-symfony-event-dispatcher       	       4        0        4        0        0
31978 php-symfony-event-dispatcher-contracts	       4        0        4        0        0
31979 php-symfony-mime                   	       4        0        3        1        0
31980 php-text-template                  	       4        0        4        0        0
31981 php-timer                          	       4        0        4        0        0
31982 php5-dev                           	       4        0        4        0        0
31983 php5-ldap                          	       4        0        4        0        0
31984 php5.6-imap                        	       4        1        3        0        0
31985 php5.6-mcrypt                      	       4        2        2        0        0
31986 php7.0-apcu                        	       4        1        3        0        0
31987 php7.0-recode                      	       4        1        3        0        0
31988 php7.0-xsl                         	       4        0        0        0        4
31989 php7.1-mysql                       	       4        1        3        0        0
31990 php7.1-xml                         	       4        0        4        0        0
31991 php7.2-mbstring                    	       4        0        4        0        0
31992 php7.2-mysql                       	       4        0        4        0        0
31993 php7.2-xml                         	       4        0        4        0        0
31994 php7.2-zip                         	       4        0        4        0        0
31995 php7.3-ldap                        	       4        2        2        0        0
31996 php7.3-phpdbg                      	       4        1        3        0        0
31997 php7.3-recode                      	       4        1        3        0        0
31998 php7.3-tidy                        	       4        1        3        0        0
31999 php7.4-redis                       	       4        2        2        0        0
32000 php8.0-bcmath                      	       4        3        1        0        0
32001 php8.0-gmp                         	       4        2        2        0        0
32002 php8.0-igbinary                    	       4        1        3        0        0
32003 php8.0-imagick                     	       4        3        1        0        0
32004 php8.1-igbinary                    	       4        1        3        0        0
32005 php8.2-gnupg                       	       4        2        2        0        0
32006 php8.2-snmp                        	       4        1        3        0        0
32007 php8.3-fpm                         	       4        1        3        0        0
32008 php8.3-ldap                        	       4        2        2        0        0
32009 php8.3-phpdbg                      	       4        0        2        2        0
32010 php8.4-bcmath                      	       4        2        1        1        0
32011 php8.4-bz2                         	       4        2        1        1        0
32012 php8.4-igbinary                    	       4        1        3        0        0
32013 php8.4-redis                       	       4        1        3        0        0
32014 phpunit-comparator                 	       4        0        4        0        0
32015 phpunit-diff                       	       4        0        4        0        0
32016 phpunit-environment                	       4        0        4        0        0
32017 phpunit-exporter                   	       4        0        4        0        0
32018 phpunit-global-state               	       4        0        4        0        0
32019 phpunit-object-reflector           	       4        0        4        0        0
32020 phpunit-recursion-context          	       4        0        4        0        0
32021 phpunit-version                    	       4        0        4        0        0
32022 phylip                             	       4        0        4        0        0
32023 picoscope                          	       4        0        4        0        0
32024 pidgin-libnotify                   	       4        1        3        0        0
32025 pidgin-mra                         	       4        1        3        0        0
32026 pidgin-nateon                      	       4        1        0        0        3
32027 pike8.0-doc                        	       4        0        0        0        4
32028 pinpoint                           	       4        0        4        0        0
32029 piu-piu                            	       4        0        4        0        0
32030 pixmap                             	       4        0        4        0        0
32031 pktools                            	       4        0        4        0        0
32032 plakativ                           	       4        0        4        0        0
32033 plasma-dataengines-workspace       	       4        1        3        0        0
32034 plasma-desktopthemes-artwork       	       4        0        0        0        4
32035 plasma-mediacenter                 	       4        0        0        0        4
32036 plasma-scriptengine-superkaramba   	       4        0        4        0        0
32037 plasma-widget-folderview           	       4        1        3        0        0
32038 plasma-widgets-workspace           	       4        1        3        0        0
32039 plee-the-bear                      	       4        0        4        0        0
32040 plee-the-bear-data                 	       4        0        0        0        4
32041 plplot-driver-cairo                	       4        0        0        0        4
32042 plplot-tcl                         	       4        0        0        0        4
32043 plplot-tcl-bin                     	       4        0        4        0        0
32044 plume-creator                      	       4        0        4        0        0
32045 policycoreutils-dev                	       4        0        3        1        0
32046 policycoreutils-python-utils       	       4        0        3        1        0
32047 polipo                             	       4        3        1        0        0
32048 posh                               	       4        0        4        0        0
32049 postfix-lmdb                       	       4        0        4        0        0
32050 postgresql-11-postgis-2.5          	       4        1        3        0        0
32051 postgresql-11-postgis-2.5-scripts  	       4        0        0        0        4
32052 postgresql-12                      	       4        2        2        0        0
32053 postgresql-autodoc                 	       4        0        4        0        0
32054 postgresql-plpython3-13            	       4        0        4        0        0
32055 postgresql-plpython3-15            	       4        0        3        1        0
32056 postgresql-pltcl-13                	       4        0        4        0        0
32057 postgresql-pltcl-15                	       4        0        3        1        0
32058 powercap-utils                     	       4        0        4        0        0
32059 powerkit                           	       4        0        4        0        0
32060 prank                              	       4        0        4        0        0
32061 prboom-plus-game-server            	       4        0        3        0        1
32062 prelude-utils                      	       4        0        4        0        0
32063 primer3                            	       4        0        4        0        0
32064 printer-driver-all-enforce         	       4        0        0        0        4
32065 probalign                          	       4        0        4        0        0
32066 probcons                           	       4        0        4        0        0
32067 procmail-lib                       	       4        0        4        0        0
32068 proda                              	       4        0        4        0        0
32069 projectm-sdl                       	       4        0        4        0        0
32070 prometheus                         	       4        1        3        0        0
32071 protobuf-compiler-grpc             	       4        0        4        0        0
32072 protonvpn-cli                      	       4        0        4        0        0
32073 psensor-server                     	       4        1        3        0        0
32074 pseudo                             	       4        0        4        0        0
32075 psi-plugins                        	       4        0        4        0        0
32076 psi-plus-webkit                    	       4        0        4        0        0
32077 psychtoolbox-3-lib                 	       4        0        3        1        0
32078 puf                                	       4        0        4        0        0
32079 purity                             	       4        0        4        0        0
32080 puzzle-jigsaw                      	       4        0        4        0        0
32081 pxlib-dev                          	       4        0        4        0        0
32082 pypy3-tk                           	       4        0        3        1        0
32083 pyqt5-examples                     	       4        0        0        0        4
32084 pysolfc-cardsets                   	       4        0        0        0        4
32085 python-acme                        	       4        0        4        0        0
32086 python-all-dbg                     	       4        0        0        0        4
32087 python-apsw-doc                    	       4        0        0        0        4
32088 python-astroid                     	       4        0        4        0        0
32089 python-bs4-doc                     	       4        0        0        0        4
32090 python-certbot                     	       4        0        4        0        0
32091 python-chm                         	       4        0        4        0        0
32092 python-configargparse              	       4        0        4        0        0
32093 python-coverage                    	       4        0        4        0        0
32094 python-coverage-doc                	       4        0        0        0        4
32095 python-dbg                         	       4        0        4        0        0
32096 python-django-common               	       4        0        4        0        0
32097 python-ecdsa                       	       4        1        3        0        0
32098 python-egenix-mxdatetime-doc       	       4        0        0        0        4
32099 python-formencode                  	       4        0        4        0        0
32100 python-gammu                       	       4        0        4        0        0
32101 python-genshi                      	       4        0        4        0        0
32102 python-gnupginterface              	       4        0        0        0        4
32103 python-gps                         	       4        0        4        0        0
32104 python-grib-doc                    	       4        0        0        0        4
32105 python-ipython                     	       4        0        4        0        0
32106 python-isort                       	       4        0        4        0        0
32107 python-kde4                        	       4        0        4        0        0
32108 python-lazy-object-proxy           	       4        0        4        0        0
32109 python-mccabe                      	       4        0        4        0        0
32110 python-mpltoolkits.basemap-data    	       4        0        0        0        4
32111 python-mpmath                      	       4        0        4        0        0
32112 python-mpmath-doc                  	       4        0        0        0        4
32113 python-mutagen-doc                 	       4        0        0        0        4
32114 python-nacl-doc                    	       4        0        0        0        4
32115 python-netaddr                     	       4        0        4        0        0
32116 python-numexpr                     	       4        0        4        0        0
32117 python-openid                      	       4        1        3        0        0
32118 python-packagekit                  	       4        0        4        0        0
32119 python-parallax                    	       4        0        4        0        0
32120 python-paste                       	       4        0        4        0        0
32121 python-psutil-doc                  	       4        0        0        0        4
32122 python-pygoocanvas                 	       4        0        4        0        0
32123 python-pyinotify-doc               	       4        0        0        0        4
32124 python-pymad                       	       4        1        3        0        0
32125 python-pyqt5.qsci                  	       4        0        4        0        0
32126 python-pyudev                      	       4        0        4        0        0
32127 python-qrtools                     	       4        0        4        0        0
32128 python-qscintilla2                 	       4        0        4        0        0
32129 python-qt4-sql                     	       4        0        4        0        0
32130 python-rfc3339                     	       4        0        4        0        0
32131 python-sane                        	       4        0        4        0        0
32132 python-setproctitle                	       4        0        4        0        0
32133 python-software-properties         	       4        0        4        0        0
32134 python-sortedcontainers-doc        	       4        0        0        0        4
32135 python-sqlalchemy-doc              	       4        0        0        0        4
32136 python-sqlparse-doc                	       4        0        0        0        4
32137 python-sympy                       	       4        0        4        0        0
32138 python-tables-doc                  	       4        0        0        0        4
32139 python-tempita                     	       4        0        4        0        0
32140 python-twisted-words               	       4        0        1        0        3
32141 python-xattr                       	       4        0        4        0        0
32142 python-xmmsclient                  	       4        0        4        0        0
32143 python-zeitgeist                   	       4        0        4        0        0
32144 python3-actdiag                    	       4        0        4        0        0
32145 python3-aggdraw                    	       4        0        4        0        0
32146 python3-aioopenssl                 	       4        0        4        0        0
32147 python3-annexremote                	       4        0        4        0        0
32148 python3-apscheduler                	       4        0        4        0        0
32149 python3-arcp                       	       4        0        4        0        0
32150 python3-asteval                    	       4        0        4        0        0
32151 python3-asyncpg                    	       4        0        3        1        0
32152 python3-audit                      	       4        0        3        1        0
32153 python3-bandit                     	       4        0        4        0        0
32154 python3-blockdiag                  	       4        0        4        0        0
32155 python3-cairo-dev                  	       4        0        3        1        0
32156 python3-canonicaljson              	       4        1        3        0        0
32157 python3-certbot-dns-cloudflare     	       4        1        3        0        0
32158 python3-certbot-dns-rfc2136        	       4        0        4        0        0
32159 python3-cffsubr                    	       4        0        4        0        0
32160 python3-click-didyoumean           	       4        0        4        0        0
32161 python3-coda                       	       4        0        4        0        0
32162 python3-collada                    	       4        0        3        1        0
32163 python3-cssmin                     	       4        0        4        0        0
32164 python3-cwiid                      	       4        0        4        0        0
32165 python3-datalad                    	       4        0        3        1        0
32166 python3-dicom                      	       4        0        0        0        4
32167 python3-dnslib                     	       4        0        4        0        0
32168 python3-dockerpycreds              	       4        0        4        0        0
32169 python3-ebooklib                   	       4        0        4        0        0
32170 python3-elementpath                	       4        0        4        0        0
32171 python3-execnet                    	       4        0        4        0        0
32172 python3-flask-httpauth             	       4        0        4        0        0
32173 python3-funcsigs                   	       4        0        4        0        0
32174 python3-genmsg                     	       4        0        4        0        0
32175 python3-genpy                      	       4        0        4        0        0
32176 python3-geomet                     	       4        0        4        0        0
32177 python3-google-i18n-address        	       4        1        3        0        0
32178 python3-hamlib                     	       4        0        4        0        0
32179 python3-healpy                     	       4        0        4        0        0
32180 python3-hsluv                      	       4        0        4        0        0
32181 python3-httpretty                  	       4        0        4        0        0
32182 python3-hy                         	       4        0        4        0        0
32183 python3-ijson                      	       4        1        3        0        0
32184 python3-immutabledict              	       4        0        4        0        0
32185 python3-infinity                   	       4        0        4        0        0
32186 python3-itypes                     	       4        0        4        0        0
32187 python3-legacycrypt                	       4        0        0        4        0
32188 python3-libmodernize               	       4        0        4        0        0
32189 python3-lldb-16                    	       4        0        0        0        4
32190 python3-m2r                        	       4        0        4        0        0
32191 python3-matrix-common              	       4        1        3        0        0
32192 python3-mido                       	       4        1        3        0        0
32193 python3-minecraftpi                	       4        0        4        0        0
32194 python3-minieigen                  	       4        0        4        0        0
32195 python3-novnc                      	       4        0        4        0        0
32196 python3-nwdiag                     	       4        0        4        0        0
32197 python3-openid                     	       4        0        4        0        0
32198 python3-openstacksdk               	       4        0        4        0        0
32199 python3-openvswitch                	       4        0        4        0        0
32200 python3-os-client-config           	       4        0        4        0        0
32201 python3-pcbasic                    	       4        0        4        0        0
32202 python3-pcre                       	       4        0        4        0        0
32203 python3-pdfkit                     	       4        0        4        0        0
32204 python3-periodictable              	       4        1        3        0        0
32205 python3-pgspecial                  	       4        0        4        0        0
32206 python3-phonenumbers               	       4        1        3        0        0
32207 python3-plakativ                   	       4        0        4        0        0
32208 python3-proton-client              	       4        0        4        0        0
32209 python3-protonvpn-nm-lib           	       4        0        4        0        0
32210 python3-psautohint                 	       4        0        4        0        0
32211 python3-psycopg2cffi               	       4        0        4        0        0
32212 python3-ptable                     	       4        0        4        0        0
32213 python3-public                     	       4        1        3        0        0
32214 python3-pwquality                  	       4        0        4        0        0
32215 python3-pyalsa                     	       4        0        4        0        0
32216 python3-pyasn                      	       4        1        3        0        0
32217 python3-pyftpdlib                  	       4        0        4        0        0
32218 python3-pygeoif                    	       4        0        4        0        0
32219 python3-pykdtree                   	       4        0        4        0        0
32220 python3-pymacaroons                	       4        1        3        0        0
32221 python3-pymeasure                  	       4        0        4        0        0
32222 python3-pyqt6.qtbluetooth          	       4        0        1        3        0
32223 python3-pyqt6.qtpdf                	       4        0        1        3        0
32224 python3-pyqt6.qtpositioning        	       4        0        1        3        0
32225 python3-pyqt6.qtquick3d            	       4        0        1        3        0
32226 python3-pyqt6.qtremoteobjects      	       4        0        1        3        0
32227 python3-pyqt6.qtsensors            	       4        0        1        3        0
32228 python3-pyside2.qtqml              	       4        0        4        0        0
32229 python3-pyside2.qtquick            	       4        0        4        0        0
32230 python3-pyside2.qtx11extras        	       4        0        4        0        0
32231 python3-pyside6.qtcore             	       4        1        0        3        0
32232 python3-pytest-xdist               	       4        0        4        0        0
32233 python3-pyzbar                     	       4        1        3        0        0
32234 python3-readability                	       4        0        4        0        0
32235 python3-requests-unixsocket        	       4        0        4        0        0
32236 python3-rfc6555                    	       4        1        3        0        0
32237 python3-rjsmin                     	       4        1        3        0        0
32238 python3-semanage                   	       4        0        3        1        0
32239 python3-sentinelsat                	       4        0        4        0        0
32240 python3-sepolgen                   	       4        0        3        1        0
32241 python3-sepolicy                   	       4        0        3        1        0
32242 python3-seqdiag                    	       4        0        4        0        0
32243 python3-shellescape                	       4        0        4        0        0
32244 python3-signedjson                 	       4        1        3        0        0
32245 python3-simplebayes                	       4        0        4        0        0
32246 python3-slimit                     	       4        0        4        0        0
32247 python3-slimmer                    	       4        0        4        0        0
32248 python3-sqlalchemy-utils           	       4        0        4        0        0
32249 python3-std-msgs                   	       4        0        4        0        0
32250 python3-superqt                    	       4        0        3        1        0
32251 python3-treq                       	       4        1        3        0        0
32252 python3-unbound                    	       4        0        4        0        0
32253 python3-unicodecsv                 	       4        0        4        0        0
32254 python3-unrardll                   	       4        0        4        0        0
32255 python3-upstream-ontologist        	       4        0        4        0        0
32256 python3-usb1                       	       4        0        4        0        0
32257 python3-wand                       	       4        0        3        1        0
32258 python3-webdav                     	       4        0        4        0        0
32259 python3-wxgtk-webview4.0           	       4        0        4        0        0
32260 python3-yappi                      	       4        0        4        0        0
32261 python3.11-dbg                     	       4        0        4        0        0
32262 python3.12-gdbm                    	       4        0        4        0        0
32263 python3.3                          	       4        0        4        0        0
32264 python3.3-minimal                  	       4        0        4        0        0
32265 pyzo                               	       4        1        3        0        0
32266 pyzor-doc                          	       4        0        0        0        4
32267 qarte                              	       4        0        4        0        0
32268 qccrypt                            	       4        0        4        0        0
32269 qconf                              	       4        0        4        0        0
32270 qlogo                              	       4        0        4        0        0
32271 qmail-run                          	       4        0        4        0        0
32272 qml-module-qt3d                    	       4        0        0        0        4
32273 qml-module-qtfeedback              	       4        0        0        0        4
32274 qml6-module-qtsensors              	       4        0        0        0        4
32275 qml6-module-qtwebengine-controlsdelegates	       4        0        0        0        4
32276 qreator                            	       4        0        4        0        0
32277 qstopmotion                        	       4        0        4        0        0
32278 qtbase5-doc-dev                    	       4        0        0        0        4
32279 qtel                               	       4        0        4        0        0
32280 qtel-icons                         	       4        0        0        0        4
32281 qtikz                              	       4        0        4        0        0
32282 qtkeychain-qt6-dev                 	       4        1        3        0        0
32283 qtquickcontrols2-5-examples        	       4        0        0        0        4
32284 qtsystems5-examples                	       4        0        0        0        4
32285 qtsystems5-private-dev             	       4        0        4        0        0
32286 qtwebengine5-private-dev           	       4        0        4        0        0
32287 qtxdg-dev-tools                    	       4        0        4        0        0
32288 quake-registered                   	       4        0        0        0        4
32289 qualitis-utils                     	       4        4        0        0        0
32290 qxgedit                            	       4        0        4        0        0
32291 r-cran-areal                       	       4        1        3        0        0
32292 r-cran-base64url                   	       4        0        4        0        0
32293 r-cran-batchtools                  	       4        0        4        0        0
32294 r-cran-bibtex                      	       4        0        4        0        0
32295 r-cran-bindr                       	       4        0        4        0        0
32296 r-cran-bindrcpp                    	       4        0        4        0        0
32297 r-cran-exactextractr               	       4        1        3        0        0
32298 r-cran-filelock                    	       4        1        3        0        0
32299 r-cran-freetypeharfbuzz            	       4        0        4        0        0
32300 r-cran-gclus                       	       4        1        3        0        0
32301 r-cran-globaloptions               	       4        0        4        0        0
32302 r-cran-janeaustenr                 	       4        0        4        0        0
32303 r-cran-keyring                     	       4        1        3        0        0
32304 r-cran-lhs                         	       4        0        4        0        0
32305 r-cran-matrixcalc                  	       4        0        4        0        0
32306 r-cran-nanotime                    	       4        1        3        0        0
32307 r-cran-pammtools                   	       4        1        3        0        0
32308 r-cran-pki                         	       4        1        3        0        0
32309 r-cran-plotly                      	       4        1        3        0        0
32310 r-cran-popepi                      	       4        1        3        0        0
32311 r-cran-purrrlyr                    	       4        1        3        0        0
32312 r-cran-qap                         	       4        1        3        0        0
32313 r-cran-quickjsr                    	       4        1        3        0        0
32314 r-cran-rcppcctz                    	       4        1        3        0        0
32315 r-cran-rcppdate                    	       4        1        3        0        0
32316 r-cran-relsurv                     	       4        1        3        0        0
32317 r-cran-rjava                       	       4        0        4        0        0
32318 r-cran-seriation                   	       4        1        3        0        0
32319 r-cran-sjlabelled                  	       4        1        3        0        0
32320 r-cran-sjmisc                      	       4        1        3        0        0
32321 r-cran-sjstats                     	       4        1        3        0        0
32322 r-cran-smcfcs                      	       4        1        3        0        0
32323 r-cran-sn                          	       4        1        3        0        0
32324 r-cran-tcltk2                      	       4        0        4        0        0
32325 r-cran-tmvnsim                     	       4        0        4        0        0
32326 r-cran-tsp                         	       4        1        3        0        0
32327 r-cran-zeallot                     	       4        1        3        0        0
32328 rafkill                            	       4        0        4        0        0
32329 rafkill-data                       	       4        0        0        0        4
32330 rapid-photo-downloader             	       4        0        4        0        0
32331 rasmol                             	       4        0        4        0        0
32332 rasterlite2-bin                    	       4        0        4        0        0
32333 raxml                              	       4        0        4        0        0
32334 rdtool                             	       4        0        3        1        0
32335 realvnc-vnc-server                 	       4        1        3        0        0
32336 recap                              	       4        1        3        0        0
32337 refracta2usb                       	       4        0        4        0        0
32338 regina-rexx                        	       4        0        4        0        0
32339 remmina-plugin-xdmcp               	       4        1        0        0        3
32340 resilio-sync                       	       4        2        2        0        0
32341 revelation                         	       4        0        4        0        0
32342 rhinote                            	       4        1        3        0        0
32343 rien-desktop                       	       4        0        4        0        0
32344 rime-data-cangjie5                 	       4        0        0        0        4
32345 rime-data-luna-pinyin              	       4        0        1        0        3
32346 rime-data-stroke                   	       4        0        0        0        4
32347 rime-data-terra-pinyin             	       4        0        0        0        4
32348 rime-essay                         	       4        0        0        0        4
32349 rime-prelude                       	       4        0        0        0        4
32350 rlfe                               	       4        0        4        0        0
32351 rlinetd                            	       4        0        4        0        0
32352 rlpr                               	       4        0        4        0        0
32353 rlvm                               	       4        0        4        0        0
32354 rmlint-doc                         	       4        0        0        0        4
32355 robocode                           	       4        0        4        0        0
32356 rocblas                            	       4        0        4        0        0
32357 rocblas-dev                        	       4        0        4        0        0
32358 rocm-smi-lib                       	       4        0        4        0        0
32359 rocm-utils                         	       4        0        0        0        4
32360 rocrand                            	       4        0        0        0        4
32361 rocrand-dev                        	       4        0        4        0        0
32362 rocsolver                          	       4        0        0        0        4
32363 rocsolver-dev                      	       4        0        4        0        0
32364 rocsparse                          	       4        0        0        0        4
32365 rocsparse-dev                      	       4        0        4        0        0
32366 rotix                              	       4        0        4        0        0
32367 roxterm                            	       4        0        0        0        4
32368 roxterm-common                     	       4        0        0        0        4
32369 roxterm-gtk3                       	       4        0        4        0        0
32370 rrootage                           	       4        0        4        0        0
32371 ruby-aubio                         	       4        0        4        0        0
32372 ruby-celluloid                     	       4        0        4        0        0
32373 ruby-chunky-png                    	       4        0        4        0        0
32374 ruby-coffee-rails                  	       4        0        4        0        0
32375 ruby-exif                          	       4        0        0        0        4
32376 ruby-fcgi                          	       4        0        4        0        0
32377 ruby-ffi-compiler                  	       4        0        4        0        0
32378 ruby-gstreamer                     	       4        0        4        0        0
32379 ruby-http                          	       4        0        4        0        0
32380 ruby-http-accept                   	       4        0        4        0        0
32381 ruby-http-form-data                	       4        0        4        0        0
32382 ruby-http-parser                   	       4        0        4        0        0
32383 ruby-minitar                       	       4        0        4        0        0
32384 ruby-notmuch                       	       4        0        0        0        4
32385 ruby-open4                         	       4        0        4        0        0
32386 ruby-origami                       	       4        0        4        0        0
32387 ruby-prawn                         	       4        0        3        1        0
32388 ruby-rgen                          	       4        1        3        0        0
32389 ruby-rubame                        	       4        0        4        0        0
32390 ruby-sexp-processor                	       4        0        4        0        0
32391 ruby-term-ansicolor                	       4        0        4        0        0
32392 ruby-tins                          	       4        0        4        0        0
32393 ruby-uconv                         	       4        0        4        0        0
32394 ruby-uglifier                      	       4        0        4        0        0
32395 ruby-wavefile                      	       4        0        4        0        0
32396 rust-analyzer                      	       4        0        2        2        0
32397 rust-lldb                          	       4        0        4        0        0
32398 rxvt-ml                            	       4        1        3        0        0
32399 s5                                 	       4        0        4        0        0
32400 sacc                               	       4        0        4        0        0
32401 salt-minion                        	       4        4        0        0        0
32402 sanoid                             	       4        0        4        0        0
32403 sas2ircu                           	       4        0        4        0        0
32404 scantool                           	       4        0        4        0        0
32405 scheme48                           	       4        0        4        0        0
32406 scheme48-doc                       	       4        0        0        0        4
32407 screentest                         	       4        0        4        0        0
32408 scrollkeeper                       	       4        0        0        0        4
32409 sdkmanager                         	       4        1        3        0        0
32410 sdrpp                              	       4        0        4        0        0
32411 segger-jlink-udev-rules            	       4        0        0        0        4
32412 selinux-policy-dev                 	       4        0        3        1        0
32413 semodule-utils                     	       4        0        3        1        0
32414 sen                                	       4        0        3        1        0
32415 sendfile                           	       4        1        3        0        0
32416 sendip                             	       4        0        4        0        0
32417 sentinelsat                        	       4        0        4        0        0
32418 sequeler                           	       4        2        2        0        0
32419 ser2net                            	       4        1        3        0        0
32420 setzer                             	       4        0        4        0        0
32421 sfftobmp                           	       4        1        3        0        0
32422 sfftw-dev                          	       4        0        4        0        0
32423 sffview                            	       4        0        4        0        0
32424 shadowsocks-libev                  	       4        1        3        0        0
32425 shapelib                           	       4        0        4        0        0
32426 shellia                            	       4        0        0        0        4
32427 signify                            	       4        0        4        0        0
32428 signify-openbsd-keys               	       4        0        0        0        4
32429 sim4                               	       4        0        4        0        0
32430 similarity-tester                  	       4        0        4        0        0
32431 simple-cdd                         	       4        0        4        0        0
32432 simpleproxy                        	       4        0        4        0        0
32433 simplex                            	       4        0        4        0        0
32434 siril                              	       4        0        4        0        0
32435 siril-common                       	       4        0        0        0        4
32436 sjaakii                            	       4        0        4        0        0
32437 slapd-contrib                      	       4        0        4        0        0
32438 slashem-x11                        	       4        0        4        0        0
32439 slrnface                           	       4        0        4        0        0
32440 smartgit                           	       4        0        4        0        0
32441 smarty3                            	       4        2        2        0        0
32442 smp-utils                          	       4        1        3        0        0
32443 smtpping                           	       4        0        4        0        0
32444 snacc                              	       4        0        4        0        0
32445 snacc-doc                          	       4        0        0        0        4
32446 snake4                             	       4        1        3        0        0
32447 sniffit                            	       4        1        3        0        0
32448 snort-doc                          	       4        0        0        0        4
32449 soapysdr-module-bladerf            	       4        0        0        0        4
32450 soapysdr-module-hackrf             	       4        0        0        0        4
32451 soapysdr-module-osmosdr            	       4        0        0        0        4
32452 socklog-run                        	       4        0        0        0        4
32453 soundgrain                         	       4        0        4        0        0
32454 spacenavd                          	       4        1        3        0        0
32455 spamass-milter                     	       4        1        3        0        0
32456 spamprobe                          	       4        2        2        0        0
32457 speech-tools-doc                   	       4        0        0        0        4
32458 splay                              	       4        0        4        0        0
32459 sq-keyring-linter                  	       4        1        3        0        0
32460 squid-purge                        	       4        0        4        0        0
32461 squidguard                         	       4        0        4        0        0
32462 src2tex                            	       4        0        4        0        0
32463 srt-tools                          	       4        0        4        0        0
32464 ssmping                            	       4        0        4        0        0
32465 stackrpms-thinkpad-p50s            	       4        0        4        0        0
32466 stalag13-keyring                   	       4        0        0        0        4
32467 starvoyager                        	       4        0        4        0        0
32468 starvoyager-data                   	       4        0        0        0        4
32469 stubby                             	       4        0        4        0        0
32470 stun-client                        	       4        0        4        0        0
32471 suld-driver2-common-1              	       4        0        0        0        4
32472 suld-ppd-4                         	       4        0        0        0        4
32473 suldr-keyring                      	       4        0        0        0        4
32474 sun-java6-bin                      	       4        0        4        0        0
32475 sun-java6-jre                      	       4        0        0        0        4
32476 sunshine                           	       4        1        3        0        0
32477 svn-workbench                      	       4        0        4        0        0
32478 svt-av1                            	       4        0        4        0        0
32479 svtplay-dl                         	       4        0        4        0        0
32480 swatch                             	       4        0        4        0        0
32481 swaykbdd                           	       4        0        3        1        0
32482 sword-dict-strongs-greek           	       4        0        0        0        4
32483 sword-dict-strongs-hebrew          	       4        0        0        0        4
32484 sylph-searcher                     	       4        1        3        0        0
32485 synapse                            	       4        0        4        0        0
32486 syncplay                           	       4        0        4        0        0
32487 sysconftool                        	       4        1        3        0        0
32488 systemback-cli                     	       4        0        4        0        0
32489 systemback-efiboot-amd64           	       4        0        0        0        4
32490 systemback-locales                 	       4        0        2        0        2
32491 systemback-scheduler               	       4        0        4        0        0
32492 sysutils                           	       4        0        0        0        4
32493 t-coffee                           	       4        0        4        0        0
32494 t-prot                             	       4        0        4        0        0
32495 tagcoll                            	       4        0        4        0        0
32496 taopm                              	       4        0        4        0        0
32497 tap-plugins-doc                    	       4        0        0        0        4
32498 tardiff                            	       4        1        3        0        0
32499 task-belarusian                    	       4        0        0        0        4
32500 task-catalan-desktop               	       4        0        0        0        4
32501 task-chinese-t                     	       4        0        0        0        4
32502 task-danish                        	       4        0        0        0        4
32503 task-dns-server                    	       4        0        0        0        4
32504 task-esperanto-desktop             	       4        0        0        0        4
32505 task-file-server                   	       4        0        0        0        4
32506 task-galician-desktop              	       4        0        0        0        4
32507 task-romanian-desktop              	       4        0        0        0        4
32508 task-slovak                        	       4        0        0        0        4
32509 task-spooler                       	       4        1        3        0        0
32510 tasksh                             	       4        2        1        1        0
32511 tcl-memchan                        	       4        0        0        0        4
32512 tcl-thread                         	       4        0        4        0        0
32513 tcl8.6-tdbc-odbc                   	       4        0        4        0        0
32514 tdmtheme-trinity                   	       4        0        4        0        0
32515 teg                                	       4        0        4        0        0
32516 temurin-17-jre                     	       4        0        4        0        0
32517 teseq                              	       4        0        4        0        0
32518 tetradraw                          	       4        1        3        0        0
32519 texify                             	       4        1        3        0        0
32520 texlive-lang-african               	       4        0        0        0        4
32521 texworks-scripting-lua             	       4        0        3        1        0
32522 texworks-scripting-python          	       4        0        3        1        0
32523 the                                	       4        0        4        0        0
32524 threema                            	       4        1        3        0        0
32525 thunar-megasync                    	       4        0        3        0        1
32526 thunarx-python                     	       4        0        1        0        3
32527 thunderbird-bidiui                 	       4        0        0        0        4
32528 thunderbird-l10n-en-ca             	       4        0        4        0        0
32529 thunderbird-l10n-pt-br             	       4        0        4        0        0
32530 tigr-glimmer                       	       4        0        4        0        0
32531 tilde                              	       4        0        4        0        0
32532 timemachine                        	       4        0        4        0        0
32533 tinymce                            	       4        0        0        0        4
32534 tinymux                            	       4        0        4        0        0
32535 tinysshd                           	       4        0        4        0        0
32536 tj3                                	       4        0        4        0        0
32537 tm-align                           	       4        0        4        0        0
32538 tmate                              	       4        0        4        0        0
32539 tntnet-runtime                     	       4        0        4        0        0
32540 toolame                            	       4        0        4        0        0
32541 totalopenstation                   	       4        0        4        0        0
32542 tourney-manager                    	       4        0        4        0        0
32543 trac                               	       4        0        4        0        0
32544 traceshark                         	       4        1        3        0        0
32545 trang                              	       4        0        4        0        0
32546 transcriber                        	       4        0        4        0        0
32547 trend                              	       4        0        4        0        0
32548 trivy                              	       4        0        2        2        0
32549 trn4                               	       4        1        3        0        0
32550 tsdecrypt                          	       4        0        4        0        0
32551 ttf-adf-libris                     	       4        0        0        0        4
32552 ttf-goudybookletter                	       4        0        0        0        4
32553 ttf-kannada-fonts                  	       4        0        0        0        4
32554 ttf-linux-libertine                	       4        0        0        0        4
32555 ttf-sazanami-mincho                	       4        0        0        0        4
32556 ttm                                	       4        1        3        0        0
32557 tty-solitaire                      	       4        0        4        0        0
32558 ttylog                             	       4        0        4        0        0
32559 tupi                               	       4        0        4        0        0
32560 tuxonice-userui                    	       4        1        3        0        0
32561 type-handling                      	       4        0        4        0        0
32562 typecatcher                        	       4        0        4        0        0
32563 u-boot-rockchip                    	       4        0        3        1        0
32564 ubports-installer                  	       4        0        4        0        0
32565 uci2wb                             	       4        0        4        0        0
32566 ucspi-tcp-ipv6                     	       4        0        4        0        0
32567 ucto                               	       4        0        4        0        0
32568 uctodata                           	       4        0        4        0        0
32569 ukopp                              	       4        0        4        0        0
32570 unhtml                             	       4        1        3        0        0
32571 unidic-mecab                       	       4        0        4        0        0
32572 unison-2.51+4.11.1-gtk             	       4        0        4        0        0
32573 unison-all                         	       4        0        0        0        4
32574 units-filter                       	       4        1        3        0        0
32575 urw                                	       4        0        4        0        0
32576 user-mode-linux-doc                	       4        0        0        0        4
32577 utfcheck                           	       4        0        4        0        0
32578 uuidcdef                           	       4        0        4        0        0
32579 uvicorn                            	       4        0        4        0        0
32580 uw-imapd                           	       4        1        3        0        0
32581 vagrant-lxc                        	       4        0        4        0        0
32582 vala-sntray-plugin                 	       4        0        4        0        0
32583 vanguards                          	       4        0        4        0        0
32584 vcftools                           	       4        0        4        0        0
32585 veeam                              	       4        0        4        0        0
32586 veeamsnap                          	       4        0        4        0        0
32587 veracrypt-console                  	       4        0        4        0        0
32588 vigor                              	       4        0        4        0        0
32589 vim-snipmate                       	       4        0        0        0        4
32590 vim-tlib                           	       4        0        0        0        4
32591 vim-vimerl-syntax                  	       4        0        0        0        4
32592 virgl-server                       	       4        0        4        0        0
32593 virtualbox-5.2                     	       4        1        3        0        0
32594 virtualbox-guest-x11               	       4        0        3        1        0
32595 viruskiller                        	       4        0        4        0        0
32596 vivid                              	       4        0        4        0        0
32597 vlc-plugin-sdl                     	       4        1        0        0        3
32598 vnstati                            	       4        0        4        0        0
32599 vocproc                            	       4        0        4        0        0
32600 vtk9-examples                      	       4        0        4        0        0
32601 vuescan                            	       4        2        2        0        0
32602 w3-dtd-mathml                      	       4        0        0        0        4
32603 wammu                              	       4        1        3        0        0
32604 waylandpp-dev                      	       4        0        0        0        4
32605 waylandpp-doc                      	       4        0        0        0        4
32606 wcslib-tools                       	       4        0        4        0        0
32607 webext-sogo-connector              	       4        0        0        0        4
32608 webmin-server-manager              	       4        2        2        0        0
32609 webmin-virtual-server-theme        	       4        0        0        0        4
32610 weechat-doc                        	       4        0        0        0        4
32611 wesnoth-1.12                       	       4        0        0        0        4
32612 wesnoth-1.12-aoi                   	       4        0        0        0        4
32613 wesnoth-1.12-core                  	       4        0        4        0        0
32614 wesnoth-1.12-data                  	       4        0        0        0        4
32615 wesnoth-1.12-did                   	       4        0        0        0        4
32616 wesnoth-1.12-dm                    	       4        0        0        0        4
32617 wesnoth-1.12-dw                    	       4        0        0        0        4
32618 wesnoth-1.12-ei                    	       4        0        0        0        4
32619 wesnoth-1.12-httt                  	       4        0        0        0        4
32620 wesnoth-1.12-l                     	       4        0        0        0        4
32621 wesnoth-1.12-low                   	       4        0        0        0        4
32622 wesnoth-1.12-music                 	       4        0        0        0        4
32623 wesnoth-1.12-nr                    	       4        0        0        0        4
32624 wesnoth-1.12-sof                   	       4        0        0        0        4
32625 wesnoth-1.12-sotbe                 	       4        0        0        0        4
32626 wesnoth-1.12-thot                  	       4        0        0        0        4
32627 wesnoth-1.12-trow                  	       4        0        0        0        4
32628 wesnoth-1.12-tsg                   	       4        0        0        0        4
32629 wesnoth-1.12-ttb                   	       4        0        0        0        4
32630 wesnoth-1.12-utbs                  	       4        0        0        0        4
32631 whatsapp-for-linux                 	       4        2        1        1        0
32632 whowatch                           	       4        0        4        0        0
32633 win31games                         	       4        0        4        0        0
32634 wine64-development-tools           	       4        1        3        0        0
32635 wingpro10                          	       4        0        4        0        0
32636 wingpro7                           	       4        0        4        0        0
32637 wininfo                            	       4        0        4        0        0
32638 wiredpanda                         	       4        0        4        0        0
32639 wmifinfo                           	       4        0        4        0        0
32640 wminput                            	       4        0        4        0        0
32641 wmitime                            	       4        0        4        0        0
32642 wml                                	       4        0        4        0        0
32643 wmmatrix                           	       4        0        4        0        0
32644 wmsysmon                           	       4        0        4        0        0
32645 wmxmms2                            	       4        1        3        0        0
32646 wob                                	       4        0        4        0        0
32647 wordpress-theme-twentyseventeen    	       4        0        3        0        1
32648 wordwarvi                          	       4        0        4        0        0
32649 wordwarvi-sound                    	       4        0        0        0        4
32650 wps2odt                            	       4        2        2        0        0
32651 wxedid                             	       4        0        3        1        0
32652 wxglade                            	       4        0        4        0        0
32653 x11-touchscreen-calibrator         	       4        1        3        0        0
32654 xabacus                            	       4        0        4        0        0
32655 xapian-examples                    	       4        0        4        0        0
32656 xbanish                            	       4        0        4        0        0
32657 xblast-tnt-musics                  	       4        0        0        0        4
32658 xblast-tnt-sounds                  	       4        0        0        0        4
32659 xcftools                           	       4        0        4        0        0
32660 xd                                 	       4        1        3        0        0
32661 xdg-desktop-portal-tests           	       4        0        0        0        4
32662 xdx                                	       4        0        4        0        0
32663 xen-doc                            	       4        0        0        0        4
32664 xevil                              	       4        0        4        0        0
32665 xflip                              	       4        0        4        0        0
32666 xfoil                              	       4        0        4        0        0
32667 xidle                              	       4        0        4        0        0
32668 xininfo                            	       4        0        4        0        0
32669 xkbind                             	       4        0        4        0        0
32670 xletters                           	       4        0        4        0        0
32671 xlunzip                            	       4        0        3        1        0
32672 xml2rfc                            	       4        1        3        0        0
32673 xmltv                              	       4        0        0        0        4
32674 xmms2-client-medialib-updater      	       4        1        3        0        0
32675 xmms2-plugin-all                   	       4        0        0        0        4
32676 xmms2-plugin-sndfile               	       4        1        3        0        0
32677 xombrero                           	       4        0        4        0        0
32678 xonsh                              	       4        0        4        0        0
32679 xschem                             	       4        0        4        0        0
32680 xserver-xspice                     	       4        1        3        0        0
32681 xsystem35                          	       4        0        4        0        0
32682 xtron                              	       4        0        3        1        0
32683 xul-ext-downthemall                	       4        0        0        0        4
32684 xul-ext-sogo-connector             	       4        0        0        0        4
32685 xulrunner-17.0                     	       4        1        3        0        0
32686 xvier                              	       4        0        4        0        0
32687 yabar                              	       4        0        4        0        0
32688 yacas                              	       4        0        4        0        0
32689 yacas-doc                          	       4        0        0        0        4
32690 yadicons                           	       4        0        0        0        4
32691 yadm                               	       4        0        4        0        0
32692 yafc                               	       4        0        4        0        0
32693 yagv                               	       4        0        4        0        0
32694 yale                               	       4        0        0        0        4
32695 yasat                              	       4        0        4        0        0
32696 yaws                               	       4        1        3        0        0
32697 yorick-curses                      	       4        0        4        0        0
32698 yorick-imutil                      	       4        0        4        0        0
32699 yorick-optimpack                   	       4        0        4        0        0
32700 yorick-yeti-fftw                   	       4        0        4        0        0
32701 yorick-yeti-tiff                   	       4        0        4        0        0
32702 yubikey-agent                      	       4        0        4        0        0
32703 yui-compressor                     	       4        0        4        0        0
32704 yuzu                               	       4        0        4        0        0
32705 zabbix-sql-scripts                 	       4        0        0        0        4
32706 zarchive-tools                     	       4        0        4        0        0
32707 zeroc-ice-compilers                	       4        0        4        0        0
32708 zeroc-ice-slice                    	       4        0        0        0        4
32709 zettlr                             	       4        0        3        0        1
32710 zivot                              	       4        0        4        0        0
32711 zonecheck                          	       4        1        3        0        0
32712 zsh-dev                            	       4        0        3        1        0
32713 zsh-static                         	       4        1        3        0        0
32714 zynadd                             	       4        0        4        0        0
32715 zynaddsubfx-vst                    	       4        0        3        1        0
32716 zytrax                             	       4        0        4        0        0
32717 0xffff                             	       3        2        1        0        0
32718 1password-cli                      	       3        0        3        0        0
32719 4digits                            	       3        0        3        0        0
32720 4kvideodownloaderplus              	       3        0        3        0        0
32721 6tunnel                            	       3        0        3        0        0
32722 aajm                               	       3        0        3        0        0
32723 abcl                               	       3        0        3        0        0
32724 abcm2ps                            	       3        0        3        0        0
32725 abigail-tools                      	       3        0        3        0        0
32726 ableton-link-utils                 	       3        0        3        0        0
32727 abx                                	       3        0        3        0        0
32728 ace-gperf                          	       3        0        3        0        0
32729 ack-grep                           	       3        0        0        0        3
32730 acl2-doc                           	       3        0        3        0        0
32731 acorn-fdisk                        	       3        1        2        0        0
32732 adms                               	       3        0        3        0        0
32733 adoptopenjdk-8-hotspot-jre         	       3        0        3        0        0
32734 afl++-clang                        	       3        0        2        0        1
32735 ale                                	       3        0        3        0        0
32736 algol68g                           	       3        0        3        0        0
32737 aliki                              	       3        0        3        0        0
32738 alleyoop                           	       3        0        3        0        0
32739 alsa-scarlett-gui                  	       3        1        2        0        0
32740 amap-align                         	       3        0        3        0        0
32741 amarok-doc                         	       3        0        0        0        3
32742 amd-smi-lib                        	       3        0        3        0        0
32743 amdgpu-pro-core                    	       3        0        0        0        3
32744 amqp-specs                         	       3        0        0        0        3
32745 amrenc                             	       3        0        3        0        0
32746 amtterm                            	       3        0        3        0        0
32747 amule-emc                          	       3        0        3        0        0
32748 amule-gnome-support                	       3        0        0        0        3
32749 anacrolix-dms                      	       3        1        1        1        0
32750 android-libbase-dev                	       3        0        2        1        0
32751 android-libboringssl-dev           	       3        0        2        1        0
32752 android-libcutils-dev              	       3        0        2        1        0
32753 android-libext4-utils-dev          	       3        0        3        0        0
32754 android-libfec                     	       3        0        0        0        3
32755 android-libsepol                   	       3        0        0        0        3
32756 android-libziparchive-dev          	       3        0        2        1        0
32757 android-platform-system-core-headers	       3        0        2        1        0
32758 angelfish                          	       3        0        3        0        0
32759 anjuta-extras                      	       3        0        0        0        3
32760 ansible-doc                        	       3        0        0        0        3
32761 antimony                           	       3        0        3        0        0
32762 anymeal                            	       3        0        2        1        0
32763 anyremote-doc                      	       3        0        0        0        3
32764 apache2.2-bin                      	       3        0        2        0        1
32765 apertium-dev                       	       3        0        3        0        0
32766 apertium-es-pt                     	       3        0        0        0        3
32767 apertium-eval-translator           	       3        0        3        0        0
32768 apertium-get                       	       3        0        3        0        0
32769 apfs-dkms                          	       3        0        2        1        0
32770 apitrace-gui                       	       3        0        3        0        0
32771 apparmor-easyprof                  	       3        0        3        0        0
32772 appstream-compose                  	       3        0        0        0        3
32773 apt-dbgsym                         	       3        0        3        0        0
32774 apt-ntop-stable                    	       3        0        0        0        3
32775 apt-offline-gui                    	       3        1        2        0        0
32776 apt-utils-dbgsym                   	       3        0        3        0        0
32777 aptitude-doc-ru                    	       3        0        0        0        3
32778 ara                                	       3        1        2        0        0
32779 arbtt                              	       3        2        1        0        0
32780 arduino-mighty-1284p               	       3        0        3        0        0
32781 aseprite                           	       3        0        3        0        0
32782 asmail                             	       3        1        2        0        0
32783 asmixer                            	       3        0        3        0        0
32784 asn1c                              	       3        0        3        0        0
32785 aspell-am                          	       3        0        3        0        0
32786 aspell-bn                          	       3        0        3        0        0
32787 aspell-cy                          	       3        0        3        0        0
32788 aspell-et                          	       3        0        3        0        0
32789 aspell-gu                          	       3        0        3        0        0
32790 aspell-hi                          	       3        0        3        0        0
32791 aspell-kk                          	       3        0        3        0        0
32792 aspell-ku                          	       3        0        3        0        0
32793 aspell-lt                          	       3        0        3        0        0
32794 aspell-lv                          	       3        0        3        0        0
32795 aspell-ml                          	       3        0        3        0        0
32796 aspell-mr                          	       3        0        3        0        0
32797 aspell-pa                          	       3        0        3        0        0
32798 aspell-pt                          	       3        0        0        0        3
32799 aspell-sl                          	       3        0        3        0        0
32800 aspell-te                          	       3        0        3        0        0
32801 aspell-tl                          	       3        0        3        0        0
32802 aspnetcore-targeting-pack-5.0      	       3        0        0        0        3
32803 assimp-utils                       	       3        0        3        0        0
32804 asterisk-doc                       	       3        0        0        0        3
32805 asterisk-opus                      	       3        0        3        0        0
32806 asused                             	       3        1        2        0        0
32807 atari800                           	       3        0        3        0        0
32808 aterm                              	       3        0        3        0        0
32809 atfs                               	       3        0        3        0        0
32810 atlc                               	       3        1        2        0        0
32811 audacious-dev                      	       3        0        3        0        0
32812 auto-apt-proxy                     	       3        1        2        0        0
32813 auto-complete-el                   	       3        0        0        0        3
32814 auto-editor                        	       3        0        2        1        0
32815 autoclass                          	       3        0        3        0        0
32816 automake1.10                       	       3        0        3        0        0
32817 automysqlbackup                    	       3        1        2        0        0
32818 autorevision                       	       3        0        3        0        0
32819 autotrace                          	       3        0        3        0        0
32820 avahi-autoipd-dbgsym               	       3        0        3        0        0
32821 avahi-daemon-dbgsym                	       3        0        3        0        0
32822 avahi-dnsconfd-dbgsym              	       3        0        3        0        0
32823 avahi-utils-dbgsym                 	       3        0        3        0        0
32824 avidemux3-cli-runtime              	       3        0        3        0        0
32825 avidemux3-core-runtime             	       3        0        0        0        3
32826 avidemux3-plugins-cli-plugins      	       3        0        3        0        0
32827 avidemux3-plugins-common-plugins   	       3        0        3        0        0
32828 avidemux3-plugins-qt5-plugins      	       3        0        3        0        0
32829 avidemux3-qt5-runtime              	       3        0        3        0        0
32830 avidemux3-settings-settings        	       3        0        3        0        0
32831 axe                                	       3        0        3        0        0
32832 axiom-source                       	       3        0        0        0        3
32833 bacula-bscan                       	       3        0        3        0        0
32834 bacula-director                    	       3        1        2        0        0
32835 bacula-sd                          	       3        1        2        0        0
32836 bar                                	       3        0        3        0        0
32837 bareos-traymonitor                 	       3        1        2        0        0
32838 barman                             	       3        3        0        0        0
32839 barrage                            	       3        0        3        0        0
32840 bashacks                           	       3        0        3        0        0
32841 bashacks-doc                       	       3        0        0        0        3
32842 batmon.app                         	       3        0        3        0        0
32843 bbe                                	       3        0        3        0        0
32844 bbtime                             	       3        1        2        0        0
32845 bcal                               	       3        0        3        0        0
32846 bcnc                               	       3        0        3        0        0
32847 bd                                 	       3        0        2        1        0
32848 bdebstrap                          	       3        0        3        0        0
32849 bdsup2sub                          	       3        1        2        0        0
32850 beignet                            	       3        0        0        0        3
32851 bfs                                	       3        0        3        0        0
32852 bibledit                           	       3        0        3        0        0
32853 bibledit-data                      	       3        0        3        0        0
32854 binclock                           	       3        1        2        0        0
32855 bind9-dev                          	       3        0        3        0        0
32856 binkd                              	       3        1        2        0        0
32857 bino                               	       3        0        3        0        0
32858 binutils-alpha-linux-gnu           	       3        0        2        1        0
32859 binutils-for-build                 	       3        0        0        0        3
32860 binutils-mingw-w64-ucrt64          	       3        0        3        0        0
32861 binutils-riscv64-unknown-elf       	       3        0        3        0        0
32862 binutils-sparc64-linux-gnu         	       3        0        2        1        0
32863 bitcoind                           	       3        0        3        0        0
32864 bitlbee-libpurple                  	       3        1        2        0        0
32865 bittorrent-gui                     	       3        0        3        0        0
32866 blast2                             	       3        0        0        0        3
32867 blends-doc                         	       3        0        0        0        3
32868 blends-tasks                       	       3        0        0        0        3
32869 blobandconquer                     	       3        0        3        0        0
32870 bluejeans-v2                       	       3        0        0        0        3
32871 bluez-compat                       	       3        0        3        0        0
32872 bluez-utils                        	       3        0        0        0        3
32873 blur-effect                        	       3        0        3        0        0
32874 bogl-utils                         	       3        0        3        0        0
32875 bombono-dvd-data                   	       3        0        0        0        3
32876 boot-repair                        	       3        0        3        0        0
32877 boot-sav                           	       3        0        0        0        3
32878 boot-sav-extra                     	       3        0        0        0        3
32879 bootcd                             	       3        1        2        0        0
32880 bootparamd                         	       3        1        2        0        0
32881 bottlerocket                       	       3        2        1        0        0
32882 bottom                             	       3        0        3        0        0
32883 bowtie                             	       3        0        3        0        0
32884 bpfcc-tools                        	       3        0        3        0        0
32885 bpm-tools                          	       3        0        3        0        0
32886 breeze-dev                         	       3        0        0        0        3
32887 brgenml1lpr                        	       3        0        0        0        3
32888 briar-desktop                      	       3        0        3        0        0
32889 brmfcfaxcups                       	       3        0        3        0        0
32890 broot                              	       3        0        2        1        0
32891 brp-pacu                           	       3        0        3        0        0
32892 bruno                              	       3        0        0        0        3
32893 bubblefishymon                     	       3        0        3        0        0
32894 budgie-applications-menu-applet    	       3        0        0        0        3
32895 bulky                              	       3        0        3        0        0
32896 burp                               	       3        1        2        0        0
32897 buthead                            	       3        1        2        0        0
32898 cachefilesd                        	       3        1        2        0        0
32899 cacti                              	       3        0        3        0        0
32900 caddy                              	       3        0        3        0        0
32901 cadence                            	       3        0        3        0        0
32902 cafeobj                            	       3        0        3        0        0
32903 cairo-dock-impulse-plug-in         	       3        1        1        0        1
32904 cairo-dock-kde-integration-plug-in 	       3        0        0        0        3
32905 calamaris                          	       3        1        2        0        0
32906 calc-dev                           	       3        0        3        0        0
32907 calculix-ccx-doc                   	       3        0        0        0        3
32908 camstream-doc                      	       3        0        0        0        3
32909 camv-rnd                           	       3        0        0        0        3
32910 camv-rnd-core                      	       3        0        3        0        0
32911 camv-rnd-doc                       	       3        0        0        0        3
32912 camv-rnd-export-gd                 	       3        0        3        0        0
32913 camv-rnd-export-vector             	       3        0        3        0        0
32914 camv-rnd-import                    	       3        0        3        0        0
32915 camv-rnd-lib-gui                   	       3        0        3        0        0
32916 canadian-ham-exam                  	       3        0        3        0        0
32917 canmatrix-utils                    	       3        1        1        1        0
32918 canna-utils                        	       3        0        3        0        0
32919 cantor-backend-python3             	       3        0        2        1        0
32920 cantor-backend-scilab              	       3        0        0        0        3
32921 capiutils                          	       3        0        3        0        0
32922 capstats                           	       3        0        3        0        0
32923 carla-bridge-win32                 	       3        0        3        0        0
32924 carla-lv2                          	       3        0        1        0        2
32925 carla-vst                          	       3        0        1        0        2
32926 carton                             	       3        0        3        0        0
32927 caspar                             	       3        0        3        0        0
32928 cassbeam                           	       3        0        3        0        0
32929 catcodec                           	       3        1        2        0        0
32930 cbp2make                           	       3        0        3        0        0
32931 cc1541                             	       3        0        3        0        0
32932 ccbuild                            	       3        0        3        0        0
32933 cccd                               	       3        0        3        0        0
32934 cd5                                	       3        0        3        0        0
32935 cdbackup                           	       3        0        3        0        0
32936 cde                                	       3        0        3        0        0
32937 cdist                              	       3        1        2        0        0
32938 cdist-doc                          	       3        0        0        0        3
32939 cdo                                	       3        0        3        0        0
32940 cdr2odg                            	       3        0        3        0        0
32941 cec-utils                          	       3        0        2        1        0
32942 ceferino                           	       3        0        3        0        0
32943 ceferino-data                      	       3        0        0        0        3
32944 celestia-glut                      	       3        0        3        0        0
32945 cenon.app                          	       3        0        3        0        0
32946 cenon.app-common                   	       3        0        3        0        0
32947 centerim                           	       3        0        3        0        0
32948 centerim-common                    	       3        0        3        0        0
32949 ceph-mds                           	       3        0        3        0        0
32950 certinfo                           	       3        1        1        1        0
32951 cflow-doc                          	       3        0        0        0        3
32952 cgminer                            	       3        1        2        0        0
32953 cgvg                               	       3        0        3        0        0
32954 charmtimetracker                   	       3        0        3        0        0
32955 check-postgres                     	       3        2        1        0        0
32956 checkra1n                          	       3        0        3        0        0
32957 chemical-structures                	       3        0        3        0        0
32958 chemical-structures-data           	       3        0        0        0        3
32959 chess.app                          	       3        0        3        0        0
32960 chiark-scripts                     	       3        1        2        0        0
32961 childsplay                         	       3        0        3        0        0
32962 choosewm                           	       3        0        3        0        0
32963 chroma                             	       3        0        3        0        0
32964 chromium-codecs-ffmpeg-extra       	       3        0        3        0        0
32965 chromono                           	       3        0        3        0        0
32966 chuck-data                         	       3        0        0        0        3
32967 cimg-dev                           	       3        0        3        0        0
32968 cimg-examples                      	       3        0        3        0        0
32969 citadel-client                     	       3        0        3        0        0
32970 ckan                               	       3        0        3        0        0
32971 cl-acl-compat                      	       3        0        0        0        3
32972 cl-anaphora                        	       3        0        0        0        3
32973 cl-base64                          	       3        0        0        0        3
32974 cl-bordeaux-threads                	       3        0        0        0        3
32975 cl-containers                      	       3        0        0        0        3
32976 cl-csv                             	       3        0        0        0        3
32977 cl-dynamic-classes                 	       3        0        0        0        3
32978 cl-fad                             	       3        0        0        0        3
32979 cl-interpol                        	       3        0        0        0        3
32980 cl-ironclad                        	       3        0        0        0        3
32981 cl-kmrcl                           	       3        0        0        0        3
32982 cl-markdown                        	       3        0        0        0        3
32983 cl-metabang-bind                   	       3        0        0        0        3
32984 cl-metatilities-base               	       3        0        0        0        3
32985 cl-named-readtables                	       3        0        0        0        3
32986 cl-nibbles                         	       3        0        0        0        3
32987 cl-ptester                         	       3        0        0        0        3
32988 cl-puri                            	       3        0        0        0        3
32989 cl-sql                             	       3        0        0        0        3
32990 clang-13-doc                       	       3        0        3        0        0
32991 clang-13-examples                  	       3        0        0        0        3
32992 clang-19-examples                  	       3        0        0        0        3
32993 clang-format-7                     	       3        0        3        0        0
32994 clang-tidy-13                      	       3        0        3        0        0
32995 clang-tools-7                      	       3        0        3        0        0
32996 clang-tools-9                      	       3        0        3        0        0
32997 clapper                            	       3        2        1        0        0
32998 claudia                            	       3        0        3        0        0
32999 clevis-tpm1                        	       3        0        3        0        0
33000 clevis-tpm2                        	       3        0        3        0        0
33001 clevis-udisks2                     	       3        1        1        0        1
33002 clfswm                             	       3        0        3        0        0
33003 clipgrab                           	       3        0        3        0        0
33004 clitest                            	       3        0        2        1        0
33005 closure-compiler                   	       3        0        3        0        0
33006 cloudflared                        	       3        1        2        0        0
33007 cmigemo-common                     	       3        0        3        0        0
33008 cnijfilter-mg3500series            	       3        0        3        0        0
33009 cockpit-packagekit                 	       3        0        0        0        3
33010 cockpit-system                     	       3        0        0        0        3
33011 coinor-libbonmin4                  	       3        0        0        0        3
33012 coinor-libcbc-dev                  	       3        0        3        0        0
33013 coinor-libcgl-dev                  	       3        0        3        0        0
33014 coinor-libcoinmp-dev               	       3        0        3        0        0
33015 collaboraoffice                    	       3        0        0        0        3
33016 collaboraoffice-ure                	       3        0        0        0        3
33017 collaboraofficebasis-calc          	       3        0        0        0        3
33018 collaboraofficebasis-core          	       3        0        0        0        3
33019 collaboraofficebasis-draw          	       3        0        0        0        3
33020 collaboraofficebasis-en-us         	       3        0        0        0        3
33021 collaboraofficebasis-extension-pdf-import	       3        0        0        0        3
33022 collaboraofficebasis-graphicfilter 	       3        0        0        0        3
33023 collaboraofficebasis-images        	       3        0        0        0        3
33024 collaboraofficebasis-impress       	       3        0        0        0        3
33025 collaboraofficebasis-math          	       3        0        0        0        3
33026 collaboraofficebasis-ooofonts      	       3        0        0        0        3
33027 collaboraofficebasis-ooolinguistic 	       3        0        0        0        3
33028 collaboraofficebasis-writer        	       3        0        0        0        3
33029 collectd-utils                     	       3        0        3        0        0
33030 collectl                           	       3        1        2        0        0
33031 colorgcc                           	       3        0        3        0        0
33032 colplot                            	       3        1        2        0        0
33033 compartment                        	       3        0        3        0        0
33034 concordance                        	       3        0        3        0        0
33035 concordance-common                 	       3        0        3        0        0
33036 conda-package-handling             	       3        0        3        0        0
33037 congruity                          	       3        0        3        0        0
33038 console-braille                    	       3        0        3        0        0
33039 console-log                        	       3        0        0        0        3
33040 copyright-update                   	       3        0        3        0        0
33041 coq                                	       3        0        3        0        0
33042 courier-imap-ssl                   	       3        0        1        0        2
33043 courier-maildrop                   	       3        0        1        0        2
33044 cpio-win32                         	       3        0        0        0        3
33045 cpp-10-i686-linux-gnu              	       3        0        3        0        0
33046 cpp-10-riscv64-linux-gnu           	       3        0        3        0        0
33047 cpp-13-doc                         	       3        0        0        0        3
33048 cpp-14-powerpc64-linux-gnu         	       3        0        3        0        0
33049 cpp-14-riscv64-linux-gnu           	       3        0        3        0        0
33050 cpp-3.3                            	       3        0        3        0        0
33051 cpp-6-doc                          	       3        0        0        0        3
33052 cpp-alpha-linux-gnu                	       3        0        3        0        0
33053 cpp-hppa-linux-gnu                 	       3        0        3        0        0
33054 cpp-sparc64-linux-gnu              	       3        0        3        0        0
33055 cppdb                              	       3        0        3        0        0
33056 cppman                             	       3        0        3        0        0
33057 cpuset                             	       3        0        3        0        0
33058 credential-sheets                  	       3        0        3        0        0
33059 critcl                             	       3        0        3        0        0
33060 critterding                        	       3        0        3        0        0
33061 crossbuild-essential-armel         	       3        0        0        0        3
33062 crossbuild-essential-i386          	       3        0        0        0        3
33063 crossfire-doc                      	       3        0        0        0        3
33064 crow-translate                     	       3        2        1        0        0
33065 crudini                            	       3        0        3        0        0
33066 cryptsetup-modified-functions      	       3        0        3        0        0
33067 ctdb                               	       3        0        3        0        0
33068 ctop                               	       3        0        3        0        0
33069 cupsddk                            	       3        0        0        0        3
33070 cupswrapperhl2270dw                	       3        0        0        0        3
33071 cvs-fast-export                    	       3        0        3        0        0
33072 cvs2svn                            	       3        1        2        0        0
33073 cvsgraph                           	       3        1        2        0        0
33074 cvsutils                           	       3        0        3        0        0
33075 cwl-upgrader                       	       3        0        3        0        0
33076 cwltool                            	       3        0        3        0        0
33077 cxxtest                            	       3        0        3        0        0
33078 cyrus-doc                          	       3        0        0        0        3
33079 cyrus-imapd                        	       3        1        2        0        0
33080 cyrus-pop3d                        	       3        1        2        0        0
33081 cython-doc                         	       3        0        0        0        3
33082 darkslide                          	       3        0        3        0        0
33083 datalad                            	       3        0        2        1        0
33084 datefudge                          	       3        1        2        0        0
33085 db-upgrade-util                    	       3        0        0        0        3
33086 db4.7-util                         	       3        0        3        0        0
33087 dbab                               	       3        1        2        0        0
33088 dbgate                             	       3        0        0        0        3
33089 dbus-tests                         	       3        0        2        1        0
33090 dcmtk-doc                          	       3        0        0        0        3
33091 ddcci-dkms                         	       3        0        3        0        0
33092 ddcui                              	       3        1        2        0        0
33093 ddpt                               	       3        1        2        0        0
33094 debaux                             	       3        1        2        0        0
33095 debcraft                           	       3        0        2        1        0
33096 debdelta-doc                       	       3        0        0        0        3
33097 debian-edu-artwork-softwaves       	       3        0        3        0        0
33098 debian-edu-doc-de                  	       3        0        0        0        3
33099 debian-installer                   	       3        0        0        0        3
33100 debomatic                          	       3        0        3        0        0
33101 debuginfod                         	       3        0        2        1        0
33102 decopy                             	       3        0        3        0        0
33103 deepin-boot-maker                  	       3        1        2        0        0
33104 deepin-deb-installer               	       3        0        3        0        0
33105 deepin-image-viewer                	       3        0        3        0        0
33106 deepin-menu                        	       3        0        3        0        0
33107 deepin-sound-theme                 	       3        0        0        0        3
33108 deepin-voice-recorder              	       3        0        3        0        0
33109 defoma                             	       3        0        3        0        0
33110 deken                              	       3        0        3        0        0
33111 depqbf                             	       3        0        3        0        0
33112 detachtty                          	       3        0        3        0        0
33113 developers-reference-de            	       3        0        0        0        3
33114 devuan-xdm-config-override         	       3        0        0        0        3
33115 dgen                               	       3        0        3        0        0
33116 dh-elpa                            	       3        0        3        0        0
33117 dh-kpatches                        	       3        0        3        0        0
33118 dh-lisp                            	       3        0        3        0        0
33119 dh-lua                             	       3        0        3        0        0
33120 dh-sysuser                         	       3        0        3        0        0
33121 dhcp-helper                        	       3        0        3        0        0
33122 dhcp3-server                       	       3        0        0        0        3
33123 dhcpcd-dbus                        	       3        0        0        0        3
33124 dhewm3                             	       3        0        3        0        0
33125 dhewm3-doom3                       	       3        0        0        0        3
33126 dialign                            	       3        0        3        0        0
33127 diceware-doc                       	       3        0        0        0        3
33128 dico-doc                           	       3        0        0        0        3
33129 dicomscope-doc                     	       3        0        0        0        3
33130 dict-bouvier                       	       3        0        0        0        3
33131 dict-freedict-deu-nld              	       3        0        0        0        3
33132 dict-freedict-eng-afr              	       3        0        0        0        3
33133 dict-freedict-eng-ara              	       3        0        0        0        3
33134 dict-freedict-eng-ces              	       3        0        0        0        3
33135 dict-freedict-eng-cym              	       3        0        0        0        3
33136 dict-freedict-eng-ell              	       3        0        0        0        3
33137 dict-freedict-eng-fin              	       3        0        0        0        3
33138 dict-freedict-eng-hin              	       3        0        0        0        3
33139 dict-freedict-eng-hrv              	       3        0        0        0        3
33140 dict-freedict-eng-hun              	       3        0        0        0        3
33141 dict-freedict-eng-jpn              	       3        0        0        0        3
33142 dict-freedict-eng-pol              	       3        0        0        0        3
33143 dict-freedict-eng-por              	       3        0        0        0        3
33144 dict-freedict-eng-rom              	       3        0        0        0        3
33145 dict-freedict-eng-srp              	       3        0        0        0        3
33146 dict-freedict-eng-swh              	       3        0        0        0        3
33147 dict-freedict-eng-tur              	       3        0        0        0        3
33148 dict-freedict-fra-rus              	       3        0        0        0        3
33149 dict-freedict-gle-eng              	       3        0        0        0        3
33150 dict-freedict-jpn-eng              	       3        1        0        0        2
33151 dict-freedict-jpn-rus              	       3        0        0        0        3
33152 dict-freedict-lat-deu              	       3        0        0        0        3
33153 dict-freedict-lit-eng              	       3        0        0        0        3
33154 dict-freedict-por-eng              	       3        1        0        0        2
33155 dict-freedict-swe-deu              	       3        0        0        0        3
33156 dictem                             	       3        2        1        0        0
33157 dietlibc-doc                       	       3        0        0        0        3
33158 diffmon                            	       3        3        0        0        0
33159 digikam-trinity                    	       3        0        3        0        0
33160 disk-filltest                      	       3        1        2        0        0
33161 disper                             	       3        0        3        0        0
33162 distcc-pump                        	       3        0        3        0        0
33163 dive                               	       3        0        3        0        0
33164 djvulibre-plugin                   	       3        0        0        0        3
33165 dnf                                	       3        0        3        0        0
33166 dnf-data                           	       3        0        3        0        0
33167 dns323-firmware-tools              	       3        0        3        0        0
33168 dnsjit                             	       3        1        2        0        0
33169 doc-linux-de                       	       3        0        0        0        3
33170 docbook-simple                     	       3        0        0        0        3
33171 dodgindiamond2                     	       3        0        3        0        0
33172 doom-wad                           	       3        0        0        0        3
33173 dose-builddebcheck                 	       3        0        3        0        0
33174 dotnet-apphost-pack-5.0            	       3        0        3        0        0
33175 dotnet-hostfxr-9.0                 	       3        0        0        0        3
33176 dotnet-runtime-9.0                 	       3        0        0        0        3
33177 dotnet-runtime-deps-9.0            	       3        0        0        0        3
33178 dotnet-sdk-5.0                     	       3        0        3        0        0
33179 dotnet-targeting-pack-5.0          	       3        0        0        0        3
33180 dovecot-solr                       	       3        1        2        0        0
33181 dpf-plugins                        	       3        0        2        0        1
33182 dpf-plugins-ladspa                 	       3        1        2        0        0
33183 dracut                             	       3        0        0        0        3
33184 dragonfly-reverb-lv2               	       3        1        2        0        0
33185 dropbear-run                       	       3        0        0        0        3
33186 dtmf2num                           	       3        0        3        0        0
33187 du-dust                            	       3        0        2        1        0
33188 ducktype                           	       3        0        3        0        0
33189 dummy-systemd-dev                  	       3        0        0        0        3
33190 dvbackup                           	       3        1        2        0        0
33191 dvbpsi-utils                       	       3        0        3        0        0
33192 dvbstreamer                        	       3        0        3        0        0
33193 dvdbackup-dbg                      	       3        0        3        0        0
33194 dvdrtools                          	       3        0        3        0        0
33195 dvdtape                            	       3        0        3        0        0
33196 dxvk-wine32                        	       3        0        3        0        0
33197 dxvk-wine32-development            	       3        0        2        0        1
33198 e16keyedit                         	       3        0        3        0        0
33199 e16menuedit2                       	       3        0        3        0        0
33200 e2undel                            	       3        0        3        0        0
33201 easyssh                            	       3        0        3        0        0
33202 ebook-dev-alp                      	       3        0        3        0        0
33203 ebumeter                           	       3        0        3        0        0
33204 eddie-ui                           	       3        0        3        0        0
33205 education-desktop-cinnamon         	       3        0        0        0        3
33206 education-desktop-mate             	       3        0        0        0        3
33207 education-desktop-xfce             	       3        0        0        0        3
33208 efingerd                           	       3        0        3        0        0
33209 efp                                	       3        0        0        0        3
33210 eiskaltdcpp-emoticons              	       3        0        0        0        3
33211 eiskaltdcpp-gtk                    	       3        0        3        0        0
33212 eiskaltdcpp-gtk-data               	       3        0        0        0        3
33213 eiskaltdcpp-scripts                	       3        0        3        0        0
33214 eiskaltdcpp-sounds                 	       3        0        0        0        3
33215 ekg2-core                          	       3        0        3        0        0
33216 electronics-all                    	       3        0        0        0        3
33217 electronics-doc                    	       3        0        0        0        3
33218 electronics-measurements           	       3        0        0        0        3
33219 eleeye                             	       3        0        3        0        0
33220 elfrc                              	       3        0        3        0        0
33221 elm-compiler                       	       3        0        3        0        0
33222 elog                               	       3        1        2        0        0
33223 elpa-emacsql                       	       3        1        2        0        0
33224 elpa-evil                          	       3        0        3        0        0
33225 elpa-expand-region                 	       3        0        3        0        0
33226 elpa-goto-chg                      	       3        0        3        0        0
33227 elpa-helpful                       	       3        0        3        0        0
33228 elpa-jinja2-mode                   	       3        2        1        0        0
33229 elpa-lsp-haskell                   	       3        1        2        0        0
33230 elpa-neotree                       	       3        0        3        0        0
33231 elpa-pdf-tools                     	       3        2        1        0        0
33232 elpa-pdf-tools-server              	       3        2        1        0        0
33233 elpa-persist                       	       3        1        1        1        0
33234 elpa-scala-mode                    	       3        1        2        0        0
33235 elpa-sml-mode                      	       3        1        2        0        0
33236 elpa-tablist                       	       3        2        1        0        0
33237 elpa-web-mode                      	       3        1        1        1        0
33238 elpa-websocket                     	       3        1        2        0        0
33239 elvis-tiny                         	       3        0        3        0        0
33240 elvish                             	       3        0        1        2        0
33241 emacs-pgtk                         	       3        1        2        0        0
33242 emd                                	       3        0        3        0        0
33243 emms                               	       3        1        2        0        0
33244 enemylines3                        	       3        0        3        0        0
33245 enemylines7                        	       3        0        3        0        0
33246 env2                               	       3        0        3        0        0
33247 eot-utils                          	       3        0        2        1        0
33248 erc                                	       3        1        2        0        0
33249 erlang-corba                       	       3        0        3        0        0
33250 erlang-ic                          	       3        0        3        0        0
33251 erlang-percept                     	       3        0        3        0        0
33252 erlang-ranch                       	       3        0        3        0        0
33253 erlang-uuid                        	       3        0        3        0        0
33254 erlang-xmlrpc                      	       3        0        3        0        0
33255 esbuild                            	       3        1        1        1        0
33256 ethereal-chess                     	       3        0        3        0        0
33257 ettercap-text-only                 	       3        1        2        0        0
33258 eudev-dbgsym                       	       3        0        3        0        0
33259 execline-doc                       	       3        0        0        0        3
33260 extra-cmake-modules-doc            	       3        0        0        0        3
33261 extremetuxracer-extras             	       3        0        0        0        3
33262 ezstream                           	       3        1        2        0        0
33263 fadecut                            	       3        0        3        0        0
33264 fahclient                          	       3        0        3        0        0
33265 faifa                              	       3        0        3        0        0
33266 fairy-stockfish                    	       3        0        3        0        0
33267 fapg                               	       3        0        3        0        0
33268 fastdnaml                          	       3        0        3        0        0
33269 fastqc                             	       3        0        3        0        0
33270 fauhdlc                            	       3        0        3        0        0
33271 fb-tools                           	       3        1        2        0        0
33272 fbb                                	       3        0        3        0        0
33273 fbdesk                             	       3        0        3        0        0
33274 fccexam                            	       3        0        3        0        0
33275 fcitx-frontend-qt4                 	       3        0        0        0        3
33276 fcitx-m17n                         	       3        1        1        0        1
33277 fcitx-tools                        	       3        0        3        0        0
33278 fcml                               	       3        0        2        1        0
33279 fdclone                            	       3        0        2        1        0
33280 fdflush                            	       3        0        3        0        0
33281 fdm                                	       3        1        2        0        0
33282 festlex-ifd                        	       3        0        0        0        3
33283 festvox-ellpc11k                   	       3        0        0        0        3
33284 festvox-italp16k                   	       3        0        0        0        3
33285 festvox-ru                         	       3        0        0        0        3
33286 festvox-suopuhe-common             	       3        0        0        0        3
33287 festvox-suopuhe-lj                 	       3        0        0        0        3
33288 festvox-us2                        	       3        0        0        0        3
33289 festvox-us3                        	       3        0        0        0        3
33290 ffado-dbus-server                  	       3        0        3        0        0
33291 ffado-mixer-qt4                    	       3        0        3        0        0
33292 fhist                              	       3        0        3        0        0
33293 fierce                             	       3        0        3        0        0
33294 fig2sxd                            	       3        0        3        0        0
33295 filelight-trinity                  	       3        0        3        0        0
33296 fileschanged                       	       3        0        3        0        0
33297 filler                             	       3        0        3        0        0
33298 findbugs                           	       3        0        3        0        0
33299 firefox-esr-l10n-be                	       3        1        2        0        0
33300 firefox-esr-l10n-bg                	       3        1        1        1        0
33301 firefox-esr-l10n-et                	       3        1        2        0        0
33302 firefox-esr-l10n-eu                	       3        1        2        0        0
33303 firefox-esr-l10n-ga-ie             	       3        1        2        0        0
33304 firefox-esr-l10n-gl                	       3        1        2        0        0
33305 firefox-esr-l10n-he                	       3        1        2        0        0
33306 firefox-esr-l10n-sq                	       3        2        1        0        0
33307 firefox-esr-l10n-th                	       3        1        2        0        0
33308 firefoxdriver                      	       3        0        3        0        0
33309 firewall-applet                    	       3        1        1        1        0
33310 firmware-ast                       	       3        0        3        0        0
33311 firmware-microbit-micropython      	       3        0        0        0        3
33312 fis-gtm-7.0                        	       3        0        0        0        3
33313 fitsh                              	       3        0        3        0        0
33314 fityk                              	       3        0        3        0        0
33315 fizmo-ncursesw                     	       3        0        3        0        0
33316 fl-cow                             	       3        0        3        0        0
33317 flam3                              	       3        0        3        0        0
33318 flamp                              	       3        0        3        0        0
33319 flashplayer-chromium               	       3        0        2        0        1
33320 flatpak-builder-tests              	       3        0        0        0        3
33321 flatpak-xdg-utils-tests            	       3        0        0        0        3
33322 flexloader                         	       3        0        3        0        0
33323 flit                               	       3        0        3        0        0
33324 flycheck-doc                       	       3        0        0        0        3
33325 flydraw                            	       3        0        3        0        0
33326 fntsample                          	       3        0        3        0        0
33327 fonts-adobe-sourcesans3            	       3        1        0        0        2
33328 fonts-atkinson-hyperlegible-web    	       3        0        0        0        3
33329 fonts-chomsky                      	       3        0        0        0        3
33330 fonts-glewlwyd                     	       3        0        0        0        3
33331 fonts-kode-mono                    	       3        1        0        0        2
33332 fonts-maitreya                     	       3        0        1        0        2
33333 fonts-mgopen                       	       3        0        0        0        3
33334 fonts-ottilie                      	       3        0        0        0        3
33335 fonts-pretendard                   	       3        1        0        0        2
33336 fonts-sahel                        	       3        0        0        0        3
33337 fonts-sahel-variable               	       3        0        0        0        3
33338 fonts-sn-pro                       	       3        0        0        0        3
33339 fonts-topaz-unicode                	       3        1        0        0        2
33340 fonts-tt2020                       	       3        0        0        0        3
33341 fonts-vazirmatn-variable           	       3        0        0        0        3
33342 fonts-weather-icons                	       3        0        0        0        3
33343 fonts-woowa-hanna                  	       3        0        0        0        3
33344 fontypython                        	       3        0        3        0        0
33345 foo2zjs                            	       3        0        0        0        3
33346 forkstat                           	       3        0        3        0        0
33347 fortunes-es-off                    	       3        0        0        0        3
33348 fp-units-base-2.6.4                	       3        0        3        0        0
33349 fp-units-base-3.0.4                	       3        0        0        0        3
33350 fp-units-db-3.0.4                  	       3        0        0        0        3
33351 fp-units-fcl-3.0.4                 	       3        0        0        0        3
33352 fp-units-fv-3.0.4                  	       3        0        0        0        3
33353 fp-units-gfx-2.6.4                 	       3        0        3        0        0
33354 fp-units-gfx-3.0.4                 	       3        0        0        0        3
33355 fp-units-i386                      	       3        0        0        0        3
33356 fp-units-math-3.0.4                	       3        0        0        0        3
33357 fp-units-misc-3.0.4                	       3        0        0        0        3
33358 fp-units-multimedia-3.0.4          	       3        0        0        0        3
33359 fp-units-net-3.0.4                 	       3        0        0        0        3
33360 fp-utils-2.6.4                     	       3        0        3        0        0
33361 fpa-black-scholes                  	       3        0        3        0        0
33362 fpa-clipfixfilename                	       3        0        3        0        0
33363 fpa-config-ssh-server              	       3        0        0        0        3
33364 fpa-config-taskwarrior             	       3        0        0        0        3
33365 fpa-containers-essentials-lxc      	       3        0        0        0        3
33366 fpa-dev-generic                    	       3        0        3        0        0
33367 fpa-getlinks-python                	       3        0        3        0        0
33368 fpa-google-chrome-repo             	       3        0        0        0        3
33369 fpa-nopulseaudio                   	       3        0        3        0        0
33370 fpa-pcloud                         	       3        0        3        0        0
33371 fpa-python-pyvirtualdisplay        	       3        0        2        0        1
33372 fpa-repo-brave-browser             	       3        0        0        0        3
33373 fpa-repo-nextcloud                 	       3        0        0        0        3
33374 fpa-repo-x2go                      	       3        0        0        0        3
33375 fpa-repo-xpra                      	       3        0        0        0        3
33376 fpa-security-scripts               	       3        0        3        0        0
33377 fpa-services                       	       3        0        3        0        0
33378 fpa-thistothat-scripts             	       3        0        3        0        0
33379 fpa-xpad                           	       3        0        3        0        0
33380 fpart                              	       3        1        2        0        0
33381 fpdns                              	       3        0        3        0        0
33382 fprobe                             	       3        0        3        0        0
33383 freebirth                          	       3        0        3        0        0
33384 freebirth-data                     	       3        0        0        0        3
33385 freebsd-buildutils                 	       3        1        2        0        0
33386 freebsd-mk                         	       3        0        0        0        3
33387 freecad-runtime                    	       3        0        0        0        3
33388 freefem-examples                   	       3        0        0        0        3
33389 freegish                           	       3        0        3        0        0
33390 freegish-data                      	       3        0        0        0        3
33391 freehdl                            	       3        0        3        0        0
33392 freeplane-scripting-api            	       3        0        0        0        3
33393 freerdp2-dev                       	       3        0        3        0        0
33394 fretsonfire-game                   	       3        0        3        0        0
33395 frog                               	       3        0        3        0        0
33396 fsa                                	       3        0        3        0        0
33397 fslint                             	       3        1        2        0        0
33398 fsmark                             	       3        0        3        0        0
33399 fstrcmp                            	       3        1        2        0        0
33400 fsverity                           	       3        0        3        0        0
33401 fte-console                        	       3        1        2        0        0
33402 fte-docs                           	       3        0        0        0        3
33403 fte-terminal                       	       3        1        2        0        0
33404 ftnchek                            	       3        0        3        0        0
33405 funnelweb                          	       3        0        3        0        0
33406 fuse-convmvfs                      	       3        1        2        0        0
33407 fusedav                            	       3        0        3        0        0
33408 fuzz                               	       3        0        3        0        0
33409 fyi                                	       3        0        3        0        0
33410 fyre                               	       3        0        3        0        0
33411 g++-14-aarch64-linux-gnu           	       3        0        3        0        0
33412 g++-4.8                            	       3        0        3        0        0
33413 g++-7                              	       3        0        3        0        0
33414 g++-mips-linux-gnu                 	       3        0        3        0        0
33415 g++-mipsel-linux-gnu               	       3        0        3        0        0
33416 g3dviewer                          	       3        0        3        0        0
33417 gadmin-openvpn-client              	       3        0        3        0        0
33418 gadmin-samba                       	       3        0        3        0        0
33419 gajim-antispam                     	       3        0        0        0        3
33420 gajim-triggers                     	       3        0        0        0        3
33421 gamazons                           	       3        0        3        0        0
33422 gambas3-gb-gtk                     	       3        0        2        1        0
33423 gambas3-gb-sdl                     	       3        0        2        1        0
33424 gambas3-gb-sdl-sound               	       3        0        2        1        0
33425 games-all                          	       3        0        0        0        3
33426 games-board                        	       3        0        0        0        3
33427 games-fps                          	       3        0        0        0        3
33428 games-rogue                        	       3        0        0        0        3
33429 games-rpg                          	       3        0        0        0        3
33430 games-toys                         	       3        0        0        0        3
33431 games-typing                       	       3        0        0        0        3
33432 gammu-smsd                         	       3        0        3        0        0
33433 gbatnav                            	       3        0        3        0        0
33434 gcc-10-i686-linux-gnu              	       3        0        3        0        0
33435 gcc-10-i686-linux-gnu-base         	       3        0        0        0        3
33436 gcc-10-riscv64-linux-gnu           	       3        0        3        0        0
33437 gcc-10-riscv64-linux-gnu-base      	       3        0        0        0        3
33438 gcc-11-doc                         	       3        0        0        0        3
33439 gcc-14-cross-base-ports            	       3        0        0        0        3
33440 gcc-14-locales                     	       3        0        0        0        3
33441 gcc-14-powerpc64-linux-gnu         	       3        0        3        0        0
33442 gcc-14-powerpc64-linux-gnu-base    	       3        0        0        0        3
33443 gcc-14-riscv64-linux-gnu           	       3        0        3        0        0
33444 gcc-3.3                            	       3        0        3        0        0
33445 gcc-3.3-base                       	       3        0        0        0        3
33446 gcc-4.4-doc                        	       3        0        0        0        3
33447 gcc-6-locales                      	       3        0        0        0        3
33448 gcc-9-multilib                     	       3        0        0        0        3
33449 gcc-alpha-linux-gnu                	       3        0        3        0        0
33450 gcc-hppa-linux-gnu                 	       3        0        3        0        0
33451 gcc-multilib-i686-linux-gnu        	       3        0        0        0        3
33452 gcc-riscv64-unknown-elf            	       3        0        3        0        0
33453 gcc-sparc64-linux-gnu              	       3        0        3        0        0
33454 gccgo-10                           	       3        1        2        0        0
33455 gcj-4.1-base                       	       3        0        0        0        3
33456 gcj-4.6-jre-lib                    	       3        0        0        0        3
33457 gcj-4.7-jre-headless               	       3        0        3        0        0
33458 gcj-4.7-jre-lib                    	       3        0        0        0        3
33459 gcli                               	       3        0        3        0        0
33460 gcompris-data                      	       3        0        0        0        3
33461 gcompris-sound-en                  	       3        0        0        0        3
33462 gdb-source                         	       3        0        0        0        3
33463 gdbmtool                           	       3        0        3        0        0
33464 gdc-10                             	       3        0        3        0        0
33465 gdk-pixbuf-tests                   	       3        0        0        0        3
33466 gdnsd                              	       3        1        2        0        0
33467 geany-plugin-webhelper             	       3        0        0        0        3
33468 geki2                              	       3        0        3        0        0
33469 geki3                              	       3        0        3        0        0
33470 genimage                           	       3        0        3        0        0
33471 genromfs                           	       3        1        2        0        0
33472 geoclue-yahoo                      	       3        0        3        0        0
33473 geoip-database-contrib             	       3        0        3        0        0
33474 gespeaker                          	       3        0        3        0        0
33475 gfortran-mingw-w64                 	       3        0        0        0        3
33476 gfortran-mingw-w64-i686            	       3        0        0        0        3
33477 gfortran-mingw-w64-i686-posix      	       3        0        3        0        0
33478 gfortran-mingw-w64-i686-win32      	       3        0        3        0        0
33479 gfortran-mingw-w64-x86-64          	       3        0        0        0        3
33480 gfortran-mingw-w64-x86-64-posix    	       3        0        3        0        0
33481 gfsecret                           	       3        0        3        0        0
33482 gfxboot-dev                        	       3        0        3        0        0
33483 ggobi                              	       3        0        3        0        0
33484 ghdl-gcc                           	       3        0        3        0        0
33485 ghdl-tools                         	       3        0        2        1        0
33486 ghemical                           	       3        0        3        0        0
33487 ghostty                            	       3        0        3        0        0
33488 gif2png                            	       3        0        3        0        0
33489 gigalomania                        	       3        0        3        0        0
33490 gigalomania-data                   	       3        0        0        0        3
33491 gigedit                            	       3        0        3        0        0
33492 gimp-help-el                       	       3        0        0        0        3
33493 gimp-help-it                       	       3        0        0        0        3
33494 gimp-help-nl                       	       3        0        0        0        3
33495 ginac-tools                        	       3        2        1        0        0
33496 gir1.2-blockdev-2.0                	       3        0        0        0        3
33497 gir1.2-budgieraven-1.0             	       3        0        1        0        2
33498 gir1.2-folks-0.7                   	       3        0        0        0        3
33499 gir1.2-gladeui-2.0                 	       3        0        0        0        3
33500 gir1.2-gupnpigd-1.6                	       3        0        0        0        3
33501 gir1.2-gusb-1.0                    	       3        0        2        0        1
33502 gir1.2-jcat-1.0                    	       3        0        0        0        3
33503 gir1.2-mutter-14                   	       3        0        3        0        0
33504 gir1.2-mutter-15                   	       3        0        0        0        3
33505 gir1.2-mutter-3.0                  	       3        0        0        0        3
33506 gir1.2-panelapplet-5.0             	       3        0        0        0        3
33507 gir1.2-retro-1                     	       3        0        0        0        3
33508 gir1.2-template-1.0                	       3        0        0        0        3
33509 gir1.2-xdpgtk3-1.0                 	       3        0        0        0        3
33510 gis-gps                            	       3        0        0        0        3
33511 gis-remotesensing                  	       3        0        0        0        3
33512 gis-statistics                     	       3        0        0        0        3
33513 git-build-recipe                   	       3        1        2        0        0
33514 git-crecord                        	       3        0        3        0        0
33515 git-dpm                            	       3        0        3        0        0
33516 git-quick-stats                    	       3        0        3        0        0
33517 git-secrets                        	       3        0        3        0        0
33518 gitkraken                          	       3        0        3        0        0
33519 gitlab-ce                          	       3        1        2        0        0
33520 gitlab-ee                          	       3        0        3        0        0
33521 gkrellm-mldonkey                   	       3        0        3        0        0
33522 glade2script                       	       3        0        0        0        3
33523 glade2script-python3               	       3        0        3        0        0
33524 glassfish-javaee                   	       3        0        0        0        3
33525 glbsp                              	       3        0        3        0        0
33526 gle-graphics                       	       3        0        3        0        0
33527 gle-graphics-manual                	       3        0        0        0        3
33528 glfer                              	       3        0        3        0        0
33529 gliv                               	       3        0        3        0        0
33530 glmark2-es2-wayland                	       3        1        2        0        0
33531 glmark2-wayland                    	       3        1        2        0        0
33532 glosstex                           	       3        0        3        0        0
33533 glow                               	       3        0        2        1        0
33534 gltfpack                           	       3        0        3        0        0
33535 glyphsinfo                         	       3        0        0        0        3
33536 gm2-14                             	       3        0        2        1        0
33537 gm2-14-x86-64-linux-gnu            	       3        0        2        1        0
33538 gm2-x86-64-linux-gnu               	       3        0        2        1        0
33539 gmanedit                           	       3        0        3        0        0
33540 gmerlin-encoders-extra             	       3        0        0        0        3
33541 gmsl                               	       3        0        0        0        3
33542 gnash-cygnal                       	       3        0        3        0        0
33543 gnash-tools                        	       3        0        3        0        0
33544 gnat-13                            	       3        0        3        0        0
33545 gnat-gps-common                    	       3        0        0        0        3
33546 gnat-gps-doc                       	       3        0        3        0        0
33547 gnome-activity-journal             	       3        0        3        0        0
33548 gnome-books                        	       3        0        3        0        0
33549 gnome-calls                        	       3        1        2        0        0
33550 gnome-color-chooser                	       3        0        3        0        0
33551 gnome-console                      	       3        0        3        0        0
33552 gnome-exe-thumbnailer              	       3        0        1        0        2
33553 gnome-feeds                        	       3        0        3        0        0
33554 gnome-games-app                    	       3        0        3        0        0
33555 gnome-games-data                   	       3        0        0        0        3
33556 gnome-screensaver-flags            	       3        0        0        0        3
33557 gnome-shell-extension-caffeine     	       3        0        0        0        3
33558 gnome-shell-extension-desktop-icons	       3        0        0        0        3
33559 gnome-shell-extension-gsconnect    	       3        0        3        0        0
33560 gnome-shell-extension-impatience   	       3        0        2        0        1
33561 gnome-shell-extension-panel-osd    	       3        0        0        0        3
33562 gnome-snapshot                     	       3        0        2        1        0
33563 gnomekiss                          	       3        0        3        0        0
33564 gnomine                            	       3        0        0        0        3
33565 gnotski                            	       3        0        0        0        3
33566 gnustep-back0.25                   	       3        0        0        0        3
33567 gnustep-back0.25-cairo             	       3        0        0        0        3
33568 gnustep-back0.28                   	       3        0        0        0        3
33569 gnustep-back0.28-cairo             	       3        0        0        0        3
33570 gnustep-base-doc                   	       3        0        2        0        1
33571 gnustep-make-doc                   	       3        0        0        0        3
33572 gobjc++-12                         	       3        0        0        0        3
33573 gobjc++-14                         	       3        0        0        0        3
33574 gobjc++-14-x86-64-linux-gnu        	       3        0        0        0        3
33575 gobjc-14                           	       3        0        0        0        3
33576 gobjc-14-x86-64-linux-gnu          	       3        0        0        0        3
33577 gobjc-multilib                     	       3        0        0        0        3
33578 gobjc-x86-64-linux-gnu             	       3        0        0        0        3
33579 gojq                               	       3        0        3        0        0
33580 golang-1.18                        	       3        0        0        0        3
33581 golang-1.18-go                     	       3        0        3        0        0
33582 golang-1.18-src                    	       3        0        3        0        0
33583 golang-github-cespare-xxhash-dev   	       3        0        0        0        3
33584 golang-github-golang-snappy-dev    	       3        0        0        0        3
33585 golang-gopkg-yaml.v2-dev           	       3        0        0        0        3
33586 goldeneye                          	       3        0        3        0        0
33587 gomoku.app                         	       3        0        3        0        0
33588 goobook                            	       3        0        3        0        0
33589 google-android-emulator-installer  	       3        0        3        0        0
33590 google-cloud-cli-anthoscli         	       3        0        1        2        0
33591 googletest-tools                   	       3        0        3        0        0
33592 gopls                              	       3        0        3        0        0
33593 gosu                               	       3        1        2        0        0
33594 gourmet                            	       3        0        2        0        1
33595 gozer                              	       3        0        3        0        0
33596 gp-saml-gui                        	       3        0        3        0        0
33597 gpdftext                           	       3        0        3        0        0
33598 gpgv-static                        	       3        0        2        1        0
33599 gpgv-win32                         	       3        0        0        0        3
33600 gping                              	       3        0        3        0        0
33601 gpsim-doc                          	       3        0        0        0        3
33602 gpx2shp                            	       3        0        3        0        0
33603 gpxsee                             	       3        0        3        0        0
33604 gr-gsm                             	       3        0        3        0        0
33605 gr-limesdr                         	       3        0        3        0        0
33606 gradle-debian-helper               	       3        0        3        0        0
33607 graphicsmagick-dbg                 	       3        0        3        0        0
33608 greetd                             	       3        1        1        1        0
33609 grfcodec                           	       3        0        3        0        0
33610 gridcoinresearch-qt                	       3        0        2        1        0
33611 grub-efi-arm64-signed              	       3        0        0        0        3
33612 grub-efi-ia32                      	       3        0        0        0        3
33613 gs-esp                             	       3        0        0        0        3
33614 gsasl-doc                          	       3        0        0        0        3
33615 gscanbus                           	       3        0        3        0        0
33616 gsequencer-data                    	       3        0        0        0        3
33617 gsetroot                           	       3        0        3        0        0
33618 gsm-utils                          	       3        1        2        0        0
33619 gspiceui                           	       3        0        3        0        0
33620 gstreamer0.10-plugins-ugly         	       3        1        0        0        2
33621 gstreamer1.0-gnonlin               	       3        0        0        0        3
33622 gstreamer1.0-packagekit-dbgsym     	       3        0        3        0        0
33623 gstreamer1.0-plugins-bad-doc       	       3        0        0        0        3
33624 gstreamer1.0-plugins-base-doc      	       3        0        0        0        3
33625 gstreamer1.0-plugins-ugly-doc      	       3        0        0        0        3
33626 gtali                              	       3        0        0        0        3
33627 gtk-gnutella                       	       3        0        3        0        0
33628 gtk-smooth-themes                  	       3        0        0        0        3
33629 gtk-theme-config                   	       3        0        3        0        0
33630 gtk3-engines-unico                 	       3        0        0        0        3
33631 gtkdialog                          	       3        0        3        0        0
33632 guile-1.6                          	       3        0        3        0        0
33633 guilt                              	       3        0        3        0        0
33634 guncat                             	       3        1        2        0        0
33635 gunicorn                           	       3        0        2        1        0
33636 gunroar                            	       3        0        3        0        0
33637 gworkspace-apps-wrappers           	       3        0        0        0        3
33638 gxemul                             	       3        0        3        0        0
33639 gxemul-doc                         	       3        0        0        0        3
33640 gxkb                               	       3        0        3        0        0
33641 gztool                             	       3        0        3        0        0
33642 h264enc                            	       3        0        3        0        0
33643 ha                                 	       3        0        3        0        0
33644 hachoir                            	       3        0        3        0        0
33645 hadori                             	       3        0        3        0        0
33646 hamradio-antenna                   	       3        0        0        0        3
33647 hamradio-logging                   	       3        0        0        0        3
33648 hamradio-tools                     	       3        0        0        0        3
33649 hamradio-training                  	       3        0        0        0        3
33650 happy                              	       3        1        2        0        0
33651 hash-slinger                       	       3        1        2        0        0
33652 hashcash                           	       3        2        1        0        0
33653 haxe                               	       3        0        3        0        0
33654 hdrmerge                           	       3        0        3        0        0
33655 hdup                               	       3        0        3        0        0
33656 helvum                             	       3        0        3        0        0
33657 heroes                             	       3        0        3        0        0
33658 hershey-font-gnuplot               	       3        0        3        0        0
33659 hfst                               	       3        0        3        0        0
33660 hipblaslt                          	       3        0        1        0        2
33661 hipblaslt-dev                      	       3        0        3        0        0
33662 hipcub-dev                         	       3        0        3        0        0
33663 hipfft                             	       3        0        0        0        3
33664 hipfft-dev                         	       3        0        3        0        0
33665 hipfort-dev                        	       3        0        3        0        0
33666 hipify-clang                       	       3        0        3        0        0
33667 hipsolver                          	       3        0        0        0        3
33668 hipsolver-dev                      	       3        0        3        0        0
33669 hipsparse                          	       3        0        0        0        3
33670 hipsparse-dev                      	       3        0        3        0        0
33671 hl2270dwlpr                        	       3        0        0        0        3
33672 hl3040cnlpr                        	       3        0        3        0        0
33673 hl3170cdwlpr                       	       3        0        3        0        0
33674 hlint                              	       3        0        3        0        0
33675 hll2375dwpdrv                      	       3        0        0        0        3
33676 hmmer                              	       3        0        3        0        0
33677 horgand                            	       3        0        3        0        0
33678 horgand-data                       	       3        0        0        0        3
33679 howdoi                             	       3        0        3        0        0
33680 howm                               	       3        0        3        0        0
33681 hponcfg                            	       3        0        3        0        0
33682 hpsmh                              	       3        0        3        0        0
33683 hts-voice-nitech-jp-atr503-m001    	       3        0        0        0        3
33684 httperf                            	       3        0        3        0        0
33685 httpfs2                            	       3        0        3        0        0
33686 httpry                             	       3        0        3        0        0
33687 humanity-icon-theme                	       3        0        0        0        3
33688 hunspell-bn                        	       3        0        0        0        3
33689 hunspell-bo                        	       3        0        3        0        0
33690 hunspell-gl-es                     	       3        0        0        0        3
33691 hunspell-lo                        	       3        0        0        0        3
33692 hunspell-sw                        	       3        0        0        0        3
33693 hyperfine                          	       3        0        3        0        0
33694 hyphen-hr                          	       3        0        0        0        3
33695 hyphen-lt                          	       3        0        0        0        3
33696 hyphen-lv                          	       3        0        0        0        3
33697 hyphen-show                        	       3        0        3        0        0
33698 hyprland                           	       3        0        3        0        0
33699 i18nspector                        	       3        0        3        0        0
33700 i7z-gui                            	       3        1        2        0        0
33701 ibm-iaccess                        	       3        0        3        0        0
33702 ibus-qt4                           	       3        0        0        0        3
33703 ibus-rime                          	       3        0        3        0        0
33704 ibus-table-compose                 	       3        0        0        0        3
33705 ibus-table-latex                   	       3        0        0        0        3
33706 ibus-table-quick                   	       3        0        0        0        3
33707 ibus-table-quick-classic           	       3        0        0        0        3
33708 ibus-table-quick3                  	       3        0        0        0        3
33709 ibus-table-quick5                  	       3        0        0        0        3
33710 ibus-typing-booster                	       3        0        3        0        0
33711 ibutils                            	       3        0        3        0        0
33712 iceape                             	       3        0        3        0        0
33713 iceape-browser                     	       3        0        0        0        3
33714 icecc-monitor                      	       3        0        3        0        0
33715 iceweasel-l10n-en-gb               	       3        0        0        0        3
33716 iceweasel-l10n-fr                  	       3        0        0        0        3
33717 icewm-themes                       	       3        0        0        0        3
33718 icinga-idoutils                    	       3        2        1        0        0
33719 idle-python3.13                    	       3        0        0        3        0
33720 idlestat                           	       3        0        3        0        0
33721 iec16022                           	       3        1        2        0        0
33722 iesperanto                         	       3        0        3        0        0
33723 ifenslave-2.6                      	       3        0        0        0        3
33724 ifhp                               	       3        0        3        0        0
33725 ifrench                            	       3        0        3        0        0
33726 ilisp                              	       3        0        3        0        0
33727 ilisp-doc                          	       3        0        0        0        3
33728 imagemagick-7-doc                  	       3        0        0        0        3
33729 imagescan                          	       3        0        3        0        0
33730 imagescan-plugin-gt-s650           	       3        0        0        0        3
33731 imagescan-plugin-networkscan       	       3        0        3        0        0
33732 imagescan-plugin-ocr-engine        	       3        0        0        0        3
33733 imgp                               	       3        0        3        0        0
33734 inform-mode                        	       3        0        1        0        2
33735 inform6-compiler                   	       3        0        3        0        0
33736 inn2-dev                           	       3        0        3        0        0
33737 inotify-hookable                   	       3        0        3        0        0
33738 input-remapper                     	       3        0        1        0        2
33739 input-remapper-daemon              	       3        1        2        0        0
33740 input-remapper-gtk                 	       3        1        2        0        0
33741 inputplug                          	       3        0        3        0        0
33742 installation-guide-i386            	       3        0        0        0        3
33743 intel-mkl                          	       3        0        0        0        3
33744 intel-oneapi-advisor               	       3        0        3        0        0
33745 intel-oneapi-common-licensing-2025.0	       3        0        0        0        3
33746 intel-oneapi-common-oneapi-vars-2025.0	       3        0        0        0        3
33747 intel-oneapi-vtune                 	       3        0        3        0        0
33748 intel2gas                          	       3        0        3        0        0
33749 inventor-clients                   	       3        0        2        1        0
33750 invesalius                         	       3        0        3        0        0
33751 iodbc                              	       3        0        3        0        0
33752 ipfs-cid                           	       3        0        3        0        0
33753 ipfs-desktop                       	       3        0        0        0        3
33754 ipppd                              	       3        0        3        0        0
33755 ipqalc                             	       3        0        3        0        0
33756 iptables-dev                       	       3        0        0        0        3
33757 iptotal                            	       3        0        3        0        0
33758 ircd-hybrid                        	       3        2        1        0        0
33759 irfanview-bin64                    	       3        0        3        0        0
33760 irfanview-common                   	       3        0        3        0        0
33761 ironseed                           	       3        0        3        0        0
33762 ironseed-data                      	       3        0        0        0        3
33763 itcl3-dev                          	       3        0        3        0        0
33764 ivtools-bin                        	       3        0        3        0        0
33765 iwyu                               	       3        1        2        0        0
33766 jami-all                           	       3        0        3        0        0
33767 janus-doc                          	       3        0        0        0        3
33768 janus-tools                        	       3        0        3        0        0
33769 jazz2                              	       3        0        3        0        0
33770 jclassinfo                         	       3        0        3        0        0
33771 jd-gui                             	       3        0        0        0        3
33772 jdk-11                             	       3        0        3        0        0
33773 jdk-11.0.12                        	       3        2        1        0        0
33774 jellyfin-apiclient-python          	       3        0        3        0        0
33775 jest                               	       3        0        3        0        0
33776 jester                             	       3        0        3        0        0
33777 jgrep                              	       3        1        2        0        0
33778 jid                                	       3        0        3        0        0
33779 jitsi-meet                         	       3        0        0        0        3
33780 jitsi-meet-turnserver              	       3        0        0        0        3
33781 jitsi-meet-web                     	       3        0        0        0        3
33782 jitsi-meet-web-config              	       3        0        0        0        3
33783 jitsi-videobridge2                 	       3        0        1        1        1
33784 jless                              	       3        1        2        0        0
33785 jons-wallpaper-1                   	       3        0        0        0        3
33786 jparse                             	       3        0        3        0        0
33787 jsmath-fonts-sprite                	       3        0        0        0        3
33788 json-glib-tools                    	       3        0        3        0        0
33789 jsxcompressor                      	       3        0        3        0        0
33790 jsxgraph                           	       3        0        3        0        0
33791 jtb                                	       3        0        3        0        0
33792 juce-tools                         	       3        0        3        0        0
33793 junior-doc                         	       3        0        0        0        3
33794 junior-games-text                  	       3        0        0        0        3
33795 junior-math                        	       3        0        0        0        3
33796 jupyter-server                     	       3        1        2        0        0
33797 k3b-i18n-trinity                   	       3        0        0        0        3
33798 kafkacat                           	       3        0        0        0        3
33799 kaidan                             	       3        0        3        0        0
33800 kali                               	       3        0        3        0        0
33801 kalign                             	       3        0        3        0        0
33802 katex                              	       3        0        3        0        0
33803 kawari8                            	       3        0        3        0        0
33804 kcat                               	       3        0        3        0        0
33805 kchart-trinity                     	       3        0        3        0        0
33806 kconfig-frontends                  	       3        0        3        0        0
33807 kde-games-core-declarative         	       3        0        3        0        0
33808 kde-icons-nuvola                   	       3        0        0        0        3
33809 kde-style-qtcurve                  	       3        1        2        0        0
33810 kde-workspace                      	       3        0        0        0        3
33811 kde-workspace-bin                  	       3        1        2        0        0
33812 kde-workspace-data                 	       3        0        0        0        3
33813 kdiff3-trinity                     	       3        0        3        0        0
33814 kdiff3-trinity-doc                 	       3        0        0        0        3
33815 kdirstat-trinity                   	       3        0        3        0        0
33816 kea-dhcp6-server                   	       3        0        3        0        0
33817 kel-agent                          	       3        0        3        0        0
33818 keylaunch                          	       3        0        3        0        0
33819 keynav                             	       3        0        3        0        0
33820 kformula-trinity                   	       3        0        3        0        0
33821 khal-doc                           	       3        0        0        0        3
33822 kicad-doc-it                       	       3        0        0        0        3
33823 kiki-the-nano-bot                  	       3        0        3        0        0
33824 kiki-the-nano-bot-data             	       3        0        0        0        3
33825 kjots                              	       3        0        3        0        0
33826 klayout                            	       3        0        3        0        0
33827 klipper                            	       3        0        3        0        0
33828 knemo-trinity                      	       3        0        3        0        0
33829 knewstuff-dialog                   	       3        0        2        1        0
33830 kodi-eventclients-kodi-send        	       3        0        3        0        0
33831 kodi-eventclients-ps3              	       3        0        3        0        0
33832 kodi-pvr-argustv                   	       3        0        0        0        3
33833 kodi-pvr-dvblink                   	       3        0        0        0        3
33834 kodi-pvr-dvbviewer                 	       3        0        0        0        3
33835 kodi-pvr-filmon                    	       3        0        0        0        3
33836 kodi-pvr-mediaportal-tvserver      	       3        0        0        0        3
33837 kodi-pvr-mythtv                    	       3        0        0        0        3
33838 koffice-trinity                    	       3        0        0        0        3
33839 kopia-ui                           	       3        0        0        0        3
33840 koreader                           	       3        2        1        0        0
33841 koshell-trinity                    	       3        0        3        0        0
33842 kplato-trinity                     	       3        0        3        0        0
33843 kppp                               	       3        0        3        0        0
33844 kpresenter-data-trinity            	       3        0        0        0        3
33845 kpresenter-trinity                 	       3        0        3        0        0
33846 kramdown                           	       3        0        3        0        0
33847 krank                              	       3        0        3        0        0
33848 krb5-otp                           	       3        0        3        0        0
33849 kscreensaver                       	       3        0        3        0        0
33850 kst                                	       3        0        3        0        0
33851 kst-doc                            	       3        0        0        0        3
33852 kstars-data-extra-tycho2           	       3        0        0        0        3
33853 kthesaurus-trinity                 	       3        0        3        0        0
33854 ktorrent-trinity                   	       3        0        3        0        0
33855 kugar-trinity                      	       3        0        3        0        0
33856 kvmtool                            	       3        0        3        0        0
33857 kwin-wayland-backend-drm           	       3        1        0        0        2
33858 kxstudio-lv2-extensions            	       3        0        3        0        0
33859 kylin-scanner                      	       3        0        3        0        0
33860 lagan                              	       3        0        3        0        0
33861 lambdahack                         	       3        0        3        0        0
33862 langford-utils                     	       3        0        3        0        0
33863 largetifftools                     	       3        0        3        0        0
33864 late                               	       3        0        3        0        0
33865 late-data                          	       3        0        0        0        3
33866 latex-beamer                       	       3        0        0        0        3
33867 lazarus-doc-3.0                    	       3        0        0        0        3
33868 lbry                               	       3        0        0        0        3
33869 lbt                                	       3        0        3        0        0
33870 lcl-1.6                            	       3        0        0        0        3
33871 lcl-gtk2                           	       3        0        0        0        3
33872 lcl-gtk2-1.6                       	       3        0        3        0        0
33873 lcl-nogui-1.6                      	       3        0        3        0        0
33874 lcl-qt5-2.0                        	       3        0        3        0        0
33875 lcl-units-1.6                      	       3        0        3        0        0
33876 lcl-utils-1.6                      	       3        0        3        0        0
33877 ldap-account-manager               	       3        0        3        0        0
33878 lde                                	       3        0        3        0        0
33879 ldraw-parts                        	       3        0        0        0        3
33880 leptonica-progs                    	       3        0        3        0        0
33881 lib-utils2                         	       3        0        0        0        3
33882 lib2geom1.1.0                      	       3        0        0        0        3
33883 lib2geom1.4.0                      	       3        0        0        0        3
33884 lib32gcc-9-dev                     	       3        0        0        0        3
33885 lib32gfortran-13-dev               	       3        0        0        0        3
33886 lib64asan8-i386-cross              	       3        0        0        0        3
33887 lib64gcc-s1-i386-cross             	       3        0        0        0        3
33888 lib64gcc1                          	       3        0        0        0        3
33889 libabigail0                        	       3        0        0        0        3
33890 libabsl20220623t64                 	       3        0        0        0        3
33891 libaccess-bridge-java-jni          	       3        0        0        0        3
33892 libadios-bin                       	       3        0        3        0        0
33893 libadios-dev                       	       3        0        3        0        0
33894 libadms0                           	       3        0        0        0        3
33895 libadplug-dev                      	       3        0        3        0        0
33896 libadwaitaqt6-dev                  	       3        0        3        0        0
33897 libaiksaurus-1.2-dev               	       3        0        3        0        0
33898 libakode2-mpeg                     	       3        0        0        0        3
33899 libalberta-dev                     	       3        0        2        1        0
33900 libalglib3.17                      	       3        0        0        0        3
33901 libalglib4.2                       	       3        0        0        0        3
33902 libalgorithm-permute-perl          	       3        0        0        0        3
33903 libalpm13                          	       3        0        0        0        3
33904 libansilove1                       	       3        0        0        0        3
33905 libanthy1t64                       	       3        0        0        0        3
33906 libany-template-processdir-perl    	       3        0        3        0        0
33907 libanyevent-cachedns-perl          	       3        0        3        0        0
33908 libanyevent-termkey-perl           	       3        0        3        0        0
33909 libapache-session-perl             	       3        0        3        0        0
33910 libapache2-mod-auth-plain          	       3        2        1        0        0
33911 libapache2-mod-authn-sasl          	       3        1        2        0        0
33912 libapache2-mod-encoding            	       3        0        3        0        0
33913 libapache2-mod-log-sql             	       3        0        3        0        0
33914 libapache2-mod-log-sql-mysql       	       3        0        3        0        0
33915 libapache2-mod-log-sql-ssl         	       3        0        3        0        0
33916 libapache2-mod-mapcache            	       3        1        2        0        0
33917 libapache2-mod-perl2-dev           	       3        0        3        0        0
33918 libapache2-mod-upload-progress     	       3        2        1        0        0
33919 libapache2-modsecurity             	       3        0        0        0        3
33920 libapertium-lex-tools1             	       3        0        0        0        3
33921 libapp-cmd-plugin-prompt-perl      	       3        0        3        0        0
33922 libapp-fatpacker-perl              	       3        0        3        0        0
33923 libapp-nopaste-perl                	       3        0        3        0        0
33924 libappstream-dev                   	       3        0        3        0        0
33925 libappstream1                      	       3        0        0        0        3
33926 libaprutil1-dbd-odbc               	       3        0        0        0        3
33927 libaqbanking-dev                   	       3        0        3        0        0
33928 libaqbanking34                     	       3        0        0        0        3
33929 libaqhbci22                        	       3        0        0        0        3
33930 libarchive12                       	       3        1        0        0        2
33931 libarmadillo4                      	       3        0        0        0        3
33932 libasan8-s390x-cross               	       3        0        0        0        3
33933 libasync-http-client-java          	       3        0        0        0        3
33934 libatasmart-dev                    	       3        0        3        0        0
33935 libatfs1                           	       3        0        3        0        0
33936 libatkmm-1.6-doc                   	       3        0        0        0        3
33937 libatm1-dev                        	       3        0        3        0        0
33938 libatomic1-alpha-cross             	       3        0        0        0        3
33939 libatomic1-hppa-cross              	       3        0        0        0        3
33940 libatomic1-sparc64-cross           	       3        0        0        0        3
33941 libatspi1.0-0                      	       3        0        0        0        3
33942 libaudio-flac-decoder-perl         	       3        0        0        0        3
33943 libaudio-musepack-perl             	       3        0        3        0        0
33944 libaudio-wav-perl                  	       3        0        3        0        0
33945 libaugeas-dev                      	       3        0        3        0        0
33946 libauth-googleauth-perl            	       3        0        3        0        0
33947 libauthen-dechpwd-perl             	       3        0        0        0        3
33948 libauthen-passphrase-perl          	       3        0        3        0        0
33949 libauthen-radius-perl              	       3        0        3        0        0
33950 libauthen-scram-perl               	       3        0        3        0        0
33951 libauthen-simple-perl              	       3        0        3        0        0
33952 libautobox-perl                    	       3        0        0        0        3
33953 libautotrace3                      	       3        0        0        0        3
33954 libavahi-ui0                       	       3        0        0        0        3
33955 libavcodec-extra-56                	       3        0        0        0        3
33956 libavcodec0d                       	       3        0        0        0        3
33957 libavformat-extra59                	       3        0        0        0        3
33958 libavformat-extra61                	       3        0        0        0        3
33959 libaxmlrpc-java                    	       3        0        0        0        3
33960 libb-debug-perl                    	       3        0        3        0        0
33961 libbackport9-java                  	       3        0        0        0        3
33962 libbarcode-code128-perl            	       3        0        3        0        0
33963 libbarcode-zbar-perl               	       3        0        0        0        3
33964 libbctls-java                      	       3        0        0        0        3
33965 libbeansbinding-java               	       3        0        0        0        3
33966 libbetter-appframework-java        	       3        0        0        0        3
33967 libbg2                             	       3        0        1        0        2
33968 libbibutils2                       	       3        0        0        0        3
33969 libbigarray-compat-ocaml           	       3        0        2        0        1
33970 libbind9-0                         	       3        0        0        0        3
33971 libbio-asn1-entrezgene-perl        	       3        0        3        0        0
33972 libbio-cluster-perl                	       3        0        3        0        0
33973 libbio-perl-perl                   	       3        0        3        0        0
33974 libbio-perl-run-perl               	       3        0        3        0        0
33975 libbio-variation-perl              	       3        0        3        0        0
33976 libbitstream-dev                   	       3        0        3        0        0
33977 libbitstring-ocaml                 	       3        0        3        0        0
33978 libbitstring-ocaml-dev             	       3        0        3        0        0
33979 libblas64-dev                      	       3        0        3        0        0
33980 libblockdev-btrfs3                 	       3        0        0        0        3
33981 libbobcat4                         	       3        0        0        0        3
33982 libbonoboui2-dev                   	       3        0        3        0        0
33983 libboost-atomic1.55-dev            	       3        0        0        0        3
33984 libboost-atomic1.62-dev            	       3        0        0        0        3
33985 libboost-atomic1.81-dev            	       3        0        0        0        3
33986 libboost-atomic1.81.0              	       3        0        0        0        3
33987 libboost-chrono1.49.0              	       3        0        0        0        3
33988 libboost-chrono1.55-dev            	       3        0        0        0        3
33989 libboost-chrono1.62-dev            	       3        0        0        0        3
33990 libboost-context1.55.0             	       3        0        0        0        3
33991 libboost-date-time1.55-dev         	       3        0        0        0        3
33992 libboost-filesystem1.62-dev        	       3        0        0        0        3
33993 libboost-filesystem1.81-dev        	       3        0        0        0        3
33994 libboost-filesystem1.81.0          	       3        0        0        0        3
33995 libboost-graph1.55.0               	       3        0        0        0        3
33996 libboost-iostreams1.62-dev         	       3        0        0        0        3
33997 libboost-iostreams1.81.0           	       3        0        0        0        3
33998 libboost-locale1.62-dev            	       3        0        0        0        3
33999 libboost-locale1.81.0              	       3        0        0        0        3
34000 libboost-log1.62.0                 	       3        0        0        0        3
34001 libboost-math1.55.0                	       3        0        0        0        3
34002 libboost-mpi1.62.0                 	       3        0        0        0        3
34003 libboost-mpi1.67-dev               	       3        0        0        0        3
34004 libboost-nowide1.81.0              	       3        0        0        0        3
34005 libboost-program-options1.62-dev   	       3        0        0        0        3
34006 libboost-python1.42.0              	       3        0        0        0        3
34007 libboost-python1.67-dev            	       3        0        0        0        3
34008 libboost-regex1.62-dev             	       3        0        0        0        3
34009 libboost-serialization1.55-dev     	       3        0        0        0        3
34010 libboost-system1.42.0              	       3        0        0        0        3
34011 libboost-system1.54.0              	       3        0        0        0        3
34012 libboost-system1.55-dev            	       3        0        0        0        3
34013 libboost-system1.62-dev            	       3        0        0        0        3
34014 libboost-system1.81-dev            	       3        0        0        0        3
34015 libboost-system1.81.0              	       3        0        0        0        3
34016 libboost-test1.55.0                	       3        0        0        0        3
34017 libboost-test1.81-dev              	       3        0        0        0        3
34018 libboost-test1.81.0                	       3        0        0        0        3
34019 libboost-thread1.55-dev            	       3        0        0        0        3
34020 libboost-thread1.62-dev            	       3        0        0        0        3
34021 libboost-thread1.81.0              	       3        0        0        0        3
34022 libboost-timer1.55.0               	       3        0        0        0        3
34023 libboost-wave1.62-dev              	       3        0        0        0        3
34024 libboost1.81-dev                   	       3        0        3        0        0
34025 libboost1.81-tools-dev             	       3        0        3        0        0
34026 libbrlapi0.7                       	       3        0        0        0        3
34027 libbrowser-open-perl               	       3        0        3        0        0
34028 libbson-dev                        	       3        0        2        1        0
34029 libbson-perl                       	       3        0        3        0        0
34030 libbt0v5                           	       3        0        0        0        3
34031 libbtbb-dev                        	       3        0        3        0        0
34032 libbtrfs-dev                       	       3        0        3        0        0
34033 libbtrfs0t64                       	       3        0        0        0        3
34034 libburn-dev                        	       3        0        3        0        0
34035 libbzip3-dev                       	       3        0        3        0        0
34036 libc++-16-dev                      	       3        0        3        0        0
34037 libc6-dev-ppc64-cross              	       3        0        3        0        0
34038 libc6-hppa-cross                   	       3        0        0        0        3
34039 libc6-sparc64-cross                	       3        0        0        0        3
34040 libcamera-dev                      	       3        0        2        1        0
34041 libcanberra-doc                    	       3        0        0        0        3
34042 libcanberra-gtk-dev                	       3        0        0        0        3
34043 libcassie1v5                       	       3        0        0        0        3
34044 libcastor-core-java                	       3        0        0        0        3
34045 libcastor-xml-java                 	       3        0        0        0        3
34046 libcatalyst-perl                   	       3        1        2        0        0
34047 libcbor-dev                        	       3        0        3        0        0
34048 libccrtp-dev                       	       3        0        3        0        0
34049 libcdaudio-dev                     	       3        0        3        0        0
34050 libcdio7                           	       3        0        0        0        3
34051 libcdk-perl                        	       3        0        0        0        3
34052 libcec2                            	       3        0        0        0        3
34053 libcephfs1                         	       3        0        0        0        3
34054 libceres1                          	       3        0        0        0        3
34055 libcext0                           	       3        0        0        0        3
34056 libcfg4                            	       3        0        0        0        3
34057 libcgi-struct-xs-perl              	       3        0        0        0        3
34058 libcgraph5                         	       3        0        0        0        3
34059 libchardet1t64                     	       3        0        0        0        3
34060 libchealpix-dev                    	       3        0        3        0        0
34061 libchealpix0                       	       3        0        0        0        3
34062 libcheese-doc                      	       3        0        0        0        3
34063 libchipcard6                       	       3        0        0        0        3
34064 libcilkrts5-dbg                    	       3        0        3        0        0
34065 libclamav-dev                      	       3        2        0        1        0
34066 libclamav6                         	       3        0        0        0        3
34067 libclang-13-dev                    	       3        0        3        0        0
34068 libclang-17-dev                    	       3        0        3        0        0
34069 libclang-cpp13-dev                 	       3        0        3        0        0
34070 libclang-cpp15-dev                 	       3        1        2        0        0
34071 libclang-cpp16-dev                 	       3        0        3        0        0
34072 libclang-cpp17-dev                 	       3        0        3        0        0
34073 libclang-perl                      	       3        0        0        0        3
34074 libclang-rt-14-dev-wasm32          	       3        0        3        0        0
34075 libclang-rt-dev-wasm32             	       3        0        0        0        3
34076 libclass-adapter-perl              	       3        0        3        0        0
34077 libclass-c3-adopt-next-perl        	       3        1        2        0        0
34078 libclass-container-perl            	       3        0        3        0        0
34079 libclass-data-accessor-perl        	       3        0        3        0        0
34080 libclaw-application1v5             	       3        0        0        0        3
34081 libclaw-configuration-file1v5      	       3        0        0        0        3
34082 libclaw-dynamic-library1v5         	       3        0        0        0        3
34083 libclaw-logger1v5                  	       3        0        0        0        3
34084 libclaw-net1v5                     	       3        0        0        0        3
34085 libclaw-tween1v5                   	       3        0        0        0        3
34086 libclblast-dev                     	       3        0        3        0        0
34087 libclblast1                        	       3        0        0        0        3
34088 libclc-17-dev                      	       3        1        2        0        0
34089 libclfft-dev                       	       3        0        3        0        0
34090 libcli-osprey-perl                 	       3        0        3        0        0
34091 libclj-time-clojure                	       3        0        0        0        3
34092 libclutter-1.0-doc                 	       3        0        0        0        3
34093 libcolorblind0                     	       3        0        0        0        3
34094 libcolord-gtk-headers              	       3        0        3        0        0
34095 libcommons-discovery-java          	       3        0        0        0        3
34096 libcommons-lang-java-doc           	       3        0        0        0        3
34097 libcommons-vfs-java                	       3        0        0        0        3
34098 libcomps0                          	       3        0        0        0        3
34099 libconfig-gitlike-perl             	       3        0        3        0        0
34100 libconfig-yaml-perl                	       3        0        3        0        0
34101 libconfigreader-simple-perl        	       3        0        3        0        0
34102 libconsole-bridge-dev              	       3        0        3        0        0
34103 libconsole-bridge1.0               	       3        0        0        0        3
34104 libconvert-base32-perl             	       3        0        3        0        0
34105 libcoq-core-ocaml                  	       3        0        3        0        0
34106 libcoq-stdlib                      	       3        0        3        0        0
34107 libcpan-meta-yaml-perl             	       3        0        3        0        0
34108 libcpanplus-dist-build-perl        	       3        0        3        0        0
34109 libcpanplus-perl                   	       3        0        2        1        0
34110 libcplcore26                       	       3        0        0        0        3
34111 libcpldfs26                        	       3        0        0        0        3
34112 libcpldrs26                        	       3        0        0        0        3
34113 libcplui26                         	       3        0        0        0        3
34114 libcpuid-dev                       	       3        0        2        1        0
34115 libcql-parser-perl                 	       3        0        3        0        0
34116 libcrypt-dh-perl                   	       3        0        3        0        0
34117 libcrypt-smime-perl                	       3        0        0        0        3
34118 libcsmith-dev                      	       3        2        1        0        0
34119 libcsmith0                         	       3        0        0        0        3
34120 libcss-dom-perl                    	       3        0        3        0        0
34121 libcss-perl                        	       3        0        3        0        0
34122 libctemplate-dev                   	       3        0        3        0        0
34123 libctemplate2                      	       3        0        0        0        3
34124 libctypes-ocaml                    	       3        0        2        0        1
34125 libctypes-ocaml-dev                	       3        0        3        0        0
34126 libcuinj64-12.2                    	       3        0        0        0        3
34127 libcupt4-2                         	       3        0        3        0        0
34128 libcupt4-2t64                      	       3        0        3        0        0
34129 libcurry-perl                      	       3        0        3        0        0
34130 libcw8                             	       3        0        1        0        2
34131 libcwiid1t64                       	       3        0        0        0        3
34132 libcxxtools-dev                    	       3        0        3        0        0
34133 libcxxtools9v5                     	       3        0        0        0        3
34134 libcyrus-imap-perl                 	       3        0        3        0        0
34135 libdancer2-perl                    	       3        1        2        0        0
34136 libdata-bitmask-perl               	       3        0        3        0        0
34137 libdata-integer-perl               	       3        0        3        0        0
34138 libdata-peek-perl                  	       3        0        0        0        3
34139 libdatetime-astro-sunrise-perl     	       3        1        2        0        0
34140 libdatetime-event-sunrise-perl     	       3        0        3        0        0
34141 libdb-file-lock-perl               	       3        0        3        0        0
34142 libdb4.2                           	       3        0        0        0        3
34143 libdb4.3                           	       3        1        0        0        2
34144 libdb4o-cil-dev                    	       3        1        1        1        0
34145 libdbd-sybase-perl                 	       3        0        0        0        3
34146 libdbi0                            	       3        0        3        0        0
34147 libdbix-class-uuidcolumns-perl     	       3        0        3        0        0
34148 libdc1394-13                       	       3        0        0        0        3
34149 libdcmtk8                          	       3        0        0        0        3
34150 libdecoration0-trinity             	       3        0        0        0        3
34151 libdesktop-notify-perl             	       3        1        2        0        0
34152 libdevel-confess-perl              	       3        0        3        0        0
34153 libdevel-cycle-perl                	       3        0        3        0        0
34154 libdevel-nytprof-perl              	       3        0        3        0        0
34155 libdevhelp-3-2                     	       3        0        0        0        3
34156 libdime-dev                        	       3        0        3        0        0
34157 libdiscid-dev                      	       3        0        3        0        0
34158 libdisplay-info-dev                	       3        0        2        1        0
34159 libdlib19                          	       3        0        0        0        3
34160 libdmrconf0.10                     	       3        0        0        0        3
34161 libdmtx-dev                        	       3        0        3        0        0
34162 libdnf2                            	       3        0        0        0        3
34163 libdnf2-common                     	       3        0        0        0        3
34164 libdnsjava-java                    	       3        0        0        0        3
34165 libdokujclient-java                	       3        0        0        0        3
34166 libdrm-freedreno1                  	       3        0        0        0        3
34167 libdrm-tegra0                      	       3        0        0        0        3
34168 libdrumstick-file1                 	       3        0        0        0        3
34169 libdune-common-dev                 	       3        1        2        0        0
34170 libdune-geometry-dev               	       3        0        3        0        0
34171 libdune-grid-dev                   	       3        0        2        1        0
34172 libdune-uggrid-dev                 	       3        0        3        0        0
34173 libdvbpsi6                         	       3        0        0        0        3
34174 libebml0                           	       3        0        0        0        3
34175 libebook-1.2-14                    	       3        0        0        0        3
34176 libebook-contacts-1.2-0            	       3        0        0        0        3
34177 libebook-tools-perl                	       3        0        3        0        0
34178 libeccodes-tools                   	       3        0        3        0        0
34179 libeclipselink-java                	       3        0        0        0        3
34180 libedata-book-1.2-20               	       3        0        0        0        3
34181 libedata-cal-1.2-15                	       3        0        0        0        3
34182 libeduvpn-common                   	       3        0        0        0        3
34183 libegl1-nvidia                     	       3        0        0        0        3
34184 libeigen3-doc                      	       3        0        0        0        3
34185 libelogind-dev-doc                 	       3        0        0        0        3
34186 libemf-dev                         	       3        0        3        0        0
34187 libemos-data                       	       3        0        0        0        3
34188 libepc-ui-1.0-3                    	       3        0        0        0        3
34189 libepr-api-dev                     	       3        0        3        0        0
34190 libepr-api2                        	       3        0        0        0        3
34191 libetonyek-tools                   	       3        0        3        0        0
34192 libeval0                           	       3        0        0        0        3
34193 libevent-rpc-perl                  	       3        0        3        0        0
34194 libexecline2.5                     	       3        0        0        0        3
34195 libexempi-dev                      	       3        0        3        0        0
34196 libexif-gtk3-5                     	       3        0        0        0        3
34197 libexo-0.3-0                       	       3        0        0        0        3
34198 libexosip2-11                      	       3        0        3        0        0
34199 libextutils-autoinstall-perl       	       3        1        2        0        0
34200 libextutils-config-perl            	       3        0        3        0        0
34201 libextutils-helpers-perl           	       3        0        3        0        0
34202 libextutils-installpaths-perl      	       3        0        3        0        0
34203 libf2fs0                           	       3        0        0        0        3
34204 libfacter3.14.12                   	       3        0        0        0        3
34205 libfaifa0                          	       3        0        0        0        3
34206 libfarstream-0.2-dev               	       3        0        3        0        0
34207 libfathom1                         	       3        0        0        0        3
34208 libffi-checklib-perl               	       3        0        3        0        0
34209 libffindex0                        	       3        0        0        0        3
34210 libffms2-dev                       	       3        0        3        0        0
34211 libfftw3-mpi-dev                   	       3        0        3        0        0
34212 libfile-find-rule-perl-perl        	       3        0        3        0        0
34213 libfile-find-wanted-perl           	       3        0        3        0        0
34214 libfile-modified-perl              	       3        1        2        0        0
34215 libfile-sort-perl                  	       3        0        3        0        0
34216 libfilezilla-dev                   	       3        0        0        0        3
34217 libfilezilla40                     	       3        0        0        0        3
34218 libfindbugs-annotations-java       	       3        0        0        0        3
34219 libfindbugs-ant-java               	       3        0        0        0        3
34220 libflac-ocaml                      	       3        0        2        1        0
34221 libflac7                           	       3        0        0        0        3
34222 libflamingo-java                   	       3        0        0        0        3
34223 libflint-2.5.2                     	       3        0        0        0        3
34224 libfltk-cairo1.3t64                	       3        0        0        0        3
34225 libfltk-forms1.3t64                	       3        0        0        0        3
34226 libflxmlrpc-dev                    	       3        0        3        0        0
34227 libfoma0                           	       3        0        0        0        3
34228 libfontbox-java-doc                	       3        0        0        0        3
34229 libfontembed-dev                   	       3        0        2        1        0
34230 libfortune-perl                    	       3        0        3        0        0
34231 libfreehdl0                        	       3        0        3        0        0
34232 libfreehdl0-dev                    	       3        0        3        0        0
34233 libfreeimageplus3                  	       3        0        0        0        3
34234 libfrog2                           	       3        0        0        0        3
34235 libfrontier-rpc-perl               	       3        0        3        0        0
34236 libfsharp-core4.3-cil              	       3        0        3        0        0
34237 libfst22                           	       3        0        0        0        3
34238 libfstrm-dev                       	       3        0        3        0        0
34239 libfsverity-dev                    	       3        0        3        0        0
34240 libfuzzylite6.0                    	       3        0        0        0        3
34241 libfwupd-dev                       	       3        0        2        1        0
34242 libg3d-plugin-gdkpixbuf            	       3        0        0        0        3
34243 libgadu-dev                        	       3        0        3        0        0
34244 libganymed-ssh2-java               	       3        0        0        0        3
34245 libgcc-10-dev-i386-cross           	       3        0        0        0        3
34246 libgcc-10-dev-riscv64-cross        	       3        0        0        0        3
34247 libgcc-14-dev-ppc64-cross          	       3        0        0        0        3
34248 libgcc-8-dev-armel-cross           	       3        0        0        0        3
34249 libgcc-s1-alpha-cross              	       3        0        0        0        3
34250 libgcc-s1-sparc64-cross            	       3        0        0        0        3
34251 libgcc-s4-hppa-cross               	       3        0        0        0        3
34252 libgcc1-armel-cross                	       3        0        0        0        3
34253 libgcc1-armhf-cross                	       3        0        0        0        3
34254 libgcj15-awt                       	       3        0        0        0        3
34255 libgcr0                            	       3        0        0        0        3
34256 libgctp-2.0.0                      	       3        0        0        0        3
34257 libgdal-doc                        	       3        0        0        0        3
34258 libgdal31                          	       3        0        0        0        3
34259 libgdata-google1.2-1               	       3        0        0        0        3
34260 libgdata1.2-1                      	       3        0        0        0        3
34261 libgensio4                         	       3        0        2        0        1
34262 libgeo-coordinates-transform-perl  	       3        0        3        0        0
34263 libgeo-distance-perl               	       3        0        3        0        0
34264 libgeo-googleearth-pluggable-perl  	       3        0        3        0        0
34265 libgeo-gpx-perl                    	       3        0        3        0        0
34266 libgeo-ipfree-perl                 	       3        1        2        0        0
34267 libgeographiclib23                 	       3        0        0        0        3
34268 libgeomview-1.9.5t64               	       3        0        0        0        3
34269 libgeos3.10.2                      	       3        0        0        0        3
34270 libgeos3.12.1t64                   	       3        0        0        0        3
34271 libgetdata++7                      	       3        0        0        0        3
34272 libgetdata8                        	       3        0        0        0        3
34273 libgetopt-mixed-perl               	       3        0        3        0        0
34274 libgfshare2                        	       3        0        0        0        3
34275 libggi-target-x                    	       3        0        3        0        0
34276 libggi2                            	       3        0        0        0        3
34277 libghc-adjunctions-prof            	       3        0        0        0        3
34278 libghc-alsa-mixer-dev              	       3        0        3        0        0
34279 libghc-ansi-terminal-types-dev     	       3        1        2        0        0
34280 libghc-ansi-wl-pprint-dev          	       3        0        3        0        0
34281 libghc-appar-dev                   	       3        0        3        0        0
34282 libghc-asn1-encoding-dev           	       3        0        3        0        0
34283 libghc-asn1-parse-dev              	       3        0        3        0        0
34284 libghc-asn1-types-dev              	       3        0        3        0        0
34285 libghc-auto-update-dev             	       3        0        3        0        0
34286 libghc-base64-bytestring-dev       	       3        0        3        0        0
34287 libghc-basement-dev                	       3        0        3        0        0
34288 libghc-byteorder-dev               	       3        0        3        0        0
34289 libghc-cairo-prof                  	       3        0        0        0        3
34290 libghc-call-stack-prof             	       3        0        0        0        3
34291 libghc-cereal-prof                 	       3        0        0        0        3
34292 libghc-connection-dev              	       3        0        3        0        0
34293 libghc-cryptonite-dev              	       3        0        3        0        0
34294 libghc-data-default-instances-containers-prof	       3        0        0        0        3
34295 libghc-data-default-instances-dlist-prof	       3        0        0        0        3
34296 libghc-data-default-instances-old-locale-prof	       3        0        0        0        3
34297 libghc-digest-dev                  	       3        0        3        0        0
34298 libghc-dlist-prof                  	       3        0        0        0        3
34299 libghc-easy-file-dev               	       3        0        3        0        0
34300 libghc-enclosed-exceptions-dev     	       3        0        3        0        0
34301 libghc-entropy-dev                 	       3        0        3        0        0
34302 libghc-fast-logger-dev             	       3        0        3        0        0
34303 libghc-file-embed-dev              	       3        0        3        0        0
34304 libghc-free-prof                   	       3        0        0        0        3
34305 libghc-fsnotify-dev                	       3        0        3        0        0
34306 libghc-gi-cairo-dev                	       3        0        3        0        0
34307 libghc-gi-gdk-dev                  	       3        0        3        0        0
34308 libghc-gi-gdkpixbuf-doc            	       3        0        3        0        0
34309 libghc-gi-gdkpixbuf-prof           	       3        0        0        0        3
34310 libghc-gi-gio-doc                  	       3        0        3        0        0
34311 libghc-gi-gio-prof                 	       3        0        0        0        3
34312 libghc-gi-glib-doc                 	       3        0        3        0        0
34313 libghc-gi-glib-prof                	       3        0        0        0        3
34314 libghc-gi-gobject-doc              	       3        0        3        0        0
34315 libghc-gi-gobject-prof             	       3        0        0        0        3
34316 libghc-gi-harfbuzz-dev             	       3        0        3        0        0
34317 libghc-gi-pango-dev                	       3        0        3        0        0
34318 libghc-gio-prof                    	       3        0        0        0        3
34319 libghc-glib-prof                   	       3        0        0        0        3
34320 libghc-haskell-gi-base-doc         	       3        0        3        0        0
34321 libghc-haskell-gi-base-prof        	       3        0        0        0        3
34322 libghc-haskell-gi-prof             	       3        0        0        0        3
34323 libghc-haskell-lexer-prof          	       3        0        0        0        3
34324 libghc-hinotify-dev                	       3        0        3        0        0
34325 libghc-hourglass-dev               	       3        0        3        0        0
34326 libghc-http-client-dev             	       3        0        3        0        0
34327 libghc-http-client-tls-dev         	       3        0        3        0        0
34328 libghc-http-types-dev              	       3        0        3        0        0
34329 libghc-invariant-dev               	       3        0        3        0        0
34330 libghc-iproute-dev                 	       3        0        3        0        0
34331 libghc-juicypixels-dev             	       3        0        3        0        0
34332 libghc-kan-extensions-prof         	       3        0        0        0        3
34333 libghc-lens-prof                   	       3        0        0        0        3
34334 libghc-libmpd-dev                  	       3        0        3        0        0
34335 libghc-lifted-base-dev             	       3        0        3        0        0
34336 libghc-magic-dev                   	       3        0        3        0        0
34337 libghc-microlens-dev               	       3        0        3        0        0
34338 libghc-old-locale-doc              	       3        0        3        0        0
34339 libghc-pandoc-types-dev            	       3        0        3        0        0
34340 libghc-pango-prof                  	       3        0        0        0        3
34341 libghc-parallel-prof               	       3        0        0        0        3
34342 libghc-pem-dev                     	       3        0        3        0        0
34343 libghc-pretty-show-prof            	       3        0        0        0        3
34344 libghc-primitive-doc               	       3        0        3        0        0
34345 libghc-reflection-prof             	       3        0        0        0        3
34346 libghc-semigroupoids-doc           	       3        0        3        0        0
34347 libghc-semigroups-prof             	       3        0        0        0        3
34348 libghc-statevar-doc                	       3        0        0        0        3
34349 libghc-th-abstraction-prof         	       3        0        0        0        3
34350 libghc-th-lift-instances-dev       	       3        0        3        0        0
34351 libghc-tls-dev                     	       3        0        3        0        0
34352 libghc-unix-time-dev               	       3        0        3        0        0
34353 libghc-unordered-containers-doc    	       3        0        3        0        0
34354 libghc-vector-doc                  	       3        0        3        0        0
34355 libghc-void-prof                   	       3        0        0        0        3
34356 libghc-x509-dev                    	       3        0        3        0        0
34357 libghc-x509-store-dev              	       3        0        3        0        0
34358 libghc-x509-system-dev             	       3        0        3        0        0
34359 libghc-x509-validation-dev         	       3        0        3        0        0
34360 libghc-xmonad-extras-dev           	       3        0        3        0        0
34361 libghc-xmonad-wallpaper-dev        	       3        0        3        0        0
34362 libghc-zip-archive-dev             	       3        0        3        0        0
34363 libghemical-data                   	       3        0        0        0        3
34364 libghemical5v5                     	       3        0        0        0        3
34365 libgiac0t64                        	       3        0        0        0        3
34366 libgig10t64                        	       3        0        0        0        3
34367 libgirara-gtk3-2                   	       3        0        0        0        3
34368 libgirepository1.0-doc             	       3        0        0        0        3
34369 libgl1-nvidia-glx                  	       3        0        0        0        3
34370 libgladeui-dev                     	       3        0        3        0        0
34371 libglc-dev                         	       3        0        3        0        0
34372 libglfw3-wayland                   	       3        0        0        0        3
34373 libglib1.2-dev                     	       3        0        3        0        0
34374 libglobus-common-dev               	       3        0        3        0        0
34375 libglobus-ftp-client2              	       3        0        0        0        3
34376 libglobus-ftp-control1             	       3        0        0        0        3
34377 libglobus-gass-transfer2           	       3        0        0        0        3
34378 libglobus-gsi-callback-dev         	       3        0        3        0        0
34379 libglobus-gsi-cert-utils-dev       	       3        0        3        0        0
34380 libglobus-gsi-credential-dev       	       3        0        3        0        0
34381 libglobus-gsi-openssl-error-dev    	       3        0        3        0        0
34382 libglobus-gsi-proxy-core-dev       	       3        0        3        0        0
34383 libglobus-gsi-proxy-ssl-dev        	       3        0        3        0        0
34384 libglobus-gsi-sysconfig-dev        	       3        0        3        0        0
34385 libglobus-gssapi-error2            	       3        0        0        0        3
34386 libglobus-gssapi-gsi-dev           	       3        0        3        0        0
34387 libglobus-io3                      	       3        0        0        0        3
34388 libglobus-openssl-module-dev       	       3        0        3        0        0
34389 libglobus-xio-gsi-driver           	       3        0        0        0        3
34390 libglobus-xio-popen-driver         	       3        0        0        0        3
34391 libglobus-xio0                     	       3        0        0        0        3
34392 libgloox15                         	       3        0        0        0        3
34393 libgm2-14-dev                      	       3        0        0        0        3
34394 libgm2-19                          	       3        0        0        0        3
34395 libgmbal-commons-java              	       3        0        0        0        3
34396 libgmbal-java                      	       3        0        0        0        3
34397 libgmbal-pfl-java                  	       3        0        0        0        3
34398 libgmime-2.4-2                     	       3        0        0        0        3
34399 libgmobile-common                  	       3        0        3        0        0
34400 libgmobile0                        	       3        0        1        0        2
34401 libgmsh4                           	       3        0        0        0        3
34402 libgmt6t64                         	       3        0        0        0        3
34403 libgnat-8                          	       3        0        0        0        3
34404 libgnatcoll-doc                    	       3        0        0        0        3
34405 libgnet2.0-0                       	       3        0        0        0        3
34406 libgnome-desktop-3-10              	       3        0        0        0        3
34407 libgnome2-dev                      	       3        0        3        0        0
34408 libgnome2-perl                     	       3        0        0        0        3
34409 libgnome2-vfs-perl                 	       3        0        0        0        3
34410 libgnomeui-dev                     	       3        0        3        0        0
34411 libgnomevfs2-dev                   	       3        0        3        0        0
34412 libgnuradio-air-modes1             	       3        0        0        0        3
34413 libgnuradio-blocks3.7.13           	       3        0        0        0        3
34414 libgnuradio-comedi3.7.13           	       3        0        0        0        3
34415 libgnuradio-dtv3.8.2               	       3        0        0        0        3
34416 libgnuradio-fec3.8.2               	       3        0        0        0        3
34417 libgnuradio-fft3.7.13              	       3        0        0        0        3
34418 libgnuradio-filter3.7.13           	       3        0        0        0        3
34419 libgnuradio-fosphor3.8.0           	       3        0        0        0        3
34420 libgnuradio-limesdr3.0.2           	       3        0        0        0        3
34421 libgnuradio-qtgui3.7.13            	       3        0        0        0        3
34422 libgnuradio-wavelet3.8.2           	       3        0        0        0        3
34423 libgnustep-gui0.25                 	       3        0        0        0        3
34424 libgnustep-gui0.28                 	       3        0        0        0        3
34425 libgo-10-dev                       	       3        0        0        0        3
34426 libgo-14-dev                       	       3        0        0        0        3
34427 libgo16                            	       3        0        0        0        3
34428 libgo23                            	       3        0        0        0        3
34429 libgomp1-alpha-cross               	       3        0        0        0        3
34430 libgomp1-hppa-cross                	       3        0        0        0        3
34431 libgomp1-sparc64-cross             	       3        0        0        0        3
34432 libgoo-canvas-perl                 	       3        0        0        0        3
34433 libgp11-0                          	       3        0        0        0        3
34434 libgpg-error-mingw-w64-dev         	       3        0        3        0        0
34435 libgphobos-10-dev                  	       3        0        0        0        3
34436 libgphobos4                        	       3        0        0        0        3
34437 libgphobos5                        	       3        0        0        0        3
34438 libgpod4-nogtk                     	       3        0        0        0        3
34439 libgpuarray-dev                    	       3        0        3        0        0
34440 libgranite-dev                     	       3        0        3        0        0
34441 libgraphics-colorobject-perl       	       3        0        3        0        0
34442 libgrib2c0d                        	       3        0        0        0        3
34443 libgrilo-0.1-0                     	       3        0        0        0        3
34444 libgrpc10                          	       3        0        0        0        3
34445 libgsecuredelete0                  	       3        0        0        0        3
34446 libgss-dev                         	       3        0        3        0        0
34447 libgss3                            	       3        0        0        0        3
34448 libgtk-4-doc                       	       3        0        0        0        3
34449 libgtk-layer-shell-dev             	       3        0        3        0        0
34450 libgtk2-gst                        	       3        0        3        0        0
34451 libgtk2-imageview-perl             	       3        0        0        0        3
34452 libgtk2-ruby                       	       3        0        0        0        3
34453 libgtkdatabox-0.9.3-0              	       3        0        0        0        3
34454 libgtkgl2.0-dev                    	       3        0        2        1        0
34455 libgtkglextmm-x11-1.2-0v5          	       3        0        0        0        3
34456 libgtkhtml3.14-19                  	       3        0        0        0        3
34457 libgtksourceviewmm-3.0-dev         	       3        0        3        0        0
34458 libgtop2-doc                       	       3        0        0        0        3
34459 libguichan-dev                     	       3        0        0        0        3
34460 libgupnp-dlna-1.0-2                	       3        0        0        0        3
34461 libgvpr1                           	       3        0        0        0        3
34462 libgwengui-cpp0                    	       3        0        0        0        3
34463 libgxps-utils                      	       3        0        3        0        0
34464 libh2o-evloop0.13                  	       3        1        0        0        2
34465 libhamcrest-java-doc               	       3        0        0        0        3
34466 libhd-dev                          	       3        0        3        0        0
34467 libhd-doc                          	       3        0        0        0        3
34468 libhd16                            	       3        0        0        0        3
34469 libhdf5-mpich-103-1                	       3        0        0        0        3
34470 libhdf5-mpich-hl-100               	       3        0        0        0        3
34471 libhdf5-openmpi-100                	       3        0        0        0        3
34472 libhersheyfont0                    	       3        0        0        0        3
34473 libhfst55                          	       3        0        0        0        3
34474 libhmat-oss3                       	       3        0        0        0        3
34475 libhtml-encoding-perl              	       3        0        3        0        0
34476 libhtml-formattext-withlinks-andtables-perl	       3        0        3        0        0
34477 libhtml-tiny-perl                  	       3        0        3        0        0
34478 libhtml-wikiconverter-perl         	       3        0        3        0        0
34479 libhttp-link-parser-perl           	       3        0        3        0        0
34480 libhttp-request-ascgi-perl         	       3        2        1        0        0
34481 libhttp-tinyish-perl               	       3        0        3        0        0
34482 libhyprcursor0                     	       3        0        0        0        3
34483 libhyprlang2                       	       3        0        0        0        3
34484 libhyprutils0                      	       3        0        0        0        3
34485 libibdm1                           	       3        0        0        0        3
34486 libibus-qt1                        	       3        0        0        0        3
34487 libicu4j-49-java                   	       3        0        0        0        3
34488 libicu64                           	       3        0        0        0        3
34489 libiio-dev                         	       3        0        3        0        0
34490 libilbc-dev                        	       3        0        3        0        0
34491 libimage-imlib2-perl               	       3        0        0        0        3
34492 libimgui-dev                       	       3        0        3        0        0
34493 libimobiledevice1                  	       3        0        0        0        3
34494 libindi1                           	       3        0        0        0        3
34495 libinfgtk-0.7-0                    	       3        0        0        0        3
34496 libinfinity-0.7-0                  	       3        0        0        0        3
34497 libini4j-java                      	       3        0        0        0        3
34498 libiniparser-dev                   	       3        0        3        0        0
34499 libiniparser-doc                   	       3        0        0        0        3
34500 libio-aio-perl                     	       3        0        3        0        0
34501 libiptables-chainmgr-perl          	       3        0        3        0        0
34502 libirc-formatting-html-perl        	       3        0        3        0        0
34503 libirrlicht1.8t64                  	       3        0        0        0        3
34504 libisal-dev                        	       3        0        3        0        0
34505 libisccc-export161                 	       3        0        0        0        3
34506 libisccc0                          	       3        0        0        0        3
34507 libisccfg1                         	       3        0        0        0        3
34508 libisfreetype-java                 	       3        0        0        0        3
34509 libisnativec-java                  	       3        0        0        0        3
34510 libisrt-java                       	       3        0        0        0        3
34511 libitm1-alpha-cross                	       3        0        0        0        3
34512 libitm1-sparc64-cross              	       3        0        0        0        3
34513 libjakarta-activation-java         	       3        0        0        0        3
34514 libjama-java                       	       3        0        0        0        3
34515 libjanino-java-doc                 	       3        0        0        0        3
34516 libjasper-1.701-1                  	       3        0        0        0        3
34517 libjavacc-maven-plugin-java        	       3        0        0        0        3
34518 libjavascriptcoregtk-1.0-dev       	       3        0        3        0        0
34519 libjavascriptcoregtk-4.0-bin       	       3        0        3        0        0
34520 libjavawriter-java                 	       3        0        0        0        3
34521 libjaxws-java                      	       3        0        0        0        3
34522 libjbcrypt-java                    	       3        0        0        0        3
34523 libjcat-dev                        	       3        0        2        1        0
34524 libjemmy2-java                     	       3        0        0        0        3
34525 libjetty8-java                     	       3        0        0        0        3
34526 libjgraph-java                     	       3        0        0        0        3
34527 libjline3-java                     	       3        0        0        0        3
34528 libjna-java-doc                    	       3        0        0        0        3
34529 libjnlp-servlet-java               	       3        0        0        0        3
34530 libjodconverter-java               	       3        0        0        0        3
34531 libjodycode3t64                    	       3        0        0        0        3
34532 libjs-d3-format                    	       3        0        0        0        3
34533 libjs-jquery-mobile                	       3        0        0        0        3
34534 libjs-jquery-ui-theme-south-street 	       3        0        0        0        3
34535 libjs-jquery-ui-theme-ui-darkness  	       3        0        0        0        3
34536 libjs-jquery-ui-touch-punch        	       3        0        0        0        3
34537 libjs-punycode                     	       3        0        0        0        3
34538 libjs-swfobject                    	       3        0        0        0        3
34539 libjsamp-java                      	       3        0        0        0        3
34540 libjsap-java                       	       3        0        0        0        3
34541 libjson-glib-doc                   	       3        0        0        0        3
34542 libjson-rpc-perl                   	       3        0        3        0        0
34543 libjson-simple-doc                 	       3        0        0        0        3
34544 libjudy-dev                        	       3        0        3        0        0
34545 libjvyamlb-java                    	       3        0        0        0        3
34546 libkasten3okteta1controllers1      	       3        0        0        0        3
34547 libkblog4                          	       3        0        0        0        3
34548 libkchart-l10n                     	       3        0        0        0        3
34549 libkchart6-3                       	       3        0        0        0        3
34550 libkdb3-driver-postgresql          	       3        0        0        0        3
34551 libkdcraw23                        	       3        0        0        0        3
34552 libkdegamesprivate1abi1            	       3        0        0        0        3
34553 libkf5activities-doc               	       3        0        0        0        3
34554 libkf5akonadi-dev-bin              	       3        0        3        0        0
34555 libkf5akonadicalendar-dev          	       3        0        3        0        0
34556 libkf5baloo-doc                    	       3        0        0        0        3
34557 libkf5baloowidgets-dev             	       3        0        3        0        0
34558 libkf5bluezqt-dev                  	       3        0        3        0        0
34559 libkf5bluezqt-doc                  	       3        0        0        0        3
34560 libkf5calendarsupport-dev          	       3        0        3        0        0
34561 libkf5eventviews-dev               	       3        0        3        0        0
34562 libkf5filemetadata-doc             	       3        0        0        0        3
34563 libkf5holidays-dev                 	       3        0        3        0        0
34564 libkf5prison-doc                   	       3        0        0        0        3
34565 libkf5pulseaudioqt-dev             	       3        0        3        0        0
34566 libkf5purpose-dev                  	       3        0        3        0        0
34567 libkf5style-dev                    	       3        0        3        0        0
34568 libkf5syndication-dev              	       3        0        3        0        0
34569 libkf5wayland-doc                  	       3        0        0        0        3
34570 libkf5webkit-dev                   	       3        0        3        0        0
34571 libkf6archive-dev                  	       3        0        3        0        0
34572 libkf6archive-doc                  	       3        0        0        0        3
34573 libkf6bookmarks-dev                	       3        0        2        1        0
34574 libkf6bookmarks-doc                	       3        0        0        0        3
34575 libkf6codecs-dev                   	       3        0        3        0        0
34576 libkf6codecs-doc                   	       3        0        0        0        3
34577 libkf6colorscheme-dev              	       3        0        2        1        0
34578 libkf6colorscheme-doc              	       3        0        0        0        3
34579 libkf6completion-dev               	       3        0        2        1        0
34580 libkf6completion-doc               	       3        0        0        0        3
34581 libkf6configwidgets-dev            	       3        0        2        1        0
34582 libkf6configwidgets-doc            	       3        0        0        0        3
34583 libkf6doctools-dev                 	       3        0        3        0        0
34584 libkf6doctools-doc                 	       3        0        0        0        3
34585 libkf6guiaddons-dev                	       3        0        2        1        0
34586 libkf6guiaddons-doc                	       3        0        0        0        3
34587 libkf6i18n-dev                     	       3        0        2        1        0
34588 libkf6i18n-doc                     	       3        0        0        0        3
34589 libkf6itemviews-dev                	       3        0        3        0        0
34590 libkf6itemviews-doc                	       3        0        0        0        3
34591 libkf6jobwidgets-dev               	       3        0        2        1        0
34592 libkf6jobwidgets-doc               	       3        0        0        0        3
34593 libkf6kio-dev                      	       3        0        2        1        0
34594 libkf6kio-doc                      	       3        0        0        0        3
34595 libkf6notifications-dev            	       3        0        2        1        0
34596 libkf6notifications-doc            	       3        0        0        0        3
34597 libkf6service-dev                  	       3        0        2        1        0
34598 libkf6service-doc                  	       3        0        0        0        3
34599 libkf6solid-dev                    	       3        0        3        0        0
34600 libkf6solid-doc                    	       3        0        0        0        3
34601 libkf6widgetsaddons-dev            	       3        0        3        0        0
34602 libkf6widgetsaddons-doc            	       3        0        0        0        3
34603 libkimproxy4                       	       3        0        0        0        3
34604 libkiwix9                          	       3        0        0        0        3
34605 libkmlconvenience1t64              	       3        0        0        0        3
34606 libkmlregionator1t64               	       3        0        0        0        3
34607 libkmlxsd1t64                      	       3        0        0        0        3
34608 libkolab0                          	       3        0        0        0        3
34609 libkolabxml1                       	       3        0        0        0        3
34610 libkpathsea4                       	       3        0        0        0        3
34611 libksane0                          	       3        0        0        0        3
34612 libkshark2                         	       3        0        0        0        3
34613 libksquirrel0-trinity              	       3        0        3        0        0
34614 libkxl0                            	       3        0        0        0        3
34615 libkyotocabinet-dev                	       3        0        3        0        0
34616 liblablgl-ocaml-dev                	       3        0        3        0        0
34617 liblablgtk3-ocaml                  	       3        0        3        0        0
34618 liblabltk-ocaml-dev                	       3        0        3        0        0
34619 libladr4                           	       3        0        0        0        3
34620 liblapacke-dev                     	       3        0        3        0        0
34621 liblas-c3                          	       3        0        0        0        3
34622 liblas3                            	       3        0        0        0        3
34623 liblastfm-fingerprint5-1           	       3        0        0        0        3
34624 liblastfm5-dev                     	       3        0        3        0        0
34625 liblavjpeg-2.0-0                   	       3        0        0        0        3
34626 liblbfgs0                          	       3        0        0        0        3
34627 libldns1                           	       3        0        0        0        3
34628 liblensfun-bin                     	       3        0        3        0        0
34629 liblerc3                           	       3        0        1        0        2
34630 liblibrary-callnumber-lc-perl      	       3        0        3        0        0
34631 liblinebreak2                      	       3        0        0        0        3
34632 liblingua-en-nameparse-perl        	       3        0        3        0        0
34633 liblingua-ispell-perl              	       3        0        3        0        0
34634 liblingua-stem-snowball-perl       	       3        0        0        0        3
34635 liblinux-epoll-perl                	       3        0        0        0        3
34636 libliquid2d                        	       3        0        0        0        3
34637 liblld-19                          	       3        0        2        1        0
34638 liblld-19-dev                      	       3        0        2        1        0
34639 liblldb-16                         	       3        0        3        0        0
34640 libllvm3.4                         	       3        0        0        0        3
34641 libllvm8                           	       3        0        0        0        3
34642 libllvmspirvlib-17-dev             	       3        0        3        0        0
34643 liblocale-maketext-gettext-perl    	       3        1        2        0        0
34644 liblocale-xgettext-perl            	       3        0        3        0        0
34645 liblog-trace-perl                  	       3        0        3        0        0
34646 liblombok-ast-java                 	       3        0        0        0        3
34647 liblouis-dev                       	       3        0        3        0        0
34648 liblpsolve55-dev                   	       3        0        3        0        0
34649 liblrs1                            	       3        0        0        0        3
34650 liblttoolbox3-3.5-1                	       3        0        0        0        3
34651 liblua5.3-0-dbg                    	       3        0        3        0        0
34652 libluabind0.9.1d1                  	       3        0        0        0        3
34653 liblur3                            	       3        0        0        0        3
34654 liblwgeom-2.3-0                    	       3        0        0        0        3
34655 liblwpx-paranoidagent-perl         	       3        0        3        0        0
34656 liblwres9                          	       3        0        0        0        3
34657 liblwt-ocaml                       	       3        0        3        0        0
34658 libmac-widgets-java                	       3        0        0        0        3
34659 libmad-ocaml                       	       3        0        3        0        0
34660 libmad-ocaml-dev                   	       3        0        3        0        0
34661 libmagick++-6.q16-5                	       3        0        0        0        3
34662 libmailutils-dev                   	       3        0        3        0        0
34663 libmapcache1                       	       3        1        2        0        0
34664 libmarble-dev                      	       3        0        3        0        0
34665 libmarblewidget-qt5-25             	       3        0        0        0        3
34666 libmarc-charset-perl               	       3        0        3        0        0
34667 libmarc-record-perl                	       3        0        3        0        0
34668 libmarc-xml-perl                   	       3        0        3        0        0
34669 libmarco-private0                  	       3        0        0        0        3
34670 libmariadbclient-dev-compat        	       3        0        0        0        3
34671 libmariadbd18                      	       3        0        0        0        3
34672 libmate-panel-applet-doc           	       3        0        0        0        3
34673 libmatemixer-doc                   	       3        0        0        0        3
34674 libmath-prime-util-gmp-perl        	       3        0        0        0        3
34675 libmath-prime-util-perl            	       3        0        3        0        0
34676 libmath-vecstat-perl               	       3        0        3        0        0
34677 libmatio4                          	       3        0        0        0        3
34678 libmatroska0                       	       3        0        0        0        3
34679 libmaven-dependency-analyzer-java  	       3        0        0        0        3
34680 libmaven-dependency-plugin-java    	       3        0        0        0        3
34681 libmaven-invoker-java              	       3        0        0        0        3
34682 libmaven-javadoc-plugin-java       	       3        0        0        0        3
34683 libmaven-resolver-transport-http-java	       3        0        0        0        3
34684 libmcpp-dev                        	       3        0        3        0        0
34685 libmdb3t64                         	       3        0        0        0        3
34686 libmdbsql3t64                      	       3        0        0        0        3
34687 libmdc2                            	       3        0        0        0        3
34688 libmed-tools                       	       3        0        1        2        0
34689 libmediainfo-dev                   	       3        0        3        0        0
34690 libmeep30                          	       3        0        0        0        3
34691 libmemcachedutil2t64               	       3        0        1        0        2
34692 libmenlo-legacy-perl               	       3        0        3        0        0
34693 libmenlo-perl                      	       3        0        3        0        0
34694 libmessage-filters1d               	       3        0        0        0        3
34695 libmethod-autoload-perl            	       3        0        3        0        0
34696 libmetro-policy-java               	       3        0        0        0        3
34697 libmgl-fltk8                       	       3        0        0        0        3
34698 libmigemo1                         	       3        1        0        0        2
34699 libmikmod2-dev                     	       3        0        3        0        0
34700 libmime-encwords-perl              	       3        0        3        0        0
34701 libmime-lite-html-perl             	       3        0        3        0        0
34702 libmimepull-java                   	       3        0        0        0        3
34703 libminc2-5.2.0                     	       3        0        0        0        3
34704 libminilector38u-ccid-bit4id       	       3        0        3        0        0
34705 libmjpegtools-dev                  	       3        0        3        0        0
34706 libmjpegutils-2.0-0                	       3        0        0        0        3
34707 libmkv0                            	       3        0        0        0        3
34708 libmldbm-sync-perl                 	       3        1        2        0        0
34709 libmlpost-ocaml-dev                	       3        0        3        0        0
34710 libmlpost-ocaml-doc                	       3        0        0        0        3
34711 libmobi0                           	       3        0        0        0        3
34712 libmockito-java                    	       3        0        0        0        3
34713 libmodpbase64-dev                  	       3        0        3        0        0
34714 libmodule-info-perl                	       3        0        3        0        0
34715 libmodule-manifest-perl            	       3        0        3        0        0
34716 libmongodb-perl                    	       3        0        3        0        0
34717 libmono-accessibility2.0-cil       	       3        0        3        0        0
34718 libmono-addins-cil-dev             	       3        0        3        0        0
34719 libmono-addins-msbuild0.2-cil      	       3        0        3        0        0
34720 libmono-c5-1.1-cil                 	       3        0        3        0        0
34721 libmono-cairo2.0-cil               	       3        0        3        0        0
34722 libmono-corlib2.0-cil              	       3        0        3        0        0
34723 libmono-cscompmgd8.0-cil           	       3        0        3        0        0
34724 libmono-data-tds2.0-cil            	       3        0        3        0        0
34725 libmono-debugger-soft2.0a-cil      	       3        0        3        0        0
34726 libmono-entityframework-sqlserver6.0-cil	       3        0        3        0        0
34727 libmono-entityframework6.0-cil     	       3        0        3        0        0
34728 libmono-i18n-west2.0-cil           	       3        0        3        0        0
34729 libmono-i18n2.0-cil                	       3        0        3        0        0
34730 libmono-ldap2.0-cil                	       3        0        3        0        0
34731 libmono-management2.0-cil          	       3        0        3        0        0
34732 libmono-messaging-rabbitmq2.0-cil  	       3        0        3        0        0
34733 libmono-messaging2.0-cil           	       3        0        3        0        0
34734 libmono-microsoft-build2.0-cil     	       3        0        3        0        0
34735 libmono-microsoft8.0-cil           	       3        0        3        0        0
34736 libmono-npgsql2.0-cil              	       3        0        3        0        0
34737 libmono-oracle2.0-cil              	       3        0        3        0        0
34738 libmono-peapi2.0a-cil              	       3        0        3        0        0
34739 libmono-posix2.0-cil               	       3        0        3        0        0
34740 libmono-rabbitmq2.0-cil            	       3        0        3        0        0
34741 libmono-relaxng2.0-cil             	       3        0        3        0        0
34742 libmono-security2.0-cil            	       3        0        3        0        0
34743 libmono-sharpzip2.6-cil            	       3        0        3        0        0
34744 libmono-sharpzip2.84-cil           	       3        0        3        0        0
34745 libmono-simd2.0-cil                	       3        0        3        0        0
34746 libmono-sqlite2.0-cil              	       3        0        3        0        0
34747 libmono-system-data-linq2.0-cil    	       3        0        3        0        0
34748 libmono-system-data-services2.0-cil	       3        0        3        0        0
34749 libmono-system-data2.0-cil         	       3        0        3        0        0
34750 libmono-system-json2.0-cil         	       3        0        3        0        0
34751 libmono-system-ldap2.0-cil         	       3        0        3        0        0
34752 libmono-system-messaging2.0-cil    	       3        0        3        0        0
34753 libmono-system-net2.0-cil          	       3        0        3        0        0
34754 libmono-system-runtime-interopservices-runtimeinformation4.0-cil	       3        0        3        0        0
34755 libmono-system-runtime2.0-cil      	       3        0        3        0        0
34756 libmono-system-web-mvc1.0-cil      	       3        0        3        0        0
34757 libmono-system-web-mvc2.0-cil      	       3        0        3        0        0
34758 libmono-system-web2.0-cil          	       3        0        3        0        0
34759 libmono-system2.0-cil              	       3        0        3        0        0
34760 libmono-tasklets2.0-cil            	       3        0        3        0        0
34761 libmono-wcf3.0a-cil                	       3        0        3        0        0
34762 libmono-webbrowser2.0-cil          	       3        0        3        0        0
34763 libmono-windowsbase3.0-cil         	       3        0        3        0        0
34764 libmono-winforms2.0-cil            	       3        0        3        0        0
34765 libmono-xbuild-tasks2.0-cil        	       3        0        3        0        0
34766 libmono2.0-cil                     	       3        0        3        0        0
34767 libmonoboehm-2.0-dev               	       3        0        3        0        0
34768 libmoosex-role-withoverloading-perl	       3        0        0        0        3
34769 libmoosex-traits-perl              	       3        0        3        0        0
34770 libmoosex-traits-pluggable-perl    	       3        1        2        0        0
34771 libmoosex-types-path-class-perl    	       3        0        3        0        0
34772 libmoosex-types-structured-perl    	       3        0        3        0        0
34773 libmoox-typetiny-perl              	       3        0        3        0        0
34774 libmopac7-1gf                      	       3        0        0        0        3
34775 libmozjs-78-dev                    	       3        0        3        0        0
34776 libmp4v2-dev                       	       3        0        2        1        0
34777 libmpack0                          	       3        0        0        0        3
34778 libmpeg2encpp-2.0-0                	       3        0        0        0        3
34779 libmpich1.0gf                      	       3        0        3        0        0
34780 libmplex2-2.0-0                    	       3        0        0        0        3
34781 libmsgcat-perl                     	       3        0        0        0        3
34782 libmsgpack-cxx-dev                 	       3        0        3        0        0
34783 libmu-dbm9                         	       3        0        0        0        3
34784 libmusicbrainz4c2a                 	       3        0        0        0        3
34785 libmusicbrainz5-0                  	       3        0        0        0        3
34786 libmusicbrainz5-dev                	       3        0        3        0        0
34787 libmysql++3v5                      	       3        0        0        0        3
34788 libmysqlclient15off                	       3        1        0        0        2
34789 libmysqlcppconn-dev                	       3        0        3        0        0
34790 libmyth-33                         	       3        1        0        0        2
34791 libmythexiv2-0.28-33               	       3        1        0        0        2
34792 libnautilus-extension-dev          	       3        0        3        0        0
34793 libnauty2-dev                      	       3        0        3        0        0
34794 libnb-javaparser-java              	       3        0        0        0        3
34795 libnb-platform-devel-java          	       3        0        0        0        3
34796 libndpi2.6                         	       3        1        1        0        1
34797 libneon27-gnutls-dev               	       3        0        3        0        0
34798 libnet-bluetooth-perl              	       3        0        0        0        3
34799 libnet-dns-fingerprint-perl        	       3        0        3        0        0
34800 libnet-dropbox-api-perl            	       3        0        3        0        0
34801 libnet-ifconfig-wrapper-perl       	       3        0        3        0        0
34802 libnet-inet6glue-perl              	       3        1        2        0        0
34803 libnet-nbname-perl                 	       3        0        3        0        0
34804 libnet-pcap-perl                   	       3        0        3        0        0
34805 libnet-server-ss-prefork-perl      	       3        0        3        0        0
34806 libnet-z3950-simpleserver-perl     	       3        0        0        0        3
34807 libnet-z3950-zoom-perl             	       3        0        2        0        1
34808 libnetcdf-c++4-dev                 	       3        0        2        1        0
34809 libnetcdff-dev                     	       3        0        3        0        0
34810 libnetty-reactive-streams-java     	       3        0        0        0        3
34811 libnetx-java                       	       3        0        0        0        3
34812 libnewmat10ldbl                    	       3        0        0        0        3
34813 libnfft3-double2                   	       3        0        0        0        3
34814 libnftables-dev                    	       3        0        3        0        0
34815 libngraph0                         	       3        0        0        0        3
34816 libnice-dev                        	       3        0        3        0        0
34817 libnifti-dev                       	       3        0        0        0        3
34818 libnifti2-dev                      	       3        0        3        0        0
34819 libnifticdf-dev                    	       3        0        3        0        0
34820 libniftiio-dev                     	       3        0        3        0        0
34821 libnl-idiag-3-dev                  	       3        0        0        0        3
34822 libnl-utils                        	       3        0        3        0        0
34823 libnlopt-cxx-dev                   	       3        0        0        0        3
34824 libnotcurses-core-dev              	       3        0        3        0        0
34825 libnotcurses-dev                   	       3        0        0        0        3
34826 libnova-0.14-0                     	       3        0        0        0        3
34827 libnpupnp9                         	       3        1        1        0        1
34828 libnss-tls                         	       3        1        1        0        1
34829 libntfs-3g75                       	       3        0        0        0        3
34830 libnuget-core-cil                  	       3        0        3        0        0
34831 libnvidia-legacy-340xx-compiler    	       3        0        0        0        3
34832 libnvidia-legacy-390xx-cuda1-i386  	       3        0        0        0        3
34833 libnvpair1linux                    	       3        0        0        0        3
34834 libnvtt-dev                        	       3        0        3        0        0
34835 libobasis24.8-en-gb                	       3        0        0        0        3
34836 libobasis24.8-en-gb-help           	       3        0        0        0        3
34837 libobasis6.3-base                  	       3        0        0        0        3
34838 libobasis6.3-calc                  	       3        0        0        0        3
34839 libobasis6.3-core                  	       3        0        0        0        3
34840 libobasis6.3-draw                  	       3        0        0        0        3
34841 libobasis6.3-en-us                 	       3        0        0        0        3
34842 libobasis6.3-extension-pdf-import  	       3        0        0        0        3
34843 libobasis6.3-graphicfilter         	       3        0        0        0        3
34844 libobasis6.3-images                	       3        0        0        0        3
34845 libobasis6.3-impress               	       3        0        0        0        3
34846 libobasis6.3-math                  	       3        0        0        0        3
34847 libobasis6.3-ogltrans              	       3        0        0        0        3
34848 libobasis6.3-ooolinguistic         	       3        0        0        0        3
34849 libobasis6.3-writer                	       3        0        0        0        3
34850 libobasis6.3-xsltfilter            	       3        0        0        0        3
34851 libobasis7.1-ooofonts              	       3        0        0        0        3
34852 libobjc-9-dev                      	       3        0        0        0        3
34853 libocct-data-exchange-7.3          	       3        0        0        0        3
34854 libocct-foundation-7.3             	       3        0        0        0        3
34855 libocct-modeling-algorithms-7.3    	       3        0        0        0        3
34856 libocct-modeling-data-7.3          	       3        0        0        0        3
34857 libocct-ocaf-7.3                   	       3        0        0        0        3
34858 libocct-visualization-7.3          	       3        0        0        0        3
34859 liboce-foundation8                 	       3        0        0        0        3
34860 liboce-modeling8                   	       3        0        0        0        3
34861 liboce-ocaf-dev                    	       3        0        0        0        3
34862 liboce-ocaf-lite-dev               	       3        0        0        0        3
34863 liboce-visualization-dev           	       3        0        3        0        0
34864 libocplib-endian-ocaml             	       3        0        3        0        0
34865 libodin-dev                        	       3        0        3        0        0
34866 liboggz2-dev                       	       3        0        3        0        0
34867 liboglappth2                       	       3        0        0        0        3
34868 libois-dev                         	       3        0        3        0        0
34869 libokularcore5                     	       3        1        0        0        2
34870 libomp5                            	       3        0        0        0        3
34871 libopenbabel4v5                    	       3        0        3        0        0
34872 libopenbabel5                      	       3        0        3        0        0
34873 libopencl-clang11                  	       3        0        0        0        3
34874 libopenconnect-dev                 	       3        0        3        0        0
34875 libopendbx1-dev                    	       3        0        3        0        0
34876 libopendbx1t64                     	       3        3        0        0        0
34877 libopenh264-4                      	       3        0        0        0        3
34878 libopenipmi0t64                    	       3        0        0        0        3
34879 libopenjp2-tools                   	       3        0        3        0        0
34880 libopenjpeg-dev                    	       3        0        3        0        0
34881 libopenlibm2                       	       3        0        0        0        3
34882 libopenni-dev                      	       3        0        3        0        0
34883 libopenraw7t64                     	       3        0        0        0        3
34884 libopenrawgnome7t64                	       3        0        0        0        3
34885 libopentk1.1-cil                   	       3        0        3        0        0
34886 libopenturns0.21                   	       3        0        0        0        3
34887 libopenxr-dev                      	       3        0        3        0        0
34888 libortp-dev                        	       3        0        3        0        0
34889 libosmgpsmap-1.0-dev               	       3        0        3        0        0
34890 libosmo-fl2k0                      	       3        0        0        0        3
34891 libosmocoding0                     	       3        0        0        0        3
34892 libossim1                          	       3        0        0        0        3
34893 libotbapplicationengine-8.1-1      	       3        0        0        0        3
34894 libotbcommon-8.1-1                 	       3        0        0        0        3
34895 libotbextendedfilename-8.1-1       	       3        0        0        0        3
34896 libotbgdaladapters-8.1-1           	       3        0        0        0        3
34897 libotbimagebase-8.1-1              	       3        0        0        0        3
34898 libotbimageio-8.1-1                	       3        0        0        0        3
34899 libotbiobsq-8.1-1                  	       3        0        0        0        3
34900 libotbiogdal-8.1-1                 	       3        0        0        0        3
34901 libotbiolum-8.1-1                  	       3        0        0        0        3
34902 libotbiomstar-8.1-1                	       3        0        0        0        3
34903 libotbioonera-8.1-1                	       3        0        0        0        3
34904 libotbiorad-8.1-1                  	       3        0        0        0        3
34905 libotbmetadata-8.1-1               	       3        0        0        0        3
34906 libotbstreaming-8.1-1              	       3        0        0        0        3
34907 libotbtestkernel-8.1-1             	       3        0        0        0        3
34908 libotbtransform-8.1-1              	       3        0        0        0        3
34909 libotbvectordatabase-8.1-1         	       3        0        0        0        3
34910 libotbvectordataio-8.1-1           	       3        0        0        0        3
34911 libp11-2                           	       3        0        0        0        3
34912 libp11-3                           	       3        0        0        0        3
34913 libp11-dev                         	       3        0        3        0        0
34914 libpaho-mqtt1.3                    	       3        0        0        0        3
34915 libpam-blue                        	       3        0        3        0        0
34916 libpam-pkcs11                      	       3        0        3        0        0
34917 libpam-pwdfile                     	       3        0        0        0        3
34918 libpam-shield                      	       3        2        1        0        0
34919 libpam-ssh-agent-auth              	       3        1        0        0        2
34920 libpandoc-elements-perl            	       3        0        3        0        0
34921 libpandoc-wrapper-perl             	       3        0        3        0        0
34922 libpano13-3t64                     	       3        0        0        0        3
34923 libpaq0                            	       3        0        0        0        3
34924 libparpack2-dev                    	       3        0        0        0        3
34925 libparse-method-signatures-perl    	       3        0        3        0        0
34926 libparu1                           	       3        0        0        0        3
34927 libpbkdf2-tiny-perl                	       3        0        3        0        0
34928 libpcaudio-dev                     	       3        0        3        0        0
34929 libpcre-ocaml-dev                  	       3        0        3        0        0
34930 libpcre2-posix0                    	       3        1        0        0        2
34931 libpdal-util7                      	       3        0        0        0        3
34932 libpdf-fromhtml-perl               	       3        0        3        0        0
34933 libpdf-reuse-barcode-perl          	       3        0        3        0        0
34934 libpdf-reuse-perl                  	       3        0        3        0        0
34935 libpdf-table-perl                  	       3        0        3        0        0
34936 libpdf-writer-perl                 	       3        0        3        0        0
34937 libpdfbox-java-doc                 	       3        0        0        0        3
34938 libperconaserverclient20           	       3        0        0        0        3
34939 libperl6-export-perl               	       3        0        3        0        0
34940 libperl6-slurp-perl                	       3        0        3        0        0
34941 libperldoc-search-perl             	       3        0        3        0        0
34942 libpfs2                            	       3        0        0        0        3
34943 libphonenumber6                    	       3        0        0        0        3
34944 libphonon4qt6experimental4t64      	       3        0        0        0        3
34945 libpicocv                          	       3        0        0        0        3
34946 libpipeline-dev                    	       3        0        3        0        0
34947 libpjmedia-videodev2               	       3        0        0        0        3
34948 libpjsip-simple2                   	       3        0        0        0        3
34949 libpjsip-ua2                       	       3        0        0        0        3
34950 libpjsip2                          	       3        0        0        0        3
34951 libpjsua2                          	       3        0        0        0        3
34952 libpkcs11-helper1-dev              	       3        0        3        0        0
34953 libplack-middleware-methodoverride-perl	       3        1        2        0        0
34954 libplack-middleware-removeredundantbody-perl	       3        1        2        0        0
34955 libplack-test-externalserver-perl  	       3        1        2        0        0
34956 libplexus-digest-java              	       3        0        0        0        3
34957 libplexus-utils2-java-doc          	       3        0        0        0        3
34958 libplplot-dev                      	       3        0        3        0        0
34959 libplplot-ocaml                    	       3        0        3        0        0
34960 libplplotfortran0                  	       3        0        0        0        3
34961 libplymouth-dev                    	       3        0        3        0        0
34962 libpocodata70                      	       3        0        0        0        3
34963 libpocodatamysql70                 	       3        0        0        0        3
34964 libpocodataodbc70                  	       3        0        0        0        3
34965 libpocodatapostgresql70            	       3        0        0        0        3
34966 libpocodatasqlite70                	       3        0        0        0        3
34967 libpocoencodings70                 	       3        0        0        0        3
34968 libpocojwt70                       	       3        0        0        0        3
34969 libpocomongodb70                   	       3        0        0        0        3
34970 libpocoredis70                     	       3        0        0        0        3
34971 libpocozip70                       	       3        0        0        0        3
34972 libpod-abstract-perl               	       3        1        2        0        0
34973 libpod-pandoc-perl                 	       3        0        3        0        0
34974 libpod-simple-perl                 	       3        0        3        0        0
34975 libpod-strip-perl                  	       3        1        2        0        0
34976 libpod-thread-perl                 	       3        0        3        0        0
34977 libpolkit-qt5-1-dev                	       3        0        3        0        0
34978 libpolymake-dev-common             	       3        0        3        0        0
34979 libpoppler-cpp0                    	       3        0        0        0        3
34980 libportal-dev                      	       3        0        3        0        0
34981 libportal-qt5-1                    	       3        0        0        0        3
34982 libportaudio-dev                   	       3        0        3        0        0
34983 libposix-atfork-perl               	       3        0        2        0        1
34984 libpotrace-dev                     	       3        0        3        0        0
34985 libppl13                           	       3        0        0        0        3
34986 libprinterconf0c2a                 	       3        0        0        0        3
34987 libpskc-dev                        	       3        0        3        0        0
34988 libpsospa                          	       3        0        3        0        0
34989 libpspell-dev                      	       3        1        2        0        0
34990 libpyside2-5.11                    	       3        0        3        0        0
34991 libpyside6-py3-6.8                 	       3        0        0        3        0
34992 libpython3.2                       	       3        0        3        0        0
34993 libpython3.4-dev                   	       3        0        3        0        0
34994 libpython3.5-dbg                   	       3        0        3        0        0
34995 libpython3.9-testsuite             	       3        0        3        0        0
34996 libqbscore1.12                     	       3        0        0        0        3
34997 libqbscore1.7                      	       3        0        0        0        3
34998 libqbsqtprofilesetup1.12           	       3        0        0        0        3
34999 libqbsqtprofilesetup1.7            	       3        0        0        0        3
35000 libqbsscriptengine1.24             	       3        0        0        0        3
35001 libqcustomplot-dev                 	       3        0        3        0        0
35002 libqcustomplot2.1-qt6              	       3        0        0        0        3
35003 libqdbm-dev                        	       3        0        3        0        0
35004 libqhull-r7                        	       3        0        0        0        3
35005 libqhull6                          	       3        0        0        0        3
35006 libqoauth1                         	       3        0        0        0        3
35007 libqpx0t64                         	       3        0        0        0        3
35008 libqrupdate-dev                    	       3        0        0        0        3
35009 libqscintilla2-doc                 	       3        0        3        0        0
35010 libqsopt-ex-dev                    	       3        0        3        0        0
35011 libqt3-compat-headers              	       3        0        3        0        0
35012 libqt4-declarative-gestures        	       3        0        0        0        3
35013 libqt4-declarative-particles       	       3        0        0        0        3
35014 libqt4-webkit                      	       3        0        0        0        3
35015 libqt5-ukui-style-dev              	       3        0        3        0        0
35016 libqt5contacts5a                   	       3        0        0        0        3
35017 libqt5organizer5a                  	       3        0        0        0        3
35018 libqt5quick5-gles                  	       3        0        0        0        3
35019 libqt5scxml5-private-dev           	       3        0        3        0        0
35020 libqt5sql5-tds                     	       3        0        0        0        3
35021 libqt63dextras6                    	       3        0        0        0        3
35022 libqt63dquick6                     	       3        0        0        0        3
35023 libqt63dquickextras6               	       3        0        0        0        3
35024 libqt6datavisualization6           	       3        0        0        0        3
35025 libqt6nfc6                         	       3        0        0        0        3
35026 libqt6openglwidgets6t64            	       3        0        0        0        3
35027 libqt6pas6                         	       3        0        0        0        3
35028 libqt6printsupport6t64             	       3        0        0        0        3
35029 libqt6quick3dphysics6              	       3        0        0        0        3
35030 libqt6scxml6                       	       3        0        0        0        3
35031 libqt6serialbus6                   	       3        0        0        0        3
35032 libqt6serialbus6-bin               	       3        0        1        2        0
35033 libqt6sql6-odbc                    	       3        0        0        0        3
35034 libqt6webviewquick6                	       3        0        0        0        3
35035 libquazip1-qt6-dev                 	       3        0        2        1        0
35036 libquicktime-dev                   	       3        0        3        0        0
35037 libqwt6                            	       3        0        0        0        3
35038 libqxmpp3                          	       3        0        0        0        3
35039 libradare2-1.1                     	       3        0        0        0        3
35040 librange-v3-dev                    	       3        0        3        0        0
35041 librange-v3-doc                    	       3        0        0        0        3
35042 libraspell-ruby1.9.1               	       3        0        0        0        3
35043 libraw1394-8                       	       3        0        0        0        3
35044 librdf-query-perl                  	       3        0        3        0        0
35045 librdf-trin3-perl                  	       3        0        3        0        0
35046 libreact-ocaml                     	       3        0        3        0        0
35047 libreactive-streams-java           	       3        0        0        0        3
35048 libreadline4                       	       3        0        0        0        3
35049 libreiserfscore0                   	       3        0        0        0        3
35050 libreoffice-calc-nogui             	       3        0        2        1        0
35051 libreoffice-dev                    	       3        1        2        0        0
35052 libreoffice-grammalecte            	       3        0        3        0        0
35053 libreoffice-help-et                	       3        0        0        0        3
35054 libreoffice-help-eu                	       3        0        0        0        3
35055 libreoffice-help-sk                	       3        0        0        0        3
35056 libreoffice-help-zh-tw             	       3        0        0        0        3
35057 libreoffice-l10n-dz                	       3        0        3        0        0
35058 libreoffice-l10n-gl                	       3        0        3        0        0
35059 libreoffice-l10n-hi                	       3        0        3        0        0
35060 libreoffice-l10n-hr                	       3        0        3        0        0
35061 libreoffice-l10n-id                	       3        0        3        0        0
35062 libreoffice-l10n-km                	       3        0        3        0        0
35063 libreoffice-l10n-sl                	       3        0        3        0        0
35064 libreoffice-l10n-vi                	       3        0        3        0        0
35065 libreoffice-lightproof-pt-br       	       3        0        3        0        0
35066 libreoffice-mysql-connector        	       3        0        0        0        3
35067 libreoffice-systray                	       3        0        3        0        0
35068 libreoffice-writer-nogui           	       3        0        2        1        0
35069 libreoffice24.2                    	       3        0        0        0        3
35070 libreoffice24.2-base               	       3        0        0        0        3
35071 libreoffice24.2-calc               	       3        0        0        0        3
35072 libreoffice24.2-dict-en            	       3        0        0        0        3
35073 libreoffice24.2-dict-es            	       3        0        0        0        3
35074 libreoffice24.2-dict-fr            	       3        0        0        0        3
35075 libreoffice24.2-draw               	       3        0        0        0        3
35076 libreoffice24.2-en-us              	       3        0        0        0        3
35077 libreoffice24.2-impress            	       3        0        0        0        3
35078 libreoffice24.2-math               	       3        0        0        0        3
35079 libreoffice24.2-writer             	       3        0        0        0        3
35080 libreoffice24.8-en-gb              	       3        0        0        0        3
35081 libreoffice6.0-debian-menus        	       3        0        3        0        0
35082 libreoffice6.0-ure                 	       3        0        0        0        3
35083 libreoffice6.3                     	       3        0        0        0        3
35084 libreoffice6.3-base                	       3        0        0        0        3
35085 libreoffice6.3-calc                	       3        0        0        0        3
35086 libreoffice6.3-debian-menus        	       3        0        3        0        0
35087 libreoffice6.3-dict-en             	       3        0        0        0        3
35088 libreoffice6.3-draw                	       3        0        0        0        3
35089 libreoffice6.3-en-us               	       3        0        0        0        3
35090 libreoffice6.3-impress             	       3        0        0        0        3
35091 libreoffice6.3-math                	       3        0        0        0        3
35092 libreoffice6.3-ure                 	       3        0        0        0        3
35093 libreoffice6.3-writer              	       3        0        0        0        3
35094 libreoffice7.6                     	       3        0        0        0        3
35095 libreoffice7.6-base                	       3        0        0        0        3
35096 libreoffice7.6-calc                	       3        0        0        0        3
35097 libreoffice7.6-dict-en             	       3        0        0        0        3
35098 libreoffice7.6-dict-es             	       3        0        0        0        3
35099 libreoffice7.6-dict-fr             	       3        0        0        0        3
35100 libreoffice7.6-draw                	       3        0        0        0        3
35101 libreoffice7.6-en-us               	       3        0        0        0        3
35102 libreoffice7.6-impress             	       3        0        0        0        3
35103 libreoffice7.6-math                	       3        0        0        0        3
35104 libreoffice7.6-writer              	       3        0        0        0        3
35105 librep-dev                         	       3        0        3        0        0
35106 librepo0                           	       3        0        0        0        3
35107 libretro-gtk-1-dev                 	       3        0        3        0        0
35108 librhvoice-audio2                  	       3        0        1        0        2
35109 librhvoice-core7                   	       3        0        1        0        2
35110 librime-data-bopomofo              	       3        0        0        0        3
35111 librime-plugin-charcode            	       3        0        1        0        2
35112 librime-plugin-lua                 	       3        0        1        0        2
35113 librime-plugin-octagram            	       3        0        1        0        2
35114 librnd4-cloud                      	       3        0        2        1        0
35115 librnd4-hid-gtk4-gl                	       3        0        2        1        0
35116 librnd4-lib-gl                     	       3        0        2        1        0
35117 librnd4-lib-gui                    	       3        0        2        1        0
35118 librnd4-pixmap                     	       3        0        2        1        0
35119 librnd4t64                         	       3        0        0        0        3
35120 librocm-smi-dev                    	       3        0        3        0        0
35121 libros-rosgraph-msgs-dev           	       3        0        3        0        0
35122 librosconsole-dev                  	       3        0        3        0        0
35123 libroscpp-core-dev                 	       3        0        3        0        0
35124 libroscpp-dev                      	       3        0        3        0        0
35125 libroscpp-msg-dev                  	       3        0        3        0        0
35126 libroscpp4d                        	       3        0        0        0        3
35127 librsl1                            	       3        0        0        0        3
35128 librsskit0d                        	       3        0        3        0        0
35129 librsvg2-doc                       	       3        0        0        0        3
35130 librtas2                           	       3        0        0        0        3
35131 librtasevent2                      	       3        0        0        0        3
35132 librte-pcapng23                    	       3        0        0        0        3
35133 librtklib1                         	       3        0        0        0        3
35134 librtmidi3                         	       3        0        0        0        3
35135 librust-dlib-dev                   	       3        0        0        0        3
35136 librust-freetype-dev               	       3        0        3        0        0
35137 librust-freetype-sys-dev           	       3        0        0        0        3
35138 librust-itertools-dev              	       3        0        0        0        3
35139 librust-pangocairo-dev             	       3        0        0        0        3
35140 librust-pangocairo-sys-dev         	       3        0        0        0        3
35141 librust-rustc-version-dev          	       3        0        0        0        3
35142 librust-ryu-dev                    	       3        0        0        0        3
35143 librust-semver-dev                 	       3        0        0        0        3
35144 librust-serde-json-dev             	       3        0        0        0        3
35145 librygel-renderer-2.4-2            	       3        0        0        0        3
35146 librygel-server-2.4-2              	       3        0        0        0        3
35147 libs3dw2                           	       3        0        0        0        3
35148 libs6-2.10                         	       3        0        0        0        3
35149 libsaaj-ri-java                    	       3        0        0        0        3
35150 libsasl2-modules-gssapi-heimdal    	       3        1        1        0        1
35151 libsbuf6                           	       3        0        0        0        3
35152 libsc-data                         	       3        0        0        0        3
35153 libsc7v5                           	       3        0        0        0        3
35154 libscalapack-openmpi2.1            	       3        0        0        0        3
35155 libscalar-string-perl              	       3        0        0        0        3
35156 libsdl-gfx1.2-4                    	       3        0        0        0        3
35157 libsdl-ocaml                       	       3        0        3        0        0
35158 libsdl-ocaml-dev                   	       3        0        3        0        0
35159 libsdo-api-java                    	       3        0        0        0        3
35160 libsfcgal-dev                      	       3        0        3        0        0
35161 libshell-posix-select-perl         	       3        0        3        0        0
35162 libshiboken6-py3-6.8               	       3        0        0        3        0
35163 libshishi-dev                      	       3        0        3        0        0
35164 libsidutils-dev                    	       3        0        3        0        0
35165 libsigrok4t64                      	       3        1        2        0        0
35166 libsigrokcxx4t64                   	       3        0        0        0        3
35167 libsimavr-dev                      	       3        0        3        0        0
35168 libsimdjson14                      	       3        0        0        0        3
35169 libsis-base-java                   	       3        0        0        0        3
35170 libsis-base-jni                    	       3        0        3        0        0
35171 libsis-jhdf5-java                  	       3        0        0        0        3
35172 libsis-jhdf5-jni                   	       3        0        3        0        0
35173 libskarnet2.7                      	       3        0        0        0        3
35174 libslp-dev                         	       3        0        3        0        0
35175 libslurm36                         	       3        0        0        0        3
35176 libslvs1                           	       3        0        0        0        3
35177 libsmbios2                         	       3        0        0        0        3
35178 libsmokebase3                      	       3        0        0        0        3
35179 libsmokekdecore4-3                 	       3        0        0        0        3
35180 libsmokekdeui4-3                   	       3        0        0        0        3
35181 libsmokekfile3                     	       3        0        0        0        3
35182 libsmokekhtml3                     	       3        0        0        0        3
35183 libsmokekio3                       	       3        0        0        0        3
35184 libsmokeknewstuff2-3               	       3        0        0        0        3
35185 libsmokeknewstuff3-3               	       3        0        0        0        3
35186 libsmokekparts3                    	       3        0        0        0        3
35187 libsmokektexteditor3               	       3        0        0        0        3
35188 libsmokekutils3                    	       3        0        0        0        3
35189 libsmokeplasma3                    	       3        0        0        0        3
35190 libsmokeqtscript4-3                	       3        0        0        0        3
35191 libsmokeqttest4-3                  	       3        0        0        0        3
35192 libsmokeqtuitools4-3               	       3        0        0        0        3
35193 libsmokeqtwebkit4-3                	       3        0        0        0        3
35194 libsmokesolid3                     	       3        0        0        0        3
35195 libsmoketqt1-trinity               	       3        0        0        0        3
35196 libsnacc-dev                       	       3        0        3        0        0
35197 libsnapd-glib-dev                  	       3        0        3        0        0
35198 libsnapd-qt-dev                    	       3        0        3        0        0
35199 libsnapper7t64                     	       3        0        0        0        3
35200 libsnappy1                         	       3        0        0        0        3
35201 libsnmpkit2c2a                     	       3        0        0        0        3
35202 libsocks4                          	       3        0        3        0        0
35203 libsopt3.0                         	       3        0        0        0        3
35204 libsoundio-dev                     	       3        0        3        0        0
35205 libsoup-gnome2.4-dev               	       3        0        3        0        0
35206 libspa-bluetooth                   	       3        0        0        0        3
35207 libspex3                           	       3        0        0        0        3
35208 libspf2-dev                        	       3        1        2        0        0
35209 libsphere-dev                      	       3        0        3        0        0
35210 libsphere0d                        	       3        0        0        0        3
35211 libspiro-dev                       	       3        0        3        0        0
35212 libsprng2                          	       3        0        0        0        3
35213 libsrecord0t64                     	       3        0        0        0        3
35214 libstatistics-basic-perl           	       3        0        3        0        0
35215 libstd-msgs-dev                    	       3        0        3        0        0
35216 libstd-rust-1.82                   	       3        0        0        0        3
35217 libstd-rust-1.83                   	       3        0        0        0        3
35218 libstd-rust-dev-wasm32             	       3        0        3        0        0
35219 libstd-rust-mozilla-dev            	       3        0        0        0        3
35220 libstdc++-14-dev-arm64-cross       	       3        0        3        0        0
35221 libstdc++-8-dev-armel-cross        	       3        0        3        0        0
35222 libstdc++6-mips-cross              	       3        0        0        0        3
35223 libstdc++6-mipsel-cross            	       3        0        0        0        3
35224 libstdc++6-sparc64-cross           	       3        0        0        0        3
35225 libstemmer-dev                     	       3        0        3        0        0
35226 libstfl-ruby1.9.1                  	       3        0        0        0        3
35227 libstring-tokenizer-perl           	       3        0        3        0        0
35228 libsuitesparse-mongoose3           	       3        0        0        0        3
35229 libsuperlu-dist7                   	       3        0        0        0        3
35230 libsuperlu7                        	       3        0        0        0        3
35231 libsvga1-dev                       	       3        0        3        0        0
35232 libsvnclientadapter-java           	       3        0        0        0        3
35233 libswt-glx-gtk-3-jni               	       3        0        3        0        0
35234 libswt-webkit-gtk-3-jni            	       3        0        3        0        0
35235 libsyntax-keyword-junction-perl    	       3        0        3        0        0
35236 libsyntax-perl                     	       3        0        3        0        0
35237 libt3widget2                       	       3        0        0        0        3
35238 libtaglib-cil-dev                  	       3        0        0        0        3
35239 libtaglib-ocaml                    	       3        0        2        0        1
35240 libtaglib-ocaml-dev                	       3        0        3        0        0
35241 libtamuanova-0.2                   	       3        0        0        0        3
35242 libtasn1-3-dev                     	       3        0        1        0        2
35243 libtbb-doc                         	       3        0        3        0        0
35244 libtcl8.4                          	       3        0        0        0        3
35245 libtcl9.0                          	       3        0        0        0        3
35246 libtelepathy-glib-dev              	       3        0        3        0        0
35247 libtemplate-glib-1.0-0             	       3        0        0        0        3
35248 libtemplate-glib-common            	       3        0        0        0        3
35249 libtemplate-plugin-gettext-perl    	       3        0        3        0        0
35250 libtepl-6-1                        	       3        0        0        0        3
35251 libtermkey-dev                     	       3        0        3        0        0
35252 libtest-assertions-perl            	       3        0        3        0        0
35253 libtest-leaktrace-perl             	       3        0        0        0        3
35254 libtest-mocktime-perl              	       3        0        3        0        0
35255 libtest-needs-perl                 	       3        0        3        0        0
35256 libtest-strict-perl                	       3        0        3        0        0
35257 libtest-warnings-perl              	       3        0        3        0        0
35258 libtexlua-dev                      	       3        0        2        1        0
35259 libtext-lorem-perl                 	       3        0        3        0        0
35260 libtext-pdf-perl                   	       3        0        3        0        0
35261 libtextcat-data-utf8               	       3        0        0        0        3
35262 libtheora-doc                      	       3        0        1        1        1
35263 libthunar-vfs-1-common             	       3        0        0        0        3
35264 libtins4.0                         	       3        0        0        0        3
35265 libtk-img-dev                      	       3        0        2        1        0
35266 libtk9.0                           	       3        0        0        0        3
35267 libtlsh0                           	       3        0        0        0        3
35268 libtlsrpt0                         	       3        1        0        0        2
35269 libtntnet-dev                      	       3        0        3        0        0
35270 libtntnet12v5                      	       3        0        0        0        3
35271 libtogl-dev                        	       3        0        3        0        0
35272 libtomcat7-java                    	       3        0        0        0        3
35273 libtopmenu-client-gtk2-0           	       3        0        0        0        3
35274 libtopmenu-client-gtk3-0           	       3        0        0        0        3
35275 libtopmenu-server-gtk2-0           	       3        0        0        0        3
35276 libtopmenu-server-gtk3-0           	       3        0        0        0        3
35277 libtorch1.7                        	       3        0        0        0        3
35278 libtqt3-apps-dev                   	       3        0        0        0        3
35279 libtqt3-headers                    	       3        0        3        0        0
35280 libtqt3-mt-dev                     	       3        0        3        0        0
35281 libtqtinterface-dev                	       3        0        3        0        0
35282 libtracecmd1                       	       3        0        0        0        3
35283 libtracker-client-0.8-0            	       3        0        3        0        0
35284 libtracker-miner-1.0-dev           	       3        0        3        0        0
35285 libtracker-sparql-1.0-dev          	       3        0        3        0        0
35286 libtree-simple-visitorfactory-perl 	       3        1        2        0        0
35287 libts-dev                          	       3        0        3        0        0
35288 libtss0                            	       3        0        0        0        3
35289 libtvcontrol0                      	       3        0        3        0        0
35290 libtwatch-perl                     	       3        0        3        0        0
35291 libtypes-uri-perl                  	       3        0        3        0        0
35292 libtypes-uuid-perl                 	       3        0        3        0        0
35293 libubsan0-dbg                      	       3        0        3        0        0
35294 libubsan1-sparc64-cross            	       3        0        0        0        3
35295 libucl-dev                         	       3        0        3        0        0
35296 libudf0t64                         	       3        0        0        0        3
35297 libudisks2-dev                     	       3        0        3        0        0
35298 libudns0t64                        	       3        0        0        0        3
35299 libuhd4.8.0                        	       3        0        0        0        3
35300 libukui-common0                    	       3        0        0        0        3
35301 libungif4g                         	       3        0        0        0        3
35302 libunique-dev                      	       3        0        3        0        0
35303 libunsafe-mock-java                	       3        0        0        0        3
35304 libunwind-16-dev                   	       3        0        3        0        0
35305 libunwind-19-dev                   	       3        1        2        0        0
35306 libupnp6-dev                       	       3        1        2        0        0
35307 libupsclient1                      	       3        0        0        0        3
35308 liburi-fromhash-perl               	       3        0        3        0        0
35309 liburl-encode-perl                 	       3        0        3        0        0
35310 libusb-java                        	       3        0        0        0        3
35311 libusb-java-doc                    	       3        0        0        0        3
35312 libusb-java-lib                    	       3        0        0        0        3
35313 libusbprog0v5                      	       3        0        0        0        3
35314 libusbredirhost-dev                	       3        0        3        0        0
35315 libutf8proc-dev                    	       3        0        3        0        0
35316 libva-amdgpu-drm2                  	       3        0        1        0        2
35317 libva-amdgpu-glx2                  	       3        0        0        0        3
35318 libva-amdgpu-x11-2                 	       3        0        1        0        2
35319 libva-tpi1                         	       3        0        0        0        3
35320 libva2-amdgpu                      	       3        0        1        0        2
35321 libval14                           	       3        0        0        0        3
35322 libvala-0.34-0                     	       3        0        0        0        3
35323 libvala-0.42-0                     	       3        0        0        0        3
35324 libvala-0.48-dev                   	       3        0        3        0        0
35325 libvamp-hostsdk3                   	       3        0        0        0        3
35326 libverbiste-0.1-0v5                	       3        0        0        0        3
35327 libvibrant6b                       	       3        0        0        0        3
35328 libvips42t64                       	       3        0        0        0        3
35329 libvirt-ocaml                      	       3        0        3        0        0
35330 libvirt-sanlock                    	       3        0        3        0        0
35331 libvisio-dev                       	       3        0        3        0        0
35332 libvpx2                            	       3        0        0        0        3
35333 libvte-doc                         	       3        0        0        0        3
35334 libvtk9-qt                         	       3        0        0        0        3
35335 libvtk9-qt-dev                     	       3        0        3        0        0
35336 libvtk9.1t64                       	       3        0        0        0        3
35337 libvtkdicom0.8                     	       3        0        0        0        3
35338 libvulkan-volk-dev                 	       3        0        3        0        0
35339 libwcs4                            	       3        0        0        0        3
35340 libwebkitgtk-dev                   	       3        0        3        0        0
35341 libwebsockets19                    	       3        0        0        0        3
35342 libwerken.xpath-java               	       3        0        0        0        3
35343 libweston-9-0                      	       3        0        0        0        3
35344 libwf-utils0                       	       3        0        0        0        3
35345 libwings2                          	       3        0        0        0        3
35346 libwinpr-tools3-3                  	       3        0        0        0        3
35347 libwinpr2-dev                      	       3        0        3        0        0
35348 libwireshark-dev                   	       3        0        2        1        0
35349 libwireshark15                     	       3        0        0        0        3
35350 libwireshark17                     	       3        0        0        0        3
35351 libwiretap-dev                     	       3        0        2        1        0
35352 libwiretap12                       	       3        0        0        0        3
35353 libwiretap14                       	       3        0        0        0        3
35354 libwolfssl35                       	       3        0        0        0        3
35355 libwpd-stream8c2a                  	       3        0        0        0        3
35356 libwpe-1.0-dev                     	       3        0        3        0        0
35357 libwpewebkit-1.1-0                 	       3        0        0        0        3
35358 libws-commons-util-java            	       3        0        0        0        3
35359 libwsdl4j-java                     	       3        0        0        0        3
35360 libwslay1                          	       3        1        0        0        2
35361 libwsutil-dev                      	       3        0        2        1        0
35362 libwsutil13                        	       3        0        0        0        3
35363 libwsutil15                        	       3        0        0        0        3
35364 libwww-csrf-perl                   	       3        0        3        0        0
35365 libwww-youtube-download-perl       	       3        0        3        0        0
35366 libwxgtk-webview3.0-0v5            	       3        0        0        0        3
35367 libx11-guitest-perl                	       3        0        0        0        3
35368 libx264-112                        	       3        0        0        0        3
35369 libx265-160                        	       3        0        0        0        3
35370 libx265-169                        	       3        0        0        0        3
35371 libx265-212                        	       3        0        2        0        1
35372 libx32asan8-i386-cross             	       3        0        0        0        3
35373 libx32gcc-9-dev                    	       3        0        0        0        3
35374 libx32gcc-s1-i386-cross            	       3        0        0        0        3
35375 libx32gfortran-13-dev              	       3        0        0        0        3
35376 libxatracker-dev                   	       3        0        3        0        0
35377 libxbae-dev                        	       3        0        3        0        0
35378 libxcrypt-source                   	       3        0        3        0        0
35379 libxerces-c28                      	       3        0        0        0        3
35380 libxfont1-dev                      	       3        0        3        0        0
35381 libxine1                           	       3        0        0        0        3
35382 libxine1-misc-plugins              	       3        0        3        0        0
35383 libxine2-dev                       	       3        0        3        0        0
35384 libxine2-xvdr                      	       3        0        0        0        3
35385 libxkbcommon-tools                 	       3        0        3        0        0
35386 libxml-atom-owl-perl               	       3        0        3        0        0
35387 libxml-generator-perl              	       3        0        3        0        0
35388 libxml-grove-perl                  	       3        0        3        0        0
35389 libxml-libxml-lazybuilder-perl     	       3        0        3        0        0
35390 libxml-parser-lite-tree-perl       	       3        0        3        0        0
35391 libxml-rss-libxml-perl             	       3        0        3        0        0
35392 libxmlb-utils                      	       3        0        3        0        0
35393 libxmlbird1t64                     	       3        0        0        0        3
35394 libxmlrpcpp-dev                    	       3        0        3        0        0
35395 libxmlsec1-doc                     	       3        0        0        0        3
35396 libxmltok1-dev                     	       3        0        3        0        0
35397 libxmmsclient-dev                  	       3        0        3        0        0
35398 libxplc0.3.13                      	       3        0        0        0        3
35399 libxprintapputil1                  	       3        0        0        0        3
35400 libxprintutil1                     	       3        0        0        0        3
35401 libxtensor-dev                     	       3        0        0        0        3
35402 libxy3v5                           	       3        0        0        0        3
35403 libyascreen-dev                    	       3        0        3        0        0
35404 libykhsmauth2                      	       3        0        0        0        3
35405 libyubihsm-http2                   	       3        0        0        0        3
35406 libyubihsm2                        	       3        1        0        0        2
35407 libzfslinux-dev                    	       3        0        3        0        0
35408 libzim6                            	       3        0        0        0        3
35409 libzip-ocaml                       	       3        0        3        0        0
35410 libznz-dev                         	       3        0        3        0        0
35411 libzookeeper-java                  	       3        0        0        0        3
35412 libzpool2linux                     	       3        0        0        0        3
35413 libzulucrypt-dev                   	       3        0        3        0        0
35414 libzypp1722                        	       3        0        0        0        3
35415 lie                                	       3        1        2        0        0
35416 lierolibre                         	       3        0        3        0        0
35417 lierolibre-data                    	       3        0        0        0        3
35418 lightdm-remote-session-freerdp2    	       3        0        0        0        3
35419 lightdm-remote-session-x2go        	       3        0        0        0        3
35420 lightdm-vala                       	       3        0        0        0        3
35421 lightspeed                         	       3        0        3        0        0
35422 lilypond-doc-html-de               	       3        0        0        0        3
35423 lilypond-doc-pdf-es                	       3        0        0        0        3
35424 link-grammar                       	       3        1        2        0        0
35425 linklint                           	       3        0        3        0        0
35426 linphone-cli                       	       3        0        3        0        0
35427 linux-compiler-gcc-4.6-x86         	       3        0        0        0        3
35428 linux-headers-3.16.0-10-amd64      	       3        0        3        0        0
35429 linux-headers-3.16.0-10-common     	       3        0        3        0        0
35430 linux-headers-4.19-amd64           	       3        0        0        0        3
35431 linux-headers-4.19.0-0.bpo.19-amd64	       3        2        1        0        0
35432 linux-headers-4.19.0-0.bpo.19-common	       3        2        1        0        0
35433 linux-headers-4.19.0-11-common     	       3        0        2        0        1
35434 linux-headers-4.19.0-12-common     	       3        0        2        0        1
35435 linux-headers-4.19.0-6-common      	       3        0        3        0        0
35436 linux-headers-4.19.0-9-amd64       	       3        0        3        0        0
35437 linux-headers-4.8.0-0.bpo.2-common 	       3        0        3        0        0
35438 linux-headers-4.9.0-0.bpo.3-amd64  	       3        0        3        0        0
35439 linux-headers-4.9.0-0.bpo.3-common 	       3        0        3        0        0
35440 linux-headers-4.9.0-11-686         	       3        0        3        0        0
35441 linux-headers-4.9.0-13-amd64       	       3        0        2        0        1
35442 linux-headers-4.9.0-6-686-pae      	       3        0        3        0        0
35443 linux-headers-5.10.0-0.bpo.5-common	       3        0        3        0        0
35444 linux-headers-5.10.0-0.bpo.7-common	       3        0        3        0        0
35445 linux-headers-5.10.0-0.deb10.28-amd64	       3        2        1        0        0
35446 linux-headers-5.10.0-0.deb10.28-common	       3        2        1        0        0
35447 linux-headers-5.10.0-6-common      	       3        0        3        0        0
35448 linux-headers-5.10.0-7-amd64       	       3        0        3        0        0
35449 linux-headers-5.16.0-0.bpo.4-amd64 	       3        0        3        0        0
35450 linux-headers-5.18.0-0.bpo.1-common	       3        0        3        0        0
35451 linux-headers-5.18.0-2-amd64       	       3        0        3        0        0
35452 linux-headers-5.18.0-2-common      	       3        0        3        0        0
35453 linux-headers-6.1.0-0.deb11.11-amd64	       3        1        2        0        0
35454 linux-headers-6.1.0-0.deb11.11-common	       3        1        2        0        0
35455 linux-headers-6.1.0-0.deb11.5-common	       3        0        3        0        0
35456 linux-headers-6.1.0-0.deb11.7-amd64	       3        0        3        0        0
35457 linux-headers-6.1.0-14-amd64       	       3        0        3        0        0
35458 linux-headers-6.1.0-14-common      	       3        0        3        0        0
35459 linux-headers-6.1.0-28-686-pae     	       3        0        3        0        0
35460 linux-headers-6.1.0-6-amd64        	       3        0        3        0        0
35461 linux-headers-6.1.0-6-common       	       3        0        3        0        0
35462 linux-headers-6.12.16-amd64        	       3        0        1        2        0
35463 linux-headers-6.12.16-common       	       3        0        1        2        0
35464 linux-headers-6.12.5-amd64         	       3        0        3        0        0
35465 linux-headers-6.12.5-common        	       3        0        3        0        0
35466 linux-headers-6.5.0-1-amd64        	       3        0        3        0        0
35467 linux-headers-6.5.0-1-common       	       3        0        3        0        0
35468 linux-headers-686                  	       3        0        0        0        3
35469 linux-image-3.16.0-0.bpo.4-amd64   	       3        0        1        0        2
35470 linux-image-3.16.0-6-686-pae       	       3        0        3        0        0
35471 linux-image-4.19-amd64             	       3        0        0        0        3
35472 linux-image-4.19.0-0.bpo.9-amd64   	       3        1        2        0        0
35473 linux-image-4.19.0-18-686          	       3        0        3        0        0
35474 linux-image-4.7.0-0.bpo.1-amd64    	       3        0        2        0        1
35475 linux-image-4.9.0-0.bpo.3-amd64    	       3        0        2        0        1
35476 linux-image-4.9.0-11-686           	       3        0        3        0        0
35477 linux-image-4.9.0-12-686           	       3        0        2        0        1
35478 linux-image-4.9.0-19-686           	       3        0        3        0        0
35479 linux-image-4.9.0-2-amd64          	       3        0        3        0        0
35480 linux-image-4.9.0-6-686            	       3        0        3        0        0
35481 linux-image-4.9.202-vs2.3.9.9-beng 	       3        0        3        0        0
35482 linux-image-5.10.0-12-686          	       3        0        3        0        0
35483 linux-image-5.10.0-19-686          	       3        0        3        0        0
35484 linux-image-5.10.0-25-686-pae      	       3        0        3        0        0
35485 linux-image-5.14.0-0.bpo.2-amd64   	       3        0        2        0        1
35486 linux-image-5.16.0-4-amd64         	       3        0        3        0        0
35487 linux-image-5.16.0-5-amd64         	       3        1        2        0        0
35488 linux-image-5.18.0-0.bpo.1-amd64   	       3        0        3        0        0
35489 linux-image-5.18.0-2-amd64         	       3        0        3        0        0
35490 linux-image-5.18.0-3-amd64         	       3        0        3        0        0
35491 linux-image-5.18.0-4-amd64         	       3        0        3        0        0
35492 linux-image-6.1.0-25-rpi           	       3        0        3        0        0
35493 linux-image-6.1.0-29-686           	       3        0        3        0        0
35494 linux-image-6.1.0-30-686-pae       	       3        0        3        0        0
35495 linux-image-6.1.0-30-rt-amd64      	       3        0        3        0        0
35496 linux-image-6.1.0-5-amd64          	       3        0        2        0        1
35497 linux-image-6.10.0                 	       3        0        2        0        1
35498 linux-image-6.10.6-686             	       3        0        3        0        0
35499 linux-image-6.11.0-rc4             	       3        0        1        0        2
35500 linux-image-6.11.10+bpo-rt-amd64   	       3        0        3        0        0
35501 linux-image-6.12.0-rc3             	       3        0        1        0        2
35502 linux-image-6.4.0-4-amd64          	       3        0        3        0        0
35503 linux-image-6.5.0-1-amd64          	       3        0        3        0        0
35504 linux-image-6.5.0-2-amd64          	       3        0        3        0        0
35505 linux-image-6.5.0-4-amd64          	       3        0        3        0        0
35506 linux-image-6.6.13-amd64           	       3        0        3        0        0
35507 linux-image-6.7.0                  	       3        0        1        0        2
35508 linux-image-6.9.0                  	       3        0        2        0        1
35509 linux-image-powerpc64le            	       3        0        0        0        3
35510 linux-image-rpi                    	       3        0        0        0        3
35511 linux-image-vserver-3.18-beng      	       3        0        0        0        3
35512 linux-kbuild-4.8                   	       3        0        0        0        3
35513 linux-kbuild-5.17                  	       3        0        0        0        3
35514 linux-kbuild-5.19                  	       3        0        0        0        3
35515 linux-kbuild-5.7                   	       3        0        0        0        3
35516 linux-kbuild-6.12.16               	       3        0        0        0        3
35517 linux-kbuild-6.12.5                	       3        0        0        0        3
35518 linux-kbuild-6.3                   	       3        0        0        0        3
35519 linux-kbuild-6.5.0-1               	       3        0        0        0        3
35520 linux-libc-dev-ppc64-cross         	       3        0        3        0        0
35521 linux-libre-lts                    	       3        0        0        0        3
35522 linux-tools                        	       3        0        0        0        3
35523 linux-xanmod-x64v3                 	       3        0        0        0        3
35524 linuxsampler                       	       3        0        3        0        0
35525 liquidctl                          	       3        1        2        0        0
35526 liquidsoap                         	       3        1        2        0        0
35527 litecli                            	       3        1        1        1        0
35528 live-manual                        	       3        0        0        0        3
35529 live-task-base                     	       3        0        0        0        3
35530 live-task-localisation             	       3        0        0        0        3
35531 llvm-8                             	       3        0        3        0        0
35532 llvm-8-runtime                     	       3        0        3        0        0
35533 llvm-spirv-17                      	       3        0        3        0        0
35534 loadwatch                          	       3        1        2        0        0
35535 logitechmediaserver                	       3        1        2        0        0
35536 lpc21isp                           	       3        0        3        0        0
35537 lprint                             	       3        0        3        0        0
35538 lsh-doc                            	       3        0        0        0        3
35539 ltsp-server-standalone             	       3        0        0        0        3
35540 lttoolbox-dev                      	       3        0        3        0        0
35541 lua-dbi-postgresql                 	       3        0        0        0        3
35542 lua-expat-dev                      	       3        0        3        0        0
35543 lua-filesystem-dev                 	       3        0        3        0        0
35544 lua-mpack                          	       3        0        0        0        3
35545 lua-nvim                           	       3        0        0        0        3
35546 lua-posix-dev                      	       3        0        3        0        0
35547 lua5.2-doc                         	       3        0        0        0        3
35548 luola                              	       3        0        3        0        0
35549 luola-data                         	       3        0        0        0        3
35550 luola-levels                       	       3        0        0        0        3
35551 lur-command                        	       3        0        3        0        0
35552 lv2-c++-tools                      	       3        0        3        0        0
35553 lvm2-dbusd                         	       3        1        2        0        0
35554 lxqt-common                        	       3        0        3        0        0
35555 lycheeslicer                       	       3        0        2        0        1
35556 macopix                            	       3        0        3        0        0
35557 mactelnet-server                   	       3        0        3        0        0
35558 magicor                            	       3        0        3        0        0
35559 magicor-data                       	       3        0        0        0        3
35560 maint-guide-es                     	       3        0        0        0        3
35561 mandos-client                      	       3        0        3        0        0
35562 mangohudctl                        	       3        0        3        0        0
35563 manpages-ru                        	       3        0        0        0        3
35564 mapcache-tools                     	       3        0        3        0        0
35565 maria                              	       3        0        3        0        0
35566 maria-doc                          	       3        0        0        0        3
35567 marktext                           	       3        0        3        0        0
35568 matchbox-common                    	       3        0        3        0        0
35569 matchbox-panel                     	       3        0        3        0        0
35570 matchbox-panel-manager             	       3        0        3        0        0
35571 mate-submodules-source             	       3        0        0        0        3
35572 mate-system-tools-common           	       3        0        0        0        3
35573 matekbd-keyboard-display           	       3        1        0        2        0
35574 mayavi2                            	       3        0        3        0        0
35575 mbrola-es2                         	       3        0        0        0        3
35576 mbrola-fr2                         	       3        0        0        0        3
35577 mbrola-fr4                         	       3        0        0        0        3
35578 mccs                               	       3        0        3        0        0
35579 megaclisas-status                  	       3        3        0        0        0
35580 mesa-drm-shim                      	       3        0        0        0        3
35581 mesaflash                          	       3        0        3        0        0
35582 mess                               	       3        0        1        0        2
35583 metamail                           	       3        0        3        0        0
35584 mew                                	       3        0        3        0        0
35585 mfcj4540dwpdrv                     	       3        0        0        0        3
35586 mfcj985dwcupswrapper               	       3        0        0        0        3
35587 mfcj985dwlpr                       	       3        0        3        0        0
35588 mgen-doc                           	       3        0        0        0        3
35589 mgetty-voice                       	       3        0        3        0        0
35590 mgp                                	       3        0        3        0        0
35591 mhc                                	       3        0        3        0        0
35592 mhc-utils                          	       3        0        3        0        0
35593 microhope                          	       3        0        3        0        0
35594 microsoft-edge-beta                	       3        0        2        0        1
35595 min12xxw                           	       3        0        0        0        3
35596 minetest-mod-advmarkers-csm        	       3        0        0        0        3
35597 minetest-mod-infinite-chest        	       3        0        0        0        3
35598 minetest-mod-mobs-redo             	       3        0        0        0        3
35599 minetest-mod-pycraft               	       3        0        0        0        3
35600 minisat+                           	       3        0        3        0        0
35601 minpack-dev                        	       3        0        3        0        0
35602 miopen-hip                         	       3        0        3        0        0
35603 miopen-hip-dev                     	       3        0        3        0        0
35604 mirrordir                          	       3        0        3        0        0
35605 mk-configure                       	       3        0        3        0        0
35606 mkchromecast-gstreamer             	       3        0        0        0        3
35607 mkdocs-material                    	       3        0        0        0        3
35608 mkdocs-material-extensions         	       3        0        3        0        0
35609 mlpost                             	       3        0        3        0        0
35610 mlterm-im-scim                     	       3        0        0        0        3
35611 mma                                	       3        0        3        0        0
35612 modernize                          	       3        0        3        0        0
35613 mono-apache-server4                	       3        0        3        0        0
35614 mono-tools-devel                   	       3        0        3        0        0
35615 monotone                           	       3        0        3        0        0
35616 mopidy-alsamixer                   	       3        0        3        0        0
35617 mopidy-podcast                     	       3        0        3        0        0
35618 mopidy-podcast-itunes              	       3        0        3        0        0
35619 mopidy-somafm                      	       3        0        3        0        0
35620 morris                             	       3        0        3        0        0
35621 movixmaker-2                       	       3        0        3        0        0
35622 mp3burn                            	       3        0        3        0        0
35623 mp3guessenc                        	       3        0        3        0        0
35624 mpc123                             	       3        0        3        0        0
35625 mpqc                               	       3        0        3        0        0
35626 mriconvert                         	       3        0        3        0        0
35627 mrtg-contrib                       	       3        1        2        0        0
35628 msktutil                           	       3        0        3        0        0
35629 msodbcsql18                        	       3        0        3        0        0
35630 mssql-tools18                      	       3        0        3        0        0
35631 mtree-netbsd                       	       3        0        3        0        0
35632 mullvad-vpn                        	       3        0        3        0        0
35633 multimedia-broadcasting            	       3        0        0        0        3
35634 multimedia-musiciantools           	       3        0        0        0        3
35635 multimedia-photography             	       3        0        0        0        3
35636 multimedia-puredata                	       3        0        0        0        3
35637 munin-plugins-btrfs                	       3        0        0        0        3
35638 muttprofile                        	       3        1        2        0        0
35639 myspell-pt-br                      	       3        0        0        0        3
35640 mysql-client-8.0                   	       3        0        2        1        0
35641 mysql-client-core-8.0              	       3        0        2        1        0
35642 mysql-community-client             	       3        1        2        0        0
35643 mysql-community-server             	       3        1        2        0        0
35644 mysql-server-5.5                   	       3        0        3        0        0
35645 mysql-server-8.0                   	       3        0        2        1        0
35646 mysql-server-core-8.0              	       3        1        1        1        0
35647 mysql-utilities                    	       3        1        2        0        0
35648 mysql-workbench                    	       3        0        3        0        0
35649 mythes-sk                          	       3        0        0        0        3
35650 mythtv-frontend                    	       3        2        1        0        0
35651 n2n                                	       3        0        2        1        0
35652 nagios3-cgi                        	       3        1        2        0        0
35653 nagios3-common                     	       3        0        0        0        3
35654 nas-bin                            	       3        0        3        0        0
35655 nastran                            	       3        0        3        0        0
35656 nautilus-hide                      	       3        0        0        0        3
35657 nautilus-kdeconnect                	       3        0        0        0        3
35658 nautilus-scripts-manager           	       3        0        3        0        0
35659 ncbi-tools-bin                     	       3        0        3        0        0
35660 ncmpc-lyrics                       	       3        0        0        0        3
35661 ncoils                             	       3        0        3        0        0
35662 ndiswrapper                        	       3        0        3        0        0
35663 ndiswrapper-dkms                   	       3        0        3        0        0
35664 netpanzer                          	       3        0        3        0        0
35665 netpanzer-data                     	       3        0        0        0        3
35666 netsed                             	       3        1        2        0        0
35667 netsend                            	       3        1        2        0        0
35668 netstress                          	       3        0        3        0        0
35669 netsurf                            	       3        0        0        0        3
35670 ngetty                             	       3        0        3        0        0
35671 nginx-confgen                      	       3        0        3        0        0
35672 ngraph-gtk                         	       3        0        3        0        0
35673 ngraph-gtk-addins                  	       3        0        0        0        3
35674 ngraph-gtk-addins-base             	       3        0        0        0        3
35675 ngraph-gtk-doc                     	       3        0        0        0        3
35676 nifti-bin                          	       3        0        3        0        0
35677 nik4                               	       3        1        2        0        0
35678 ninix-aya                          	       3        0        3        0        0
35679 nix-bin                            	       3        0        3        0        0
35680 nix-setup-systemd                  	       3        0        3        0        0
35681 nixnote2                           	       3        0        3        0        0
35682 node-array-from                    	       3        0        0        0        3
35683 node-block-stream                  	       3        0        0        0        3
35684 node-blueimp-md5                   	       3        0        0        0        3
35685 node-body-parser                   	       3        0        3        0        0
35686 node-cbor                          	       3        1        2        0        0
35687 node-concordance                   	       3        0        3        0        0
35688 node-cosmiconfig                   	       3        0        0        0        3
35689 node-d3                            	       3        0        0        0        3
35690 node-d3-array                      	       3        0        0        0        3
35691 node-d3-axis                       	       3        0        0        0        3
35692 node-d3-brush                      	       3        0        0        0        3
35693 node-d3-chord                      	       3        0        0        0        3
35694 node-d3-collection                 	       3        0        0        0        3
35695 node-d3-color                      	       3        0        0        0        3
35696 node-d3-contour                    	       3        0        0        0        3
35697 node-d3-dispatch                   	       3        0        0        0        3
35698 node-d3-drag                       	       3        0        0        0        3
35699 node-d3-ease                       	       3        0        0        0        3
35700 node-d3-fetch                      	       3        0        0        0        3
35701 node-d3-force                      	       3        0        0        0        3
35702 node-d3-format                     	       3        0        0        0        3
35703 node-d3-geo                        	       3        0        0        0        3
35704 node-d3-hierarchy                  	       3        0        0        0        3
35705 node-d3-interpolate                	       3        0        0        0        3
35706 node-d3-path                       	       3        0        0        0        3
35707 node-d3-polygon                    	       3        0        0        0        3
35708 node-d3-quadtree                   	       3        0        0        0        3
35709 node-d3-random                     	       3        0        0        0        3
35710 node-d3-scale                      	       3        0        0        0        3
35711 node-d3-scale-chromatic            	       3        0        0        0        3
35712 node-d3-selection                  	       3        0        0        0        3
35713 node-d3-shape                      	       3        0        0        0        3
35714 node-d3-time                       	       3        0        0        0        3
35715 node-d3-time-format                	       3        0        0        0        3
35716 node-d3-timer                      	       3        0        0        0        3
35717 node-d3-transition                 	       3        0        0        0        3
35718 node-d3-voronoi                    	       3        0        0        0        3
35719 node-d3-zoom                       	       3        0        0        0        3
35720 node-date-time                     	       3        0        0        0        3
35721 node-deepmerge                     	       3        0        0        0        3
35722 node-detect-file                   	       3        0        0        0        3
35723 node-event-emitter                 	       3        0        0        0        3
35724 node-expand-tilde                  	       3        0        0        0        3
35725 node-express                       	       3        0        3        0        0
35726 node-findup-sync                   	       3        0        0        0        3
35727 node-fined                         	       3        0        0        0        3
35728 node-flagged-respawn               	       3        0        0        0        3
35729 node-follow-redirects              	       3        0        0        0        3
35730 node-fortawesome-fontawesome-free  	       3        0        0        0        3
35731 node-fs-exists-sync                	       3        0        0        0        3
35732 node-github-url-from-git           	       3        0        0        0        3
35733 node-is-generator-fn               	       3        0        0        0        3
35734 node-liftoff                       	       3        0        0        0        3
35735 node-md5-hex                       	       3        0        0        0        3
35736 node-md5-o-matic                   	       3        0        0        0        3
35737 node-parse-filepath                	       3        0        0        0        3
35738 node-prompts                       	       3        0        3        0        0
35739 node-querystringify                	       3        0        0        0        3
35740 node-react-dom                     	       3        0        0        0        3
35741 node-react-shallow-renderer        	       3        0        0        0        3
35742 node-react-test-renderer           	       3        0        0        0        3
35743 node-resolve-dir                   	       3        0        0        0        3
35744 node-sane                          	       3        0        0        0        3
35745 node-send                          	       3        0        0        0        3
35746 node-serve-static                  	       3        0        0        0        3
35747 node-sinclair-typebox              	       3        0        0        0        3
35748 node-sinon                         	       3        0        3        0        0
35749 node-time-zone                     	       3        0        0        0        3
35750 node-tinycolor                     	       3        0        0        0        3
35751 node-type-detect                   	       3        0        0        0        3
35752 node-url-parse                     	       3        0        0        0        3
35753 note                               	       3        0        3        0        0
35754 nsca                               	       3        0        3        0        0
35755 nsight-compute-2024.3.2            	       3        0        2        1        0
35756 nsight-systems-2024.5.1            	       3        0        2        1        0
35757 nsis-doc                           	       3        0        2        0        1
35758 nsis-pluginapi                     	       3        0        3        0        0
35759 nss-tlsd                           	       3        0        3        0        0
35760 ntfs-config                        	       3        1        2        0        0
35761 num-utils                          	       3        1        2        0        0
35762 numad                              	       3        1        2        0        0
35763 numatop                            	       3        0        3        0        0
35764 numbers2ods                        	       3        0        3        0        0
35765 numconv                            	       3        0        3        0        0
35766 nvidia-cg-toolkit                  	       3        0        3        0        0
35767 nvidia-legacy-390xx-driver-libs-i386	       3        0        0        0        3
35768 nvram-wakeup                       	       3        1        2        0        0
35769 obexd-client                       	       3        0        3        0        0
35770 obs-ashmanix-countdown             	       3        0        0        0        3
35771 obs-plugin-looking-glass           	       3        0        0        0        3
35772 ocaml-dune                         	       3        0        3        0        0
35773 ocfs2-tools                        	       3        1        2        0        0
35774 ocproxy                            	       3        0        3        0        0
35775 octave-geometry                    	       3        0        0        0        3
35776 octave-gsl                         	       3        0        0        0        3
35777 octave-info                        	       3        0        0        0        3
35778 odbc-mdbtools                      	       3        0        0        0        3
35779 office2003-schemas                 	       3        0        0        0        3
35780 ofx                                	       3        0        3        0        0
35781 ofxstatement                       	       3        0        3        0        0
35782 ofxstatement-plugins               	       3        0        3        0        0
35783 okular-dev                         	       3        0        2        1        0
35784 omniidl                            	       3        1        2        0        0
35785 onesixtyone                        	       3        1        2        0        0
35786 oolite-data                        	       3        0        0        0        3
35787 oolite-data-sounds                 	       3        0        0        0        3
35788 oolite-doc                         	       3        0        0        0        3
35789 ooo2dbk                            	       3        1        2        0        0
35790 open-jtalk                         	       3        0        3        0        0
35791 open-jtalk-mecab-naist-jdic        	       3        0        0        0        3
35792 openbox-gnome-session              	       3        0        3        0        0
35793 openbve                            	       3        0        3        0        0
35794 opencolorio-tools                  	       3        0        3        0        0
35795 opencu                             	       3        0        3        0        0
35796 opendmarc                          	       3        2        1        0        0
35797 openhab                            	       3        0        3        0        0
35798 openjdk-10-jre                     	       3        0        0        0        3
35799 openjdk-15-jdk-headless            	       3        0        0        0        3
35800 openjdk-24-jdk                     	       3        0        0        1        2
35801 openjdk-24-jdk-headless            	       3        0        0        0        3
35802 openjdk-7-doc                      	       3        0        0        0        3
35803 openmpt123                         	       3        0        3        0        0
35804 openoffice.org                     	       3        0        0        0        3
35805 openoffice.org-calc                	       3        0        3        0        0
35806 openoffice.org-dtd-officedocument1.0	       3        0        3        0        0
35807 openoffice.org-math                	       3        0        3        0        0
35808 openoffice.org-thesaurus-en-us     	       3        0        0        0        3
35809 openproject                        	       3        0        3        0        0
35810 openra                             	       3        0        3        0        0
35811 openscad-nightly                   	       3        1        2        0        0
35812 openscad-testing                   	       3        0        3        0        0
35813 openslide-tools                    	       3        0        3        0        0
35814 opensmtpd-extras                   	       3        0        0        0        3
35815 openssh-known-hosts                	       3        2        1        0        0
35816 openturns-common                   	       3        0        0        0        3
35817 openvpn-auth-ldap                  	       3        0        3        0        0
35818 openvpn-blacklist                  	       3        0        3        0        0
35819 opgpcard                           	       3        0        3        0        0
35820 opticalraytracer                   	       3        0        3        0        0
35821 oracle-j2re1.7                     	       3        1        2        0        0
35822 oracle-java8-installer             	       3        0        0        0        3
35823 oracle-java8-jdk                   	       3        0        3        0        0
35824 oracle-java8-jre                   	       3        0        3        0        0
35825 oracle-java8-set-default           	       3        0        0        0        3
35826 osm2pgrouting                      	       3        1        1        1        0
35827 osmose-emulator                    	       3        0        3        0        0
35828 osspd-alsa                         	       3        0        3        0        0
35829 ostinato                           	       3        1        2        0        0
35830 otb-testdriver                     	       3        0        3        0        0
35831 otf2bdf                            	       3        0        3        0        0
35832 otter-browser                      	       3        1        2        0        0
35833 overgod                            	       3        0        3        0        0
35834 overgod-data                       	       3        0        0        0        3
35835 ovmf-ia32                          	       3        0        0        0        3
35836 owfs                               	       3        0        0        0        3
35837 owftpd                             	       3        2        1        0        0
35838 owhttpd                            	       3        2        1        0        0
35839 owncloud-client-dolphin            	       3        0        1        0        2
35840 owncloud-client-overlays-icons     	       3        0        0        0        3
35841 packagekit-command-not-found       	       3        0        0        0        3
35842 packagekit-gtk3-module-dbgsym      	       3        0        3        0        0
35843 pads                               	       3        1        2        0        0
35844 pangzero                           	       3        0        3        0        0
35845 paperwork-backend-doc              	       3        0        0        0        3
35846 paperwork-gtk-l10n-en              	       3        0        0        0        3
35847 paraview                           	       3        0        3        0        0
35848 parlatype                          	       3        0        3        0        0
35849 parolottero-data-sv                	       3        0        0        0        3
35850 parprouted                         	       3        0        3        0        0
35851 partimage-server                   	       3        0        3        0        0
35852 passenger-doc                      	       3        0        0        0        3
35853 pat                                	       3        0        3        0        0
35854 pcb-lesstif                        	       3        0        3        0        0
35855 pcb-rnd-hid-gtk2-gdk               	       3        0        3        0        0
35856 pcb-rnd-hid-gtk2-gl                	       3        0        3        0        0
35857 pcb-rnd-lib-gl                     	       3        0        3        0        0
35858 pcb-rnd-lib-gtk                    	       3        0        3        0        0
35859 pcm                                	       3        0        3        0        0
35860 pconf-detect                       	       3        0        3        0        0
35861 pcs                                	       3        0        3        0        0
35862 pcsx2                              	       3        0        3        0        0
35863 pct-scanner-scripts                	       3        0        3        0        0
35864 pd-ambix                           	       3        0        3        0        0
35865 pd-autopreset                      	       3        0        3        0        0
35866 pd-bassemu                         	       3        0        3        0        0
35867 pd-beatpipe                        	       3        0        3        0        0
35868 pd-boids                           	       3        0        3        0        0
35869 pd-csound                          	       3        0        3        0        0
35870 pd-deken                           	       3        0        3        0        0
35871 pd-deken-apt                       	       3        0        0        0        3
35872 pd-freeverb                        	       3        0        3        0        0
35873 pd-hcs                             	       3        0        3        0        0
35874 pd-hid                             	       3        0        3        0        0
35875 pd-iem                             	       3        0        3        0        0
35876 pd-iemlib                          	       3        0        3        0        0
35877 pd-iemutils                        	       3        0        3        0        0
35878 pd-jmmmp                           	       3        0        3        0        0
35879 pd-moonlib                         	       3        0        3        0        0
35880 pd-mrpeach                         	       3        0        3        0        0
35881 pd-pan                             	       3        0        3        0        0
35882 pd-puremapping                     	       3        0        3        0        0
35883 pd-syslog                          	       3        0        3        0        0
35884 pd-testtools                       	       3        0        3        0        0
35885 pd-upp                             	       3        0        3        0        0
35886 pdf-redact-tools                   	       3        0        3        0        0
35887 pdfedit                            	       3        0        3        0        0
35888 pdfjam                             	       3        0        0        0        3
35889 peg-e                              	       3        0        3        0        0
35890 peg-solitaire                      	       3        0        3        0        0
35891 pekka-kana-2                       	       3        0        3        0        0
35892 pekka-kana-2-data                  	       3        0        0        0        3
35893 pekwm-themes                       	       3        0        0        0        3
35894 pelican-doc                        	       3        0        0        0        3
35895 pencil                             	       3        0        0        0        3
35896 penguin-command                    	       3        0        3        0        0
35897 pepper                             	       3        1        2        0        0
35898 percona-server-client-5.7          	       3        1        2        0        0
35899 percona-server-common-5.7          	       3        0        3        0        0
35900 percona-server-server-5.7          	       3        1        2        0        0
35901 perl-depends                       	       3        0        3        0        0
35902 perl-modules-5.26                  	       3        0        3        0        0
35903 perlindex                          	       3        1        2        0        0
35904 perlrdf                            	       3        0        3        0        0
35905 pforth                             	       3        0        3        0        0
35906 pfsglview                          	       3        0        3        0        0
35907 pfstmo                             	       3        0        3        0        0
35908 pfstools                           	       3        0        3        0        0
35909 pfsview                            	       3        0        3        0        0
35910 pgadmin4-web                       	       3        0        3        0        0
35911 pgplot5                            	       3        0        3        0        0
35912 pgstat                             	       3        1        2        0        0
35913 phasex                             	       3        0        3        0        0
35914 phnxdeco                           	       3        0        3        0        0
35915 php-auth                           	       3        1        2        0        0
35916 php-cas                            	       3        0        3        0        0
35917 php-codecoverage                   	       3        0        3        0        0
35918 php-console-table                  	       3        1        2        0        0
35919 php-deepcopy                       	       3        0        3        0        0
35920 php-doctrine-event-manager         	       3        0        2        1        0
35921 php-doctrine-persistence           	       3        0        2        1        0
35922 php-enchant                        	       3        0        0        0        3
35923 php-gmagick                        	       3        0        0        0        3
35924 php-gnupg                          	       3        0        0        0        3
35925 php-http                           	       3        0        0        0        3
35926 php-invoker                        	       3        0        3        0        0
35927 php-mapscript-ng                   	       3        1        2        0        0
35928 php-mythtv                         	       3        1        1        1        0
35929 php-odbc                           	       3        0        0        0        3
35930 php-raphf                          	       3        0        0        0        3
35931 php-smbclient                      	       3        1        1        0        1
35932 php-symfony-class-loader           	       3        0        3        0        0
35933 php-symfony-css-selector           	       3        0        2        1        0
35934 php-symfony-dom-crawler            	       3        0        3        0        0
35935 php-symfony-polyfill-mbstring      	       3        1        2        0        0
35936 php-symfony-routing                	       3        0        3        0        0
35937 php-xml-parser                     	       3        1        2        0        0
35938 php-xml-svg                        	       3        0        3        0        0
35939 php-zend-code                      	       3        0        2        1        0
35940 php5-imagick                       	       3        0        3        0        0
35941 php5-pspell                        	       3        2        1        0        0
35942 php5-tidy                          	       3        0        3        0        0
35943 php5-xsl                           	       3        2        1        0        0
35944 php5.6-apcu                        	       3        1        2        0        0
35945 php5.6-ldap                        	       3        0        3        0        0
35946 php5.6-pgsql                       	       3        0        3        0        0
35947 php5.6-pspell                      	       3        2        1        0        0
35948 php5.6-sybase                      	       3        1        2        0        0
35949 php5.6-xsl                         	       3        0        0        0        3
35950 php7.0-ldap                        	       3        2        1        0        0
35951 php7.1-gd                          	       3        0        3        0        0
35952 php7.1-mbstring                    	       3        0        3        0        0
35953 php7.2-gd                          	       3        0        3        0        0
35954 php7.4-apcu-bc                     	       3        1        2        0        0
35955 php7.4-odbc                        	       3        1        2        0        0
35956 php7.4-yaml                        	       3        1        2        0        0
35957 php8.0-redis                       	       3        1        2        0        0
35958 php8.1-snmp                        	       3        1        2        0        0
35959 php8.2-gmagick                     	       3        0        3        0        0
35960 php8.2-ssh2                        	       3        1        2        0        0
35961 php8.3-apcu                        	       3        2        1        0        0
35962 php8.4-soap                        	       3        2        0        1        0
35963 php8.4-ssh2                        	       3        2        1        0        0
35964 phpldapadmin                       	       3        1        2        0        0
35965 phpliteadmin                       	       3        0        3        0        0
35966 phpqrcode                          	       3        0        3        0        0
35967 phpsysinfo                         	       3        1        2        0        0
35968 phpunit                            	       3        0        3        0        0
35969 phpunit-cli-parser                 	       3        0        3        0        0
35970 phpunit-code-unit                  	       3        0        3        0        0
35971 phpunit-code-unit-reverse-lookup   	       3        0        3        0        0
35972 phpunit-complexity                 	       3        0        3        0        0
35973 phpunit-lines-of-code              	       3        0        3        0        0
35974 phpunit-object-enumerator          	       3        0        3        0        0
35975 phpunit-resource-operations        	       3        0        3        0        0
35976 phpunit-type                       	       3        0        3        0        0
35977 picolibc-riscv64-unknown-elf       	       3        0        0        0        3
35978 picon-weather                      	       3        0        0        0        3
35979 pidgin-lastfm                      	       3        1        2        0        0
35980 pidgin-openpgp                     	       3        0        3        0        0
35981 pikopixel.app                      	       3        0        3        0        0
35982 pilot                              	       3        1        2        0        0
35983 pinentry-x2go                      	       3        0        3        0        0
35984 pinokio                            	       3        0        3        0        0
35985 pinthread                          	       3        0        0        0        3
35986 pioneers-metaserver                	       3        0        3        0        0
35987 pipes-sh                           	       3        0        3        0        0
35988 pipsi                              	       3        0        2        1        0
35989 pithos                             	       3        0        3        0        0
35990 pk-update-icon                     	       3        0        1        0        2
35991 planetblupi                        	       3        0        3        0        0
35992 planetblupi-common                 	       3        0        0        0        3
35993 planetblupi-music-ogg              	       3        0        0        0        3
35994 plasma-containments-addons         	       3        0        3        0        0
35995 plasma-marble                      	       3        0        1        0        2
35996 plasma-scriptengine-ruby           	       3        0        0        0        3
35997 plasma-widget-lancelot             	       3        1        2        0        0
35998 plastex                            	       3        0        3        0        0
35999 ploticus                           	       3        0        3        0        0
36000 plptools                           	       3        0        3        0        0
36001 plutonia-wad                       	       3        0        0        0        3
36002 pms                                	       3        0        3        0        0
36003 poa                                	       3        0        3        0        0
36004 poc-streamer                       	       3        0        3        0        0
36005 pocketnet                          	       3        2        0        0        1
36006 pod2pandoc                         	       3        0        3        0        0
36007 pod2pdf                            	       3        0        3        0        0
36008 podman-toolbox                     	       3        0        3        0        0
36009 polymake                           	       3        0        3        0        0
36010 polymake-common                    	       3        0        3        0        0
36011 porg                               	       3        0        3        0        0
36012 portsentry                         	       3        1        2        0        0
36013 postfixadmin                       	       3        1        2        0        0
36014 postgresql-9.1                     	       3        2        1        0        0
36015 postgresql-doc-9.1                 	       3        0        0        0        3
36016 postgresql-filedump                	       3        0        3        0        0
36017 postgresql-plperl-15               	       3        0        2        1        0
36018 postgresql-plpython3-11            	       3        0        3        0        0
36019 postgresql-server-dev-11           	       3        1        2        0        0
36020 potool                             	       3        0        3        0        0
36021 powder                             	       3        0        3        0        0
36022 powerline-doc                      	       3        0        0        0        3
36023 powerline-gitstatus                	       3        0        0        0        3
36024 powerpc-ibm-utils                  	       3        0        3        0        0
36025 powerpc-utils                      	       3        0        0        0        3
36026 ppd-files-dkaes                    	       3        0        0        0        3
36027 preview.app                        	       3        0        3        0        0
36028 pristine-lfs                       	       3        0        3        0        0
36029 procenv                            	       3        1        2        0        0
36030 procserv                           	       3        0        3        0        0
36031 proftpd-mod-mysql                  	       3        0        3        0        0
36032 proguard-gui                       	       3        0        3        0        0
36033 projectlibre                       	       3        0        3        0        0
36034 protonvpn                          	       3        0        0        0        3
36035 prover9                            	       3        0        3        0        0
36036 proxmox-backup-client              	       3        0        3        0        0
36037 proxycheck                         	       3        0        3        0        0
36038 proxytunnel                        	       3        1        2        0        0
36039 pry                                	       3        2        1        0        0
36040 psad                               	       3        0        3        0        0
36041 pslib-dev                          	       3        0        3        0        0
36042 psychtoolbox-3-common              	       3        0        3        0        0
36043 ptpd                               	       3        0        3        0        0
36044 ptunnel                            	       3        0        2        1        0
36045 pulseaudio-esound-compat           	       3        1        2        0        0
36046 pump                               	       3        0        3        0        0
36047 puredata-common                    	       3        0        3        0        0
36048 purple-facebook                    	       3        0        2        0        1
36049 purple-matrix                      	       3        0        3        0        0
36050 purple-xmpp-carbons                	       3        2        1        0        0
36051 pxfw                               	       3        0        3        0        0
36052 pydocstyle                         	       3        0        3        0        0
36053 pyflakes                           	       3        0        3        0        0
36054 pyhoca-gui                         	       3        0        3        0        0
36055 pykml                              	       3        0        3        0        0
36056 pylama                             	       3        0        3        0        0
36057 pyliblo-utils                      	       3        0        3        0        0
36058 pyp                                	       3        2        1        0        0
36059 pypy-dev                           	       3        0        3        0        0
36060 pypy-pkg-resources                 	       3        0        3        0        0
36061 pypy-tk                            	       3        0        3        0        0
36062 pypy3-dev                          	       3        0        3        0        0
36063 pyqt5-doc                          	       3        0        0        0        3
36064 pyqt6-dev                          	       3        0        3        0        0
36065 pyqt6-webengine-dev                	       3        0        3        0        0
36066 pyqt6.qsci-dev                     	       3        0        3        0        0
36067 python-appindicator                	       3        0        3        0        0
36068 python-argcomplete                 	       3        0        3        0        0
36069 python-beaker                      	       3        0        3        0        0
36070 python-boto                        	       3        0        3        0        0
36071 python-cairocffi                   	       3        0        3        0        0
36072 python-caja                        	       3        0        0        0        3
36073 python-cliapp                      	       3        1        2        0        0
36074 python-cryptography-vectors        	       3        0        3        0        0
36075 python-distributed-doc             	       3        0        0        0        3
36076 python-dmidecode-data              	       3        0        0        0        3
36077 python-editobj                     	       3        0        3        0        0
36078 python-egenix-mxtexttools          	       3        0        3        0        0
36079 python-egenix-mxtexttools-doc      	       3        0        0        0        3
36080 python-egenix-mxtools-doc          	       3        0        0        0        3
36081 python-evdev-doc                   	       3        0        0        0        3
36082 python-extras                      	       3        0        3        0        0
36083 python-fixtures                    	       3        0        3        0        0
36084 python-funcsigs-doc                	       3        0        0        0        3
36085 python-future-doc                  	       3        0        0        0        3
36086 python-gevent                      	       3        3        0        0        0
36087 python-gitdb                       	       3        0        3        0        0
36088 python-gmpy-doc                    	       3        0        0        0        3
36089 python-gnupg                       	       3        1        2        0        0
36090 python-gtk2-doc                    	       3        0        3        0        0
36091 python-gtkspellcheck               	       3        0        3        0        0
36092 python-hamcrest                    	       3        0        3        0        0
36093 python-jsonschema                  	       3        0        3        0        0
36094 python-kaa-base                    	       3        1        2        0        0
36095 python-kaa-metadata                	       3        1        2        0        0
36096 python-ldap                        	       3        0        3        0        0
36097 python-livereload-doc              	       3        0        0        0        3
36098 python-memcache                    	       3        0        3        0        0
36099 python-mimeparse                   	       3        0        3        0        0
36100 python-mysql.connector             	       3        0        3        0        0
36101 python-natsort-doc                 	       3        0        0        0        3
36102 python-objgraph-doc                	       3        0        0        0        3
36103 python-pandas                      	       3        0        3        0        0
36104 python-pandas-lib                  	       3        0        3        0        0
36105 python-parted                      	       3        0        3        0        0
36106 python-pdfrw                       	       3        0        3        0        0
36107 python-pmw                         	       3        0        3        0        0
36108 python-pyalsa                      	       3        0        3        0        0
36109 python-pyao                        	       3        0        3        0        0
36110 python-pyatspi2                    	       3        0        0        0        3
36111 python-pycurl-doc                  	       3        0        0        0        3
36112 python-pydot                       	       3        0        2        0        1
36113 python-pyflakes                    	       3        0        3        0        0
36114 python-pygame-doc                  	       3        0        0        0        3
36115 python-pymysql                     	       3        0        3        0        0
36116 python-pyproj                      	       3        0        3        0        0
36117 python-pyside2.qtcore              	       3        0        3        0        0
36118 python-pyside2.qtgui               	       3        0        3        0        0
36119 python-pyside2.qtwidgets           	       3        0        3        0        0
36120 python-pyxattr-doc                 	       3        0        0        0        3
36121 python-qgis-common                 	       3        0        0        0        3
36122 python-recaptcha                   	       3        2        1        0        0
36123 python-reportlab-doc               	       3        0        0        0        3
36124 python-scgi                        	       3        1        2        0        0
36125 python-selenium-doc                	       3        0        0        0        3
36126 python-selinux                     	       3        0        3        0        0
36127 python-semanage                    	       3        0        3        0        0
36128 python-smmap                       	       3        0        3        0        0
36129 python-socks                       	       3        0        3        0        0
36130 python-stevedore                   	       3        0        3        0        0
36131 python-tables                      	       3        0        3        0        0
36132 python-tables-lib                  	       3        0        3        0        0
36133 python-testscenarios               	       3        0        3        0        0
36134 python-testtools                   	       3        0        3        0        0
36135 python-traits                      	       3        0        3        0        0
36136 python-ttystatus                   	       3        1        2        0        0
36137 python-twisted-lore                	       3        0        3        0        0
36138 python-twisted-news                	       3        0        1        0        2
36139 python-twisted-runner              	       3        0        1        0        2
36140 python-usb                         	       3        0        3        0        0
36141 python-webob-doc                   	       3        0        0        0        3
36142 python-wheel-common                	       3        0        3        0        0
36143 python-wxgtk2.8                    	       3        0        3        0        0
36144 python-zeroconf                    	       3        0        3        0        0
36145 python2.4-minimal                  	       3        0        3        0        0
36146 python3-aiosmtpd                   	       3        0        3        0        0
36147 python3-altgraph                   	       3        0        3        0        0
36148 python3-aniso8601                  	       3        0        3        0        0
36149 python3-apptools                   	       3        0        3        0        0
36150 python3-astropy-healpix            	       3        0        3        0        0
36151 python3-astroscrappy               	       3        0        3        0        0
36152 python3-audioop-lts                	       3        0        3        0        0
36153 python3-billiard                   	       3        0        3        0        0
36154 python3-binary-memcached           	       3        0        3        0        0
36155 python3-biopython                  	       3        0        3        0        0
36156 python3-boolean                    	       3        0        2        1        0
36157 python3-botan                      	       3        0        3        0        0
36158 python3-bpfcc                      	       3        0        3        0        0
36159 python3-canmatrix                  	       3        1        1        1        0
36160 python3-catkin                     	       3        0        3        0        0
36161 python3-cbor2                      	       3        0        3        0        0
36162 python3-ccdproc                    	       3        0        3        0        0
36163 python3-celery                     	       3        0        3        0        0
36164 python3-ceph                       	       3        0        0        0        3
36165 python3-certbot-dns-ovh            	       3        0        3        0        0
36166 python3-click-default-group        	       3        0        3        0        0
36167 python3-click-repl                 	       3        0        3        0        0
36168 python3-confluent-kafka            	       3        0        3        0        0
36169 python3-coreapi                    	       3        0        3        0        0
36170 python3-coreschema                 	       3        0        3        0        0
36171 python3-cpl                        	       3        0        3        0        0
36172 python3-cpuset                     	       3        0        3        0        0
36173 python3-cwl-utils                  	       3        0        3        0        0
36174 python3-defconqt                   	       3        0        3        0        0
36175 python3-django-tagging             	       3        1        2        0        0
36176 python3-dnf                        	       3        0        3        0        0
36177 python3-dominate                   	       3        0        3        0        0
36178 python3-doxypypy                   	       3        0        3        0        0
36179 python3-easywebdav                 	       3        0        3        0        0
36180 python3-eduvpn-common              	       3        0        3        0        0
36181 python3-envisage                   	       3        0        3        0        0
36182 python3-epr                        	       3        0        3        0        0
36183 python3-etcd3                      	       3        0        3        0        0
36184 python3-etcd3gw                    	       3        0        3        0        0
36185 python3-ezdxf                      	       3        0        3        0        0
36186 python3-flask-restful              	       3        0        3        0        0
36187 python3-fontmath                   	       3        0        3        0        0
36188 python3-futurist                   	       3        0        3        0        0
36189 python3-gdbm-dbg                   	       3        0        3        0        0
36190 python3-geneagrapher               	       3        1        2        0        0
36191 python3-geolinks                   	       3        0        3        0        0
36192 python3-git-delete-merged-branches 	       3        0        1        2        0
36193 python3-glob2                      	       3        0        3        0        0
36194 python3-guidata                    	       3        0        3        0        0
36195 python3-guiqwt                     	       3        0        2        1        0
36196 python3-guzzle-sphinx-theme        	       3        0        3        0        0
36197 python3-hawkey                     	       3        0        3        0        0
36198 python3-hdf4                       	       3        0        3        0        0
36199 python3-hidapi                     	       3        0        3        0        0
36200 python3-i3ipc                      	       3        0        3        0        0
36201 python3-inputremapper              	       3        0        3        0        0
36202 python3-ipdb                       	       3        1        2        0        0
36203 python3-jack-client                	       3        0        3        0        0
36204 python3-jplephem                   	       3        0        3        0        0
36205 python3-jsonpickle                 	       3        0        3        0        0
36206 python3-jsonrpclib-pelix           	       3        0        3        0        0
36207 python3-kazoo                      	       3        0        3        0        0
36208 python3-keystonemiddleware         	       3        0        3        0        0
36209 python3-kubernetes                 	       3        0        3        0        0
36210 python3-lensfun                    	       3        0        3        0        0
36211 python3-libcomps                   	       3        0        3        0        0
36212 python3-libconcord                 	       3        0        3        0        0
36213 python3-libdnf                     	       3        0        3        0        0
36214 python3-license-expression         	       3        0        2        1        0
36215 python3-linecache2                 	       3        0        3        0        0
36216 python3-listparser                 	       3        0        3        0        0
36217 python3-m3u8                       	       3        0        3        0        0
36218 python3-macholib                   	       3        0        3        0        0
36219 python3-mallard.ducktype           	       3        0        3        0        0
36220 python3-mapscript                  	       3        0        3        0        0
36221 python3-mesonpy                    	       3        0        3        0        0
36222 python3-minidb                     	       3        0        3        0        0
36223 python3-mpltoolkits.basemap        	       3        1        2        0        0
36224 python3-msgpack-numpy              	       3        0        3        0        0
36225 python3-mysql.connector            	       3        0        3        0        0
36226 python3-nagiosplugin               	       3        0        3        0        0
36227 python3-neutronclient              	       3        0        3        0        0
36228 python3-nibabel                    	       3        0        3        0        0
36229 python3-nlopt                      	       3        0        3        0        0
36230 python3-nmap                       	       3        0        3        0        0
36231 python3-novaclient                 	       3        0        3        0        0
36232 python3-numpy-dbg                  	       3        0        3        0        0
36233 python3-obspy-deps                 	       3        0        0        0        3
36234 python3-oslo.cache                 	       3        0        3        0        0
36235 python3-oslo.concurrency           	       3        0        3        0        0
36236 python3-oslo.db                    	       3        0        3        0        0
36237 python3-oslo.messaging             	       3        0        3        0        0
36238 python3-oslo.metrics               	       3        0        3        0        0
36239 python3-oslo.middleware            	       3        0        3        0        0
36240 python3-oslo.policy                	       3        0        3        0        0
36241 python3-oslo.service               	       3        0        3        0        0
36242 python3-oslo.upgradecheck          	       3        0        3        0        0
36243 python3-packagekit                 	       3        0        3        0        0
36244 python3-paraview                   	       3        0        3        0        0
36245 python3-pathtools                  	       3        0        2        1        0
36246 python3-pcre2                      	       3        0        1        2        0
36247 python3-photutils                  	       3        0        3        0        0
36248 python3-pika                       	       3        0        3        0        0
36249 python3-plastex                    	       3        1        2        0        0
36250 python3-powerline-gitstatus        	       3        0        3        0        0
36251 python3-pure-sasl                  	       3        0        3        0        0
36252 python3-pybeam                     	       3        0        3        0        0
36253 python3-pybind11                   	       3        0        3        0        0
36254 python3-pycadf                     	       3        0        3        0        0
36255 python3-pycoast                    	       3        0        3        0        0
36256 python3-pydub                      	       3        0        3        0        0
36257 python3-pyface                     	       3        0        3        0        0
36258 python3-pyfavicon                  	       3        1        2        0        0
36259 python3-pyfiglet                   	       3        0        3        0        0
36260 python3-pygpu                      	       3        0        3        0        0
36261 python3-pykcs11                    	       3        0        3        0        0
36262 python3-pykml                      	       3        0        3        0        0
36263 python3-pylama                     	       3        0        3        0        0
36264 python3-pylast                     	       3        0        3        0        0
36265 python3-pymap3d                    	       3        0        3        0        0
36266 python3-pymdownx                   	       3        0        3        0        0
36267 python3-pymemcache                 	       3        0        3        0        0
36268 python3-pymodbus                   	       3        0        3        0        0
36269 python3-pyproject-metadata         	       3        0        2        1        0
36270 python3-pyqt-distutils             	       3        0        3        0        0
36271 python3-pyrss2gen                  	       3        0        3        0        0
36272 python3-pysam                      	       3        0        3        0        0
36273 python3-pyside2.qt3dcore           	       3        0        3        0        0
36274 python3-pyside2.qt3drender         	       3        0        3        0        0
36275 python3-pyside6.qtgui              	       3        1        0        2        0
36276 python3-pyside6.qtwidgets          	       3        1        0        2        0
36277 python3-pysol-cards                	       3        1        2        0        0
36278 python3-pystray                    	       3        0        3        0        0
36279 python3-pytde                      	       3        0        3        0        0
36280 python3-python-multipart           	       3        0        2        1        0
36281 python3-python-telegram-bot        	       3        0        3        0        0
36282 python3-pythonjsonlogger           	       3        1        2        0        0
36283 python3-pytkdocs                   	       3        0        3        0        0
36284 python3-pytqt                      	       3        0        3        0        0
36285 python3-pyu2f                      	       3        0        3        0        0
36286 python3-pyx                        	       3        1        2        0        0
36287 python3-pyzfs                      	       3        0        2        1        0
36288 python3-qtpy-pyqt6                 	       3        0        0        0        3
36289 python3-reedsolo                   	       3        0        2        1        0
36290 python3-renderdoc                  	       3        0        3        0        0
36291 python3-repolib                    	       3        0        3        0        0
36292 python3-reproject                  	       3        0        3        0        0
36293 python3-rgw                        	       3        0        3        0        0
36294 python3-rstcheck                   	       3        0        3        0        0
36295 python3-schema-salad               	       3        0        3        0        0
36296 python3-screeninfo                 	       3        0        3        0        0
36297 python3-scriptforge                	       3        0        2        1        0
36298 python3-setuptools-git             	       3        0        3        0        0
36299 python3-simple-cdd                 	       3        0        3        0        0
36300 python3-sip-tqt                    	       3        0        3        0        0
36301 python3-sparse                     	       3        0        3        0        0
36302 python3-sphinx-book-theme          	       3        0        3        0        0
36303 python3-sphinx-gallery             	       3        0        3        0        0
36304 python3-sphinxcontrib.actdiag      	       3        1        2        0        0
36305 python3-sphinxcontrib.autoprogram  	       3        0        3        0        0
36306 python3-sphinxcontrib.doxylink     	       3        1        2        0        0
36307 python3-sphinxcontrib.plantuml     	       3        0        3        0        0
36308 python3-sphinxcontrib.serializinghtml	       3        1        2        0        0
36309 python3-sphinxcontrib.websupport   	       3        0        3        0        0
36310 python3-standard-aifc              	       3        0        3        0        0
36311 python3-standard-chunk             	       3        0        3        0        0
36312 python3-standard-sunau             	       3        0        3        0        0
36313 python3-statsd                     	       3        0        3        0        0
36314 python3-stetl                      	       3        1        2        0        0
36315 python3-svtplay-dl                 	       3        0        0        0        3
36316 python3-swiftclient                	       3        0        3        0        0
36317 python3-testscenarios              	       3        0        3        0        0
36318 python3-theano                     	       3        0        3        0        0
36319 python3-tkstackrpms                	       3        0        3        0        0
36320 python3-tooz                       	       3        0        3        0        0
36321 python3-torchaudio                 	       3        0        3        0        0
36322 python3-traceback2                 	       3        0        3        0        0
36323 python3-traits                     	       3        0        3        0        0
36324 python3-traitsui                   	       3        0        3        0        0
36325 python3-trufont                    	       3        0        3        0        0
36326 python3-ttkthemes                  	       3        0        3        0        0
36327 python3-tvdb-api                   	       3        0        3        0        0
36328 python3-ufo-extractor              	       3        0        3        0        0
36329 python3-ufonormalizer              	       3        0        3        0        0
36330 python3-uhashring                  	       3        0        3        0        0
36331 python3-uhd                        	       3        0        3        0        0
36332 python3-vatnumber                  	       3        0        3        0        0
36333 python3-versioneer                 	       3        0        3        0        0
36334 python3-vispy                      	       3        0        3        0        0
36335 python3-vtkgdcm                    	       3        0        3        0        0
36336 python3-w3lib                      	       3        0        3        0        0
36337 python3-webview                    	       3        0        3        0        0
36338 python3-whisper                    	       3        1        2        0        0
36339 python3-wxmplot                    	       3        0        3        0        0
36340 python3-xhtml2pdf                  	       3        0        3        0        0
36341 python3-xmlschema                  	       3        0        3        0        0
36342 python3-xyzservices                	       3        0        3        0        0
36343 python3-yoyo                       	       3        1        2        0        0
36344 python3-zake                       	       3        0        3        0        0
36345 python3-zeitgeist                  	       3        0        3        0        0
36346 python3.13-examples                	       3        0        0        3        0
36347 python3.4-dev                      	       3        0        3        0        0
36348 python3.5-dbg                      	       3        0        3        0        0
36349 python3.5-doc                      	       3        0        0        0        3
36350 python3.5-venv                     	       3        0        3        0        0
36351 python3.7-dbg                      	       3        0        3        0        0
36352 python3.9-examples                 	       3        0        3        0        0
36353 pyxplot                            	       3        0        3        0        0
36354 qabcs                              	       3        0        3        0        0
36355 qabcs-data                         	       3        0        0        0        3
36356 qbs                                	       3        0        3        0        0
36357 qcoro-doc                          	       3        0        3        0        0
36358 qdbm-util                          	       3        0        3        0        0
36359 qdiskinfo                          	       3        0        3        0        0
36360 qemu-jfp-tools                     	       3        0        3        0        0
36361 qfits-tools                        	       3        0        3        0        0
36362 qgis-sip                           	       3        0        0        0        3
36363 qml-module-org-kde-kirigami-addons-labs-components	       3        0        0        0        3
36364 qml-module-qtdatavisualization     	       3        0        0        0        3
36365 qml-module-qtquick-pdf             	       3        0        0        0        3
36366 qml-module-qtscxml                 	       3        0        0        0        3
36367 qml-module-qtsysteminfo            	       3        0        0        0        3
36368 qml-module-qtwayland-compositor    	       3        0        0        0        3
36369 qml-module-qtwebsockets            	       3        0        0        0        3
36370 qml6-module-org-kde-i18n-localedata	       3        0        0        0        3
36371 qml6-module-org-kde-kaccounts      	       3        0        0        0        3
36372 qml6-module-org-kde-okular         	       3        0        0        0        3
36373 qml6-module-qtquick-scene2d        	       3        0        0        0        3
36374 qml6-module-qtquick-scene3d        	       3        0        0        0        3
36375 qml6-module-qtwebsockets           	       3        0        0        0        3
36376 qnapi                              	       3        0        3        0        0
36377 qosmic                             	       3        0        3        0        0
36378 qpopper                            	       3        0        3        0        0
36379 qrazercfg                          	       3        0        3        0        0
36380 qrenderdoc                         	       3        0        3        0        0
36381 qsampler                           	       3        0        3        0        0
36382 qsopt-ex                           	       3        0        3        0        0
36383 qt-assistant-compat                	       3        0        3        0        0
36384 qt3d5-dev-tools                    	       3        0        3        0        0
36385 qt3d5-examples                     	       3        0        0        0        3
36386 qt4-doc-html                       	       3        0        0        0        3
36387 qt4-qmlviewer                      	       3        0        0        0        3
36388 qt6-networkauth-dev                	       3        0        1        2        0
36389 qt6-serialbus-dev                  	       3        0        1        2        0
36390 qt6-shader-baker                   	       3        0        1        2        0
36391 qt6-shadertools-dev                	       3        0        1        2        0
36392 qt6-speech-speechd-plugin          	       3        0        0        0        3
36393 qtads                              	       3        0        3        0        0
36394 qtconnectivity5-examples           	       3        0        0        0        3
36395 qtdatavisualization5-examples      	       3        0        0        0        3
36396 qtfeedback5-examples               	       3        0        3        0        0
36397 qtmultimedia5-examples             	       3        0        0        0        3
36398 qtnetworkauth5-examples            	       3        0        0        0        3
36399 qtpdf5-examples                    	       3        0        0        0        3
36400 qtpim5-examples                    	       3        0        0        0        3
36401 qtquickcontrols2-5-private-dev     	       3        0        3        0        0
36402 qtsensors5-examples                	       3        0        0        0        3
36403 qtsystems5-tools                   	       3        0        3        0        0
36404 qttools5-examples                  	       3        0        0        0        3
36405 qtwebengine5-examples              	       3        0        0        0        3
36406 qtwebkit5-doc                      	       3        0        0        0        3
36407 qtwebkit5-examples-doc             	       3        0        0        0        3
36408 quagga-core                        	       3        0        3        0        0
36409 quagga-ospfd                       	       3        0        3        0        0
36410 quake-music                        	       3        0        0        0        3
36411 quake2-demo-data                   	       3        0        0        0        3
36412 quelcom                            	       3        0        3        0        0
36413 quickcal                           	       3        0        3        0        0
36414 qweborf                            	       3        0        3        0        0
36415 qwertone                           	       3        0        3        0        0
36416 r-bioc-biocstyle                   	       3        0        3        0        0
36417 r-cran-amore                       	       3        0        3        0        0
36418 r-cran-circlize                    	       3        0        3        0        0
36419 r-cran-combinat                    	       3        0        3        0        0
36420 r-cran-dials                       	       3        1        2        0        0
36421 r-cran-dicedesign                  	       3        1        2        0        0
36422 r-cran-dynamictreecut              	       3        0        3        0        0
36423 r-cran-eaf                         	       3        0        3        0        0
36424 r-cran-egg                         	       3        1        2        0        0
36425 r-cran-emoa                        	       3        0        3        0        0
36426 r-cran-ggalluvial                  	       3        1        2        0        0
36427 r-cran-gmm                         	       3        0        3        0        0
36428 r-cran-googlevis                   	       3        0        3        0        0
36429 r-cran-heatmaply                   	       3        1        2        0        0
36430 r-cran-httptest2                   	       3        0        3        0        0
36431 r-cran-irace                       	       3        0        3        0        0
36432 r-cran-mcmc                        	       3        0        3        0        0
36433 r-cran-mcmcpack                    	       3        0        3        0        0
36434 r-cran-mlr                         	       3        0        3        0        0
36435 r-cran-parallelmap                 	       3        0        3        0        0
36436 r-cran-paramhelpers                	       3        0        3        0        0
36437 r-cran-psychotools                 	       3        1        2        0        0
36438 r-cran-rcppml                      	       3        0        3        0        0
36439 r-cran-readstata13                 	       3        0        3        0        0
36440 r-cran-relimp                      	       3        0        3        0        0
36441 r-cran-rodbc                       	       3        0        3        0        0
36442 r-cran-slam                        	       3        1        2        0        0
36443 r-cran-snowballc                   	       3        0        3        0        0
36444 rake-compiler                      	       3        0        3        0        0
36445 randmac                            	       3        0        3        0        0
36446 randomsound                        	       3        0        3        0        0
36447 rarpd                              	       3        2        1        0        0
36448 raspberrypi-bootloader             	       3        0        0        0        3
36449 rasterview                         	       3        0        3        0        0
36450 ratmenu                            	       3        0        3        0        0
36451 ratt                               	       3        0        2        1        0
36452 rawtran                            	       3        0        3        0        0
36453 razercfg                           	       3        1        2        0        0
36454 rccl                               	       3        0        0        0        3
36455 rccl-dev                           	       3        0        3        0        0
36456 rcm                                	       3        0        3        0        0
36457 rdist                              	       3        0        3        0        0
36458 readahead-fedora                   	       3        1        2        0        0
36459 readseq                            	       3        0        3        0        0
36460 redmine-sqlite                     	       3        0        0        0        3
36461 redsocks                           	       3        1        2        0        0
36462 renderdoc                          	       3        0        0        0        3
36463 renderdoccmd                       	       3        0        3        0        0
36464 reposurgeon                        	       3        0        3        0        0
36465 reserialize                        	       3        0        3        0        0
36466 rexima                             	       3        0        3        0        0
36467 rhvoice-english                    	       3        0        0        0        3
36468 rien-fpm                           	       3        0        0        0        3
36469 rime-data-bopomofo                 	       3        0        0        0        3
36470 ripper                             	       3        0        3        0        0
36471 rmail                              	       3        0        2        1        0
36472 rocalution                         	       3        0        0        0        3
36473 rocalution-dev                     	       3        0        3        0        0
36474 rocfft                             	       3        0        3        0        0
36475 rocfft-dev                         	       3        0        3        0        0
36476 rocm-dbgapi                        	       3        0        3        0        0
36477 rocm-debug-agent                   	       3        0        0        0        3
36478 rocm-hip-libraries                 	       3        0        0        0        3
36479 rocm-hip-runtime-dev               	       3        0        0        0        3
36480 rocm-hip-sdk                       	       3        0        0        0        3
36481 rocm-ml-libraries                  	       3        0        0        0        3
36482 rocm-ml-sdk                        	       3        0        0        0        3
36483 rocm-openmp-sdk                    	       3        0        0        0        3
36484 rocprim-dev                        	       3        0        3        0        0
36485 rocthrust-dev                      	       3        0        3        0        0
36486 roctracer                          	       3        0        3        0        0
36487 roctracer-dev                      	       3        0        2        0        1
36488 rocwmma-dev                        	       3        0        1        0        2
36489 ros-message-runtime                	       3        0        0        0        3
36490 rospack-tools                      	       3        0        2        1        0
36491 routino-www                        	       3        0        0        0        3
36492 rover                              	       3        0        3        0        0
36493 rpmlint                            	       3        0        2        1        0
36494 rrdcollect                         	       3        1        2        0        0
36495 rrep                               	       3        1        2        0        0
36496 rsshfs                             	       3        0        3        0        0
36497 rstcheck                           	       3        0        3        0        0
36498 rstudio-server                     	       3        0        3        0        0
36499 rtcw                               	       3        0        3        0        0
36500 rtcw-common                        	       3        0        3        0        0
36501 rtklib                             	       3        0        3        0        0
36502 rtklib-qt                          	       3        0        3        0        0
36503 rubiks                             	       3        0        3        0        0
36504 ruby-backports                     	       3        0        3        0        0
36505 ruby-certificate-authority         	       3        1        2        0        0
36506 ruby-charlock-holmes               	       3        0        0        0        3
36507 ruby-chef-utils                    	       3        0        3        0        0
36508 ruby-daemons                       	       3        0        3        0        0
36509 ruby-fast-gettext                  	       3        0        3        0        0
36510 ruby-haml                          	       3        0        3        0        0
36511 ruby-hamster                       	       3        0        3        0        0
36512 ruby-hdfeos5                       	       3        0        3        0        0
36513 ruby-hmac                          	       3        0        3        0        0
36514 ruby-html-pipeline                 	       3        0        3        0        0
36515 ruby-html2haml                     	       3        0        3        0        0
36516 ruby-htree                         	       3        0        3        0        0
36517 ruby-kde4                          	       3        0        3        0        0
36518 ruby-mechanize                     	       3        0        3        0        0
36519 ruby-metaclass                     	       3        0        3        0        0
36520 ruby-mini-magick                   	       3        0        3        0        0
36521 ruby-mixlib-config                 	       3        0        3        0        0
36522 ruby-mixlib-shellout               	       3        0        3        0        0
36523 ruby-mocha                         	       3        0        3        0        0
36524 ruby-mustermann                    	       3        0        3        0        0
36525 ruby-net-ssh-gateway               	       3        0        3        0        0
36526 ruby-ntlm                          	       3        0        3        0        0
36527 ruby-openid                        	       3        0        3        0        0
36528 ruby-plasma                        	       3        0        3        0        0
36529 ruby-prawn-table                   	       3        0        2        1        0
36530 ruby-protected-attributes          	       3        0        3        0        0
36531 ruby-qdbm                          	       3        0        0        0        3
36532 ruby-qt4-script                    	       3        0        3        0        0
36533 ruby-qt4-test                      	       3        0        3        0        0
36534 ruby-qt4-uitools                   	       3        0        3        0        0
36535 ruby-qt4-webkit                    	       3        0        3        0        0
36536 ruby-rack-openid                   	       3        0        3        0        0
36537 ruby-rotp                          	       3        0        3        0        0
36538 ruby-rqrcode                       	       3        0        3        0        0
36539 ruby-rqrcode-core                  	       3        0        3        0        0
36540 ruby-ruby-parser                   	       3        0        3        0        0
36541 ruby-rugged                        	       3        0        0        0        3
36542 ruby-simpleidn                     	       3        0        3        0        0
36543 ruby-stomp                         	       3        0        3        0        0
36544 ruby-sync                          	       3        0        0        0        3
36545 ruby-systemu                       	       3        0        3        0        0
36546 ruby-temple                        	       3        0        3        0        0
36547 ruby-webrobots                     	       3        0        3        0        0
36548 ruby1.9.1-dev                      	       3        0        3        0        0
36549 runoverssh                         	       3        0        3        0        0
36550 rush                               	       3        0        3        0        0
36551 rust-markdown                      	       3        1        2        0        0
36552 rustc-mozilla                      	       3        2        1        0        0
36553 s3dfm                              	       3        0        3        0        0
36554 s3fs                               	       3        0        3        0        0
36555 sam2p                              	       3        0        3        0        0
36556 samba-doc-pdf                      	       3        0        0        0        3
36557 samhain                            	       3        0        3        0        0
36558 saytime                            	       3        1        2        0        0
36559 scamper                            	       3        2        1        0        0
36560 sciebo-client                      	       3        1        2        0        0
36561 science-typesetting                	       3        0        3        0        0
36562 scm                                	       3        0        3        0        0
36563 scons-doc                          	       3        0        0        0        3
36564 scribus-dev                        	       3        0        3        0        0
36565 scrypt                             	       3        0        3        0        0
36566 scsiadd                            	       3        0        3        0        0
36567 scute                              	       3        0        0        0        3
36568 sd                                 	       3        2        1        0        0
36569 sdrangelove                        	       3        0        3        0        0
36570 search-citeseer                    	       3        1        2        0        0
36571 seccomp                            	       3        0        3        0        0
36572 seccure                            	       3        0        3        0        0
36573 secpanel                           	       3        0        3        0        0
36574 select-xface                       	       3        1        2        0        0
36575 selektor                           	       3        0        3        0        0
36576 selfhtml                           	       3        0        0        0        3
36577 selinux-basics                     	       3        0        3        0        0
36578 sf3convert                         	       3        0        3        0        0
36579 sgf2dg                             	       3        0        3        0        0
36580 sha1cdsum                          	       3        0        2        0        1
36581 shelldap                           	       3        0        3        0        0
36582 shim-helpers-arm64-signed          	       3        0        2        1        0
36583 shogivar                           	       3        0        3        0        0
36584 shogivar-data                      	       3        0        0        0        3
36585 sieve-connect                      	       3        0        3        0        0
36586 siggen                             	       3        0        3        0        0
36587 signal-desktop-beta                	       3        0        1        0        2
36588 sigviewer                          	       3        0        3        0        0
36589 simg2img                           	       3        0        0        0        3
36590 simutrans-pak128.britain           	       3        0        0        0        3
36591 skippy-xd                          	       3        0        3        0        0
36592 skopeo                             	       3        0        3        0        0
36593 slang-curl                         	       3        0        0        0        3
36594 slashem-sdl                        	       3        0        3        0        0
36595 slrnpull                           	       3        0        3        0        0
36596 slurm-client                       	       3        0        3        0        0
36597 slurm-wlm-basic-plugins            	       3        0        1        0        2
36598 smartdimmer                        	       3        0        3        0        0
36599 smartsvn                           	       3        0        3        0        0
36600 smitools                           	       3        1        2        0        0
36601 snapraid                           	       3        0        3        0        0
36602 snapserver                         	       3        1        2        0        0
36603 snowflake-proxy                    	       3        0        3        0        0
36604 soapysdr-module-airspy             	       3        0        0        0        3
36605 soapysdr-module-audio              	       3        0        0        0        3
36606 soapysdr-module-lms7               	       3        0        0        0        3
36607 soapysdr-module-mirisdr            	       3        0        0        0        3
36608 soapysdr-module-redpitaya          	       3        0        0        0        3
36609 soapysdr-module-remote             	       3        0        0        0        3
36610 soapysdr-module-rfspace            	       3        0        0        0        3
36611 soapysdr-module-uhd                	       3        0        0        0        3
36612 socket                             	       3        1        2        0        0
36613 sockperf                           	       3        0        3        0        0
36614 softmaker-freeoffice-2024          	       3        1        2        0        0
36615 sonic                              	       3        0        3        0        0
36616 sonic-pi                           	       3        0        3        0        0
36617 sonic-pi-samples                   	       3        0        0        0        3
36618 sonic-pi-server                    	       3        0        3        0        0
36619 sooperlooper                       	       3        0        3        0        0
36620 sosreport                          	       3        1        2        0        0
36621 soundscaperenderer-common          	       3        0        3        0        0
36622 spacezero                          	       3        0        3        0        0
36623 spectools                          	       3        1        2        0        0
36624 speech-dispatcher-cicero           	       3        0        0        0        3
36625 speech-dispatcher-espeak           	       3        0        0        0        3
36626 speech-dispatcher-flite            	       3        0        0        0        3
36627 speech-tools                       	       3        0        3        0        0
36628 speex-doc                          	       3        0        0        0        3
36629 spice-webdavd                      	       3        0        3        0        0
36630 spigot                             	       3        0        3        0        0
36631 spim                               	       3        0        3        0        0
36632 spirv-cross                        	       3        0        3        0        0
36633 splashtop-business                 	       3        0        1        0        2
36634 splitvt                            	       3        0        3        0        0
36635 squashfs-mount                     	       3        0        3        0        0
36636 squid3                             	       3        0        1        0        2
36637 srs                                	       3        2        1        0        0
36638 ssa                                	       3        0        3        0        0
36639 ssaducli                           	       3        0        3        0        0
36640 sscg                               	       3        0        3        0        0
36641 ssocr                              	       3        0        3        0        0
36642 ssss                               	       3        0        3        0        0
36643 stardict-tools                     	       3        0        3        0        0
36644 starman                            	       3        0        3        0        0
36645 staruml                            	       3        0        0        0        3
36646 statsvn                            	       3        0        3        0        0
36647 stdsyslog                          	       3        0        3        0        0
36648 steghide-doc                       	       3        0        0        0        3
36649 step-ca                            	       3        0        3        0        0
36650 step-cli                           	       3        0        3        0        0
36651 stetl                              	       3        0        3        0        0
36652 stiff                              	       3        0        3        0        0
36653 stl-manual                         	       3        0        3        0        0
36654 stlcmd                             	       3        0        3        0        0
36655 stlink-gui                         	       3        0        3        0        0
36656 stremio                            	       3        0        1        0        2
36657 stressant-meta                     	       3        0        0        0        3
36658 stx2any                            	       3        0        3        0        0
36659 stylish-haskell                    	       3        0        3        0        0
36660 substance-flamingo                 	       3        0        0        0        3
36661 suck                               	       3        0        3        0        0
36662 suld-driver2-1.00.39               	       3        0        3        0        0
36663 sun-java6-plugin                   	       3        0        0        0        3
36664 supercollider                      	       3        0        0        0        3
36665 supercollider-supernova            	       3        0        3        0        0
36666 superproductivity                  	       3        0        0        0        3
36667 surfshark-release                  	       3        0        0        0        3
36668 surfshark-vpn                      	       3        0        3        0        0
36669 surge-xt                           	       3        0        3        0        0
36670 suricata-oinkmaster                	       3        1        2        0        0
36671 susv3                              	       3        0        0        0        3
36672 susv4                              	       3        0        0        0        3
36673 svn-load                           	       3        0        2        1        0
36674 swapspace                          	       3        1        2        0        0
36675 swi-prolog-java                    	       3        1        2        0        0
36676 swig-sphinxbase                    	       3        0        0        0        3
36677 sword-text-web                     	       3        0        0        0        3
36678 syncevolution-common               	       3        1        2        0        0
36679 synology-assistant                 	       3        0        0        0        3
36680 synthv1-lv2                        	       3        0        3        0        0
36681 syslinux-themes-debian-wheezy      	       3        0        0        0        3
36682 syslinux-themes-linuxmint          	       3        0        0        0        3
36683 syslog-ng-mod-journal              	       3        1        2        0        0
36684 sysprofile                         	       3        0        0        0        3
36685 systemback                         	       3        0        3        0        0
36686 systemtap-doc                      	       3        0        2        1        0
36687 sysvinit-core-dbgsym               	       3        0        3        0        0
36688 sysvinit-utils-dbgsym              	       3        0        3        0        0
36689 tagtool                            	       3        0        3        0        0
36690 tagua                              	       3        0        3        0        0
36691 tagua-data                         	       3        0        0        0        3
36692 tar-split                          	       3        0        3        0        0
36693 tardy                              	       3        0        3        0        0
36694 task-arabic                        	       3        0        0        0        3
36695 task-basque                        	       3        0        0        0        3
36696 task-belarusian-desktop            	       3        0        0        0        3
36697 task-bulgarian                     	       3        0        0        0        3
36698 task-croatian                      	       3        0        0        0        3
36699 task-danish-desktop                	       3        0        0        0        3
36700 task-estonian                      	       3        0        0        0        3
36701 tcl-expect-dev                     	       3        0        2        1        0
36702 tcl-funtools                       	       3        0        3        0        0
36703 tcl-sugar                          	       3        0        0        0        3
36704 tcl9.0                             	       3        0        3        0        0
36705 tclodbc                            	       3        0        3        0        0
36706 tclreadline                        	       3        1        2        0        0
36707 tcm                                	       3        1        2        0        0
36708 tcm-doc                            	       3        0        0        0        3
36709 tde-i18n-ru-trinity                	       3        0        0        0        3
36710 tde-style-domino-trinity           	       3        0        3        0        0
36711 tde-systemsettings-trinity         	       3        0        3        0        0
36712 tdesshaskpass-trinity              	       3        0        3        0        0
36713 tdevelop-data-trinity              	       3        0        3        0        0
36714 tdevelop-trinity                   	       3        0        3        0        0
36715 tdevelop-trinity-doc               	       3        0        3        0        0
36716 teem-apps                          	       3        1        2        0        0
36717 teeworlds-server                   	       3        0        3        0        0
36718 telegram-purple                    	       3        1        2        0        0
36719 temurin-11-jdk                     	       3        0        3        0        0
36720 temurin-21-jdk                     	       3        1        2        0        0
36721 terraform-ls                       	       3        0        3        0        0
36722 tex4ht                             	       3        0        1        0        2
36723 texlive-lang-croatian              	       3        0        0        0        3
36724 texlive-lang-danish                	       3        0        0        0        3
36725 texlive-lang-dutch                 	       3        0        0        0        3
36726 texlive-lang-finnish               	       3        0        0        0        3
36727 texlive-lang-hungarian             	       3        0        0        0        3
36728 texlive-lang-indic                 	       3        0        3        0        0
36729 texlive-lang-latin                 	       3        0        0        0        3
36730 texlive-lang-mongolian             	       3        0        0        0        3
36731 texlive-lang-norwegian             	       3        0        0        0        3
36732 texlive-lang-swedish               	       3        0        0        0        3
36733 texlive-lang-vietnamese            	       3        0        0        0        3
36734 texlive-math-extra                 	       3        0        3        0        0
36735 texpower-manual                    	       3        0        0        0        3
36736 thin                               	       3        0        3        0        0
36737 thunderbird-l10n-ca                	       3        0        3        0        0
36738 thunderbird-l10n-es-mx             	       3        0        3        0        0
36739 thunderbird-l10n-hu                	       3        0        3        0        0
36740 thunderbird-l10n-zh-cn             	       3        0        3        0        0
36741 tidy-doc                           	       3        0        0        0        3
36742 tiny-initramfs                     	       3        0        3        0        0
36743 tiny-initramfs-core                	       3        0        3        0        0
36744 tinyirc                            	       3        0        3        0        0
36745 tinywm                             	       3        0        3        0        0
36746 tk-fsdialog                        	       3        0        0        0        3
36747 tk-tktray                          	       3        0        0        0        3
36748 tk707                              	       3        0        3        0        0
36749 tk8.5-doc                          	       3        0        0        0        3
36750 tk9.0                              	       3        0        3        0        0
36751 tkdnd                              	       3        0        3        0        0
36752 tkinspect                          	       3        0        2        1        0
36753 tlsh-tools                         	       3        0        3        0        0
36754 tnt-wad                            	       3        0        0        0        3
36755 togl-demos                         	       3        0        0        0        3
36756 tokyocabinet-bin                   	       3        0        3        0        0
36757 tomatoes                           	       3        0        3        0        0
36758 tomatoes-data                      	       3        0        0        0        3
36759 tomcat8-admin                      	       3        0        0        0        3
36760 tomcat8-docs                       	       3        0        0        0        3
36761 tome                               	       3        1        2        0        0
36762 topmenu-gtk-common                 	       3        0        0        0        3
36763 torchat                            	       3        0        3        0        0
36764 toybox                             	       3        1        2        0        0
36765 tqt3-apps-dev                      	       3        0        3        0        0
36766 tqt3-dev-tools                     	       3        0        3        0        0
36767 trac-accountmanager                	       3        0        3        0        0
36768 trader                             	       3        0        3        0        0
36769 transcode                          	       3        0        3        0        0
36770 transcode-utils                    	       3        0        3        0        0
36771 transmageddon                      	       3        0        3        0        0
36772 transmission-remote-cli            	       3        0        3        0        0
36773 tree-puzzle                        	       3        0        3        0        0
36774 tree-puzzle-doc                    	       3        0        2        0        1
36775 trezor-udev                        	       3        2        1        0        0
36776 trilinos-dev                       	       3        0        3        0        0
36777 trilium                            	       3        0        3        0        0
36778 trinity                            	       3        0        3        0        0
36779 triplane                           	       3        0        3        0        0
36780 triplea                            	       3        0        3        0        0
36781 trophy                             	       3        0        3        0        0
36782 trophy-data                        	       3        0        0        0        3
36783 tss2                               	       3        0        3        0        0
36784 ttf-adf-baskervald                 	       3        0        0        0        3
36785 ttf-adf-berenis                    	       3        0        0        0        3
36786 ttf-adf-ikarius                    	       3        0        0        0        3
36787 ttf-adf-irianis                    	       3        0        0        0        3
36788 ttf-adf-mekanus                    	       3        0        0        0        3
36789 ttf-adf-oldania                    	       3        0        0        0        3
36790 ttf-adf-romande                    	       3        0        0        0        3
36791 ttf-adf-switzera                   	       3        0        0        0        3
36792 ttf-adf-tribun                     	       3        0        0        0        3
36793 ttf-adf-verana                     	       3        0        0        0        3
36794 ttf-atarismall                     	       3        0        0        0        3
36795 ttf-bengali-fonts                  	       3        0        0        0        3
36796 ttf-devanagari-fonts               	       3        0        0        0        3
36797 ttf-dustin                         	       3        0        0        0        3
36798 ttf-georgewilliams                 	       3        0        0        0        3
36799 ttf-gujarati-fonts                 	       3        0        0        0        3
36800 ttf-inconsolata                    	       3        0        0        0        3
36801 ttf-jsmath                         	       3        0        0        0        3
36802 ttf-junicode                       	       3        0        0        0        3
36803 ttf-mathematica4.1                 	       3        0        0        0        3
36804 ttf-oriya-fonts                    	       3        0        0        0        3
36805 ttf-punjabi-fonts                  	       3        0        0        0        3
36806 ttf-root-installer                 	       3        0        0        0        3
36807 ttf-unfonts-core                   	       3        0        0        0        3
36808 ttf-unfonts-extra                  	       3        0        0        0        3
36809 tudu                               	       3        0        3        0        0
36810 turtlesport                        	       3        0        3        0        0
36811 tuxguitar-jack                     	       3        0        3        0        0
36812 tuxguitar-synth-lv2                	       3        1        1        1        0
36813 tvnamer                            	       3        0        3        0        0
36814 twiggy                             	       3        0        3        0        0
36815 twin-style-dekorator-trinity       	       3        0        3        0        0
36816 twittering-mode                    	       3        0        3        0        0
36817 txt2pdbdoc                         	       3        1        2        0        0
36818 u2f-server                         	       3        0        3        0        0
36819 ubuntu-dev-tools                   	       3        0        3        0        0
36820 ubuntu-packaging-guide-common      	       3        0        0        0        3
36821 ubuntu-packaging-guide-pdf         	       3        0        0        0        3
36822 ucommon-utils                      	       3        0        3        0        0
36823 udisks-doc                         	       3        0        0        0        3
36824 udisks2-zram                       	       3        0        0        0        3
36825 ufsutils                           	       3        0        3        0        0
36826 uftrace                            	       3        0        3        0        0
36827 uhexen2                            	       3        0        3        0        0
36828 uhexen2-common                     	       3        0        0        0        3
36829 ukui-greeter                       	       3        0        3        0        0
36830 ukui-panel                         	       3        0        3        0        0
36831 ukui-screensaver                   	       3        0        3        0        0
36832 ukui-session-manager               	       3        0        3        0        0
36833 ulcc                               	       3        0        3        0        0
36834 uligo                              	       3        0        3        0        0
36835 umockdev                           	       3        0        2        1        0
36836 umtp-responder                     	       3        0        3        0        0
36837 unicode-cldr-core                  	       3        0        0        0        3
36838 units-master                       	       3        1        2        0        0
36839 unsort                             	       3        0        3        0        0
36840 unyaffs                            	       3        1        2        0        0
36841 uprightdiff                        	       3        0        3        0        0
36842 uronode                            	       3        0        3        0        0
36843 usbprog-gui                        	       3        0        3        0        0
36844 usbrelay                           	       3        1        2        0        0
36845 usermin                            	       3        0        3        0        0
36846 ustreamer                          	       3        0        3        0        0
36847 utf8-migration-tool                	       3        1        2        0        0
36848 uxplay                             	       3        0        3        0        0
36849 uzbl                               	       3        0        3        0        0
36850 v4l2ucp                            	       3        0        3        0        0
36851 vagrant-hostmanager                	       3        0        3        0        0
36852 vagrant-mutate                     	       3        0        3        0        0
36853 valac-0.42-vapi                    	       3        0        0        0        3
36854 valentina                          	       3        0        3        0        0
36855 valgrind-if-available              	       3        0        0        0        3
36856 validns                            	       3        1        2        0        0
36857 vcmi                               	       3        0        3        0        0
36858 vectoroids                         	       3        0        3        0        0
36859 verbiste                           	       3        0        3        0        0
36860 veusz                              	       3        0        3        0        0
36861 vflib3                             	       3        0        3        0        0
36862 vfu-yascreen                       	       3        0        1        2        0
36863 vile                               	       3        0        3        0        0
36864 vile-common                        	       3        0        3        0        0
36865 vile-filters                       	       3        0        0        0        3
36866 vilistextum                        	       3        0        3        0        0
36867 vim-gitgutter                      	       3        0        0        0        3
36868 vim-ledger                         	       3        0        0        0        3
36869 vim-textobj-user                   	       3        0        0        0        3
36870 virtualbox-5.1                     	       3        0        3        0        0
36871 virtualbox-source                  	       3        0        0        0        3
36872 virtualgl                          	       3        0        3        0        0
36873 virtualjaguar                      	       3        0        3        0        0
36874 vlc-plugin-zvbi                    	       3        1        0        0        2
36875 vncsnapshot                        	       3        0        3        0        0
36876 vobsub2srt                         	       3        1        2        0        0
36877 volumecontrol.app                  	       3        0        3        0        0
36878 vsd2odg                            	       3        0        3        0        0
36879 vtgrab                             	       3        1        2        0        0
36880 vtk9-doc                           	       3        0        0        0        3
36881 vulkan-amdgpu                      	       3        0        0        0        3
36882 vulkan-utility-libraries-dev       	       3        0        2        1        0
36883 w3c-dtd-xhtml                      	       3        0        0        0        3
36884 w3c-linkchecker                    	       3        0        3        0        0
36885 w9wm                               	       3        0        3        0        0
36886 waterfox-classic-kpe               	       3        0        3        0        0
36887 waterfox-kde-full                  	       3        0        2        0        1
36888 wcc                                	       3        0        3        0        0
36889 webext-treestyletab                	       3        0        0        0        3
36890 webext-umatrix                     	       3        0        2        0        1
36891 webkit2gtk-driver                  	       3        0        3        0        0
36892 webots                             	       3        1        2        0        0
36893 webtorrent-desktop                 	       3        0        3        0        0
36894 weka                               	       3        0        3        0        0
36895 welle.io                           	       3        0        3        0        0
36896 wesnoth-1.14-server                	       3        0        3        0        0
36897 whichman                           	       3        1        2        0        0
36898 wifi-qr                            	       3        0        3        0        0
36899 wifi-radar                         	       3        0        3        0        0
36900 wildmidi                           	       3        0        3        0        0
36901 win31games-typing-instructor       	       3        0        0        0        3
36902 win31games-zoombinis               	       3        0        0        0        3
36903 windowlab                          	       3        0        3        0        0
36904 windsurf                           	       3        0        1        2        0
36905 wine32-development-preloader       	       3        0        3        0        0
36906 wine64-bin                         	       3        0        0        0        3
36907 winpr3-utils                       	       3        0        2        1        0
36908 wire-desktop                       	       3        0        1        0        2
36909 wireguard-go                       	       3        0        3        0        0
36910 wit                                	       3        0        3        0        0
36911 wlcs                               	       3        0        3        0        0
36912 wmail                              	       3        0        3        0        0
36913 wmcore                             	       3        0        3        0        0
36914 wmfsm                              	       3        1        2        0        0
36915 wmget                              	       3        0        3        0        0
36916 wmgtemp                            	       3        0        3        0        0
36917 wmmail                             	       3        1        2        0        0
36918 wmnut                              	       3        0        3        0        0
36919 wmtv                               	       3        0        3        0        0
36920 wmwave                             	       3        0        3        0        0
36921 woof-doom                          	       3        0        3        0        0
36922 wordpress-theme-twentytwentyone    	       3        0        2        0        1
36923 wp2latex-styles                    	       3        0        0        0        3
36924 wpg2odg                            	       3        2        1        0        0
36925 writer2latex-manual                	       3        0        0        0        3
36926 wsdd2                              	       3        0        3        0        0
36927 wvkbd                              	       3        0        3        0        0
36928 wxastrocapture                     	       3        0        3        0        0
36929 x-dev                              	       3        0        0        0        3
36930 x-face-el                          	       3        0        3        0        0
36931 x-ttcidfont-conf                   	       3        0        0        0        3
36932 x2goplugin                         	       3        0        1        0        2
36933 x3270-doc                          	       3        0        0        0        3
36934 x86-64-v2-support                  	       3        0        0        0        3
36935 x86dis                             	       3        0        2        1        0
36936 xara-gtk                           	       3        0        3        0        0
36937 xarclock                           	       3        0        3        0        0
36938 xbmc                               	       3        0        0        0        3
36939 xbrzscale                          	       3        0        3        0        0
36940 xcaddy                             	       3        0        3        0        0
36941 xchain                             	       3        0        3        0        0
36942 xdg-dbus-proxy-tests               	       3        0        0        0        3
36943 xen-hypervisor-4.4-amd64           	       3        0        0        0        3
36944 xen-linux-system-amd64             	       3        0        0        0        3
36945 xen-utils-4.4                      	       3        0        3        0        0
36946 xeroxofficeprtdrv                  	       3        1        2        0        0
36947 xfaces                             	       3        0        3        0        0
36948 xfce4-messenger-plugin             	       3        0        0        0        3
36949 xfprint4                           	       3        0        3        0        0
36950 xgterm                             	       3        0        3        0        0
36951 xjump                              	       3        0        3        0        0
36952 xkbsel                             	       3        0        3        0        0
36953 xlhtml                             	       3        1        2        0        0
36954 xmms                               	       3        0        3        0        0
36955 xmms2-client-avahi                 	       3        0        3        0        0
36956 xmms2-dev                          	       3        0        3        0        0
36957 xmms2tray                          	       3        1        2        0        0
36958 xmorph                             	       3        0        3        0        0
36959 xmppc                              	       3        0        3        0        0
36960 xpa-tools                          	       3        0        3        0        0
36961 xpilot-ng-server                   	       3        0        3        0        0
36962 xprint-utils                       	       3        0        3        0        0
36963 xserver-xorg-input-aiptek          	       3        0        3        0        0
36964 xserver-xorg-input-mutouch         	       3        0        3        0        0
36965 xserver-xorg-input-xwiimote        	       3        0        3        0        0
36966 xstarfish                          	       3        0        3        0        0
36967 xtitle                             	       3        0        3        0        0
36968 xul-ext-noscript                   	       3        0        0        0        3
36969 xwrited                            	       3        0        3        0        0
36970 xymon                              	       3        1        2        0        0
36971 yajl-tools                         	       3        0        2        1        0
36972 yakuake-trinity                    	       3        0        3        0        0
36973 yandex-disk                        	       3        0        3        0        0
36974 yapps2                             	       3        0        3        0        0
36975 yard                               	       3        0        3        0        0
36976 yaret                              	       3        0        3        0        0
36977 yavta                              	       3        0        3        0        0
36978 yaws-doc                           	       3        0        0        0        3
36979 yodl                               	       3        0        3        0        0
36980 yorick-av                          	       3        0        3        0        0
36981 yorick-gl                          	       3        0        3        0        0
36982 yorick-gy                          	       3        0        3        0        0
36983 yorick-mira                        	       3        0        3        0        0
36984 yorick-ml4                         	       3        0        3        0        0
36985 yorick-mpeg                        	       3        0        3        0        0
36986 yorick-soy                         	       3        0        3        0        0
36987 yorick-yeti-regex                  	       3        0        3        0        0
36988 yorick-ygsl                        	       3        0        3        0        0
36989 yorick-ynfft                       	       3        0        3        0        0
36990 ytcc                               	       3        0        3        0        0
36991 yubihsm-auth                       	       3        0        2        1        0
36992 yubihsm-shell                      	       3        0        2        1        0
36993 yubihsm-wrap                       	       3        0        2        1        0
36994 yubikey-luks                       	       3        0        3        0        0
36995 zabbix-apache-conf                 	       3        0        0        0        3
36996 zatacka                            	       3        0        3        0        0
36997 zbackup                            	       3        0        3        0        0
36998 zec                                	       3        0        3        0        0
36999 zlib-bin                           	       3        0        3        0        0
37000 zmk                                	       3        0        0        0        3
37001 znc-backlog                        	       3        0        3        0        0
37002 zookeeper                          	       3        0        3        0        0
37003 zst                                	       3        0        3        0        0
37004 zvbi                               	       3        2        1        0        0
37005 zygrib                             	       3        0        3        0        0
37006 zygrib-maps                        	       3        0        0        0        3
37007 zypper                             	       3        0        3        0        0
37008 zypper-common                      	       3        0        3        0        0
37009 zziplib-bin                        	       3        0        2        1        0
37010 4ktokkit                           	       2        0        2        0        0
37011 64tass                             	       2        0        1        1        0
37012 a2d                                	       2        0        2        0        0
37013 a56                                	       2        0        2        0        0
37014 aacgain                            	       2        0        2        0        0
37015 aasvg                              	       2        0        2        0        0
37016 abbtr                              	       2        0        2        0        0
37017 abigail-doc                        	       2        0        0        0        2
37018 abiword-help                       	       2        0        0        0        2
37019 abuse                              	       2        0        2        0        0
37020 abuse-lib                          	       2        0        0        0        2
37021 ace                                	       2        0        1        1        0
37022 acedb-other                        	       2        0        1        0        1
37023 acl2-infix                         	       2        0        2        0        0
37024 acl2-infix-source                  	       2        0        2        0        0
37025 aclock.app                         	       2        0        2        0        0
37026 acroread-escript                   	       2        1        1        0        0
37027 acroread-fonts-jpn                 	       2        1        1        0        0
37028 acroread-plugins                   	       2        1        1        0        0
37029 activitywatch                      	       2        1        1        0        0
37030 addressmanager.app                 	       2        0        2        0        0
37031 adoptopenjdk-11-hotspot            	       2        0        2        0        0
37032 adql-java                          	       2        0        0        0        2
37033 advi-examples                      	       2        0        0        0        2
37034 aephea                             	       2        0        0        0        2
37035 aewm                               	       2        0        2        0        0
37036 afdko                              	       2        0        2        0        0
37037 affiche.app                        	       2        0        2        0        0
37038 aft                                	       2        0        2        0        0
37039 aften                              	       2        0        2        0        0
37040 agda                               	       2        0        0        0        2
37041 agda-stdlib                        	       2        0        0        0        2
37042 agda-stdlib-doc                    	       2        0        0        0        2
37043 agenda.app                         	       2        0        2        0        0
37044 ahcpd                              	       2        1        1        0        0
37045 airport-utils                      	       2        0        2        0        0
37046 akira                              	       2        0        2        0        0
37047 aladin                             	       2        0        2        0        0
37048 alevt                              	       2        1        1        0        0
37049 alex                               	       2        1        1        0        0
37050 alfa                               	       2        0        2        0        0
37051 algotutor                          	       2        0        2        0        0
37052 alice                              	       2        0        2        0        0
37053 alltray                            	       2        0        2        0        0
37054 allure                             	       2        0        2        0        0
37055 almanah                            	       2        0        2        0        0
37056 alsa-firmware                      	       2        0        2        0        0
37057 amarok-engine-akode-trinity        	       2        0        2        0        0
37058 amber                              	       2        0        2        0        0
37059 amd-opencl-dev                     	       2        0        0        0        2
37060 amdgpu                             	       2        0        0        0        2
37061 amdgpu-dkms-headers                	       2        0        2        0        0
37062 amdgpu-doc                         	       2        0        0        0        2
37063 amdgpu-pin                         	       2        0        0        0        2
37064 ament-cmake-core                   	       2        0        0        0        2
37065 ament-cmake-python                 	       2        0        0        0        2
37066 amixer-gtk                         	       2        0        2        0        0
37067 amqp-tools                         	       2        1        1        0        0
37068 android-libandroidfw-dev           	       2        0        2        0        0
37069 android-libbacktrace-dev           	       2        0        1        0        1
37070 android-libnativehelper-dev        	       2        0        2        0        0
37071 android-libselinux-dev             	       2        0        2        0        0
37072 android-libsepol-dev               	       2        0        2        0        0
37073 android-libsparse-dev              	       2        0        1        1        0
37074 android-libunwind-dev              	       2        0        2        0        0
37075 android-libutils-dev               	       2        0        1        1        0
37076 android-platform-frameworks-native-headers	       2        0        1        1        0
37077 angband-doc                        	       2        0        0        0        2
37078 anomaly                            	       2        0        2        0        0
37079 ansible-mitogen                    	       2        0        2        0        0
37080 ansilove                           	       2        0        2        0        0
37081 antix-archive-keyring              	       2        0        0        0        2
37082 antlr-doc                          	       2        0        2        0        0
37083 antlr4-doc                         	       2        0        0        0        2
37084 antpm                              	       2        1        1        0        0
37085 aoetools                           	       2        0        2        0        0
37086 aoflagger                          	       2        0        2        0        0
37087 aoflagger-dev                      	       2        0        2        0        0
37088 apache2-mpm-prefork                	       2        0        0        0        2
37089 apache2-mpm-worker                 	       2        0        1        0        1
37090 apache2-ssl-dev                    	       2        0        2        0        0
37091 apache2.2-common                   	       2        0        1        0        1
37092 apcalc-dev                         	       2        0        1        0        1
37093 apertium-anaphora                  	       2        0        2        0        0
37094 apertium-eng-spa                   	       2        0        0        0        2
37095 apertium-eo-en                     	       2        0        0        0        2
37096 apertium-eo-es                     	       2        0        0        0        2
37097 apertium-eo-fr                     	       2        0        0        0        2
37098 apertium-es-ro                     	       2        0        0        0        2
37099 apertium-fr-es                     	       2        0        0        0        2
37100 apertium-recursive                 	       2        0        2        0        0
37101 apertium-separable                 	       2        0        2        0        0
37102 apertium-spa                       	       2        0        0        0        2
37103 apl                                	       2        0        2        0        0
37104 aplus-fsf                          	       2        0        2        0        0
37105 apparix                            	       2        0        2        0        0
37106 appflowy                           	       2        1        1        0        0
37107 appimagelauncher                   	       2        1        1        0        0
37108 appstream-doc                      	       2        0        0        0        2
37109 appstream-generator                	       2        0        2        0        0
37110 appstream-index                    	       2        0        1        0        1
37111 aprs-symbols                       	       2        0        0        0        2
37112 apt-fast                           	       2        0        0        2        0
37113 apt-listdifferences                	       2        0        2        0        0
37114 aptitude-doc-it                    	       2        0        0        0        2
37115 aptitude-doc-nl                    	       2        0        0        0        2
37116 aptitude-robot                     	       2        0        2        0        0
37117 aranym                             	       2        0        2        0        0
37118 arb                                	       2        0        2        0        0
37119 arb-common                         	       2        0        2        0        0
37120 arb-doc                            	       2        0        0        0        2
37121 architecture-properties            	       2        0        0        0        2
37122 arctica-greeter-remote-logon       	       2        0        0        0        2
37123 arm-trusted-firmware               	       2        0        0        0        2
37124 arm-trusted-firmware-tools         	       2        0        2        0        0
37125 armbian-firmware                   	       2        0        2        0        0
37126 ascd                               	       2        0        2        0        0
37127 asmon                              	       2        0        2        0        0
37128 asn1c-doc                          	       2        0        0        0        2
37129 aspectc++                          	       2        0        2        0        0
37130 aspell-eu-es                       	       2        0        0        0        2
37131 aspell-hy                          	       2        0        2        0        0
37132 aspnetcore-runtime-9.0             	       2        0        0        0        2
37133 aspnetcore-targeting-pack-3.1      	       2        0        0        0        2
37134 aspnetcore-targeting-pack-9.0      	       2        0        0        0        2
37135 asql                               	       2        0        2        0        0
37136 asterisk-dahdi                     	       2        0        2        0        0
37137 asterisk-dev                       	       2        0        2        0        0
37138 asterisk-ooh323                    	       2        1        1        0        0
37139 asterisk-prompt-de                 	       2        0        0        0        2
37140 astro-catalogs                     	       2        0        0        0        2
37141 astro-datareduction                	       2        0        0        0        2
37142 astro-development                  	       2        0        0        0        2
37143 astro-frameworks                   	       2        0        0        0        2
37144 astro-gdl                          	       2        0        0        0        2
37145 astro-tcltk                        	       2        0        0        0        2
37146 astro-tools                        	       2        0        0        0        2
37147 astromatic                         	       2        0        0        0        2
37148 astrometry-data-tycho2             	       2        0        0        0        2
37149 astrometry-data-tycho2-07          	       2        0        0        0        2
37150 astrometry-data-tycho2-07-littleendian	       2        0        0        0        2
37151 astrometry-data-tycho2-08          	       2        0        0        0        2
37152 astrometry-data-tycho2-08-littleendian	       2        0        0        0        2
37153 astrometry-data-tycho2-09          	       2        0        0        0        2
37154 astrometry-data-tycho2-09-littleendian	       2        0        0        0        2
37155 astrometry-data-tycho2-10-19       	       2        0        0        0        2
37156 astrometry-data-tycho2-10-19-littleendian	       2        0        0        0        2
37157 at-spi2-doc                        	       2        0        0        0        2
37158 aterm-ml                           	       2        0        2        0        0
37159 atsar                              	       2        0        2        0        0
37160 atuin                              	       2        1        0        1        0
37161 audtty                             	       2        0        2        0        0
37162 aufs-dev                           	       2        0        2        0        0
37163 aufs-util                          	       2        0        2        0        0
37164 austin                             	       2        0        2        0        0
37165 authprogs                          	       2        0        2        0        0
37166 auto-install-el                    	       2        0        2        0        0
37167 auto-multiple-choice-doc-pdf       	       2        0        0        0        2
37168 autobook                           	       2        0        2        0        0
37169 autoflake                          	       2        0        2        0        0
37170 autofs-ldap                        	       2        0        0        0        2
37171 automake1.9-doc                    	       2        0        0        0        2
37172 avahi-ui-utils-dbgsym              	       2        0        2        0        0
37173 avldrums.lv2-data                  	       2        0        2        0        0
37174 awf-gtk3                           	       2        0        2        0        0
37175 axiom                              	       2        0        1        1        0
37176 axiom-databases                    	       2        0        1        1        0
37177 axiom-doc                          	       2        0        0        0        2
37178 axiom-graphics                     	       2        0        1        1        0
37179 axiom-graphics-data                	       2        0        1        1        0
37180 axiom-hypertex                     	       2        0        1        1        0
37181 axiom-hypertex-data                	       2        0        0        0        2
37182 axmail                             	       2        0        2        0        0
37183 ayatana-indicator-power            	       2        0        2        0        0
37184 ayatana-indicator-printers         	       2        0        2        0        0
37185 backintime-qt4                     	       2        0        1        0        1
37186 backup-manager-doc                 	       2        0        0        0        2
37187 bacula-common-pgsql                	       2        1        1        0        0
37188 bacula-console-qt                  	       2        0        2        0        0
37189 bacula-director-pgsql              	       2        0        0        0        2
37190 baidunetdisk                       	       2        0        2        0        0
37191 balazar3                           	       2        0        0        0        2
37192 balazar3-3d                        	       2        0        0        0        2
37193 balazar3-common                    	       2        0        2        0        0
37194 balsa                              	       2        0        2        0        0
37195 balsa-data                         	       2        0        0        0        2
37196 bandit                             	       2        0        0        0        2
37197 banshee-extension-mirage           	       2        0        2        0        0
37198 banshee-extensions-common          	       2        0        0        0        2
37199 bareos-filedaemon-python-plugins-common	       2        1        1        0        0
37200 bareos-filedaemon-python3-plugin   	       2        1        1        0        0
37201 bart                               	       2        0        2        0        0
37202 bart-view                          	       2        0        2        0        0
37203 bats-assert                        	       2        0        2        0        0
37204 bats-file                          	       2        0        2        0        0
37205 bats-support                       	       2        0        2        0        0
37206 bazel-bootstrap                    	       2        0        2        0        0
37207 bazel-bootstrap-data               	       2        0        2        0        0
37208 bbdb                               	       2        0        0        0        2
37209 bbswitch-source                    	       2        0        0        0        2
37210 bcachefs-tools                     	       2        0        2        0        0
37211 bdf2sfd                            	       2        0        2        0        0
37212 beamium                            	       2        1        1        0        0
37213 beancounter                        	       2        0        2        0        0
37214 beef                               	       2        1        1        0        0
37215 belvu                              	       2        0        2        0        0
37216 bforartists                        	       2        0        2        0        0
37217 biabam                             	       2        0        2        0        0
37218 binutils-for-host                  	       2        0        0        0        2
37219 binutils-msp430                    	       2        0        2        0        0
37220 binutils-source                    	       2        0        0        0        2
37221 binutils-x86-64-linux-gnu-dbg      	       2        0        1        1        0
37222 binutils-xtensa-lx106              	       2        0        2        0        0
37223 bioperl                            	       2        0        2        0        0
37224 bioperl-run                        	       2        0        2        0        0
37225 biosig-tools                       	       2        0        2        0        0
37226 bird-doc                           	       2        0        0        0        2
37227 bitlbee-dev                        	       2        0        1        0        1
37228 bitlbee-plugin-mastodon            	       2        1        1        0        0
37229 bitlbee-plugin-otr                 	       2        1        1        0        0
37230 bitmeter                           	       2        0        2        0        0
37231 bitstormlite                       	       2        0        2        0        0
37232 bitwise                            	       2        0        2        0        0
37233 blender-doc                        	       2        0        0        0        2
37234 blight                             	       2        0        2        0        0
37235 blobby-server                      	       2        0        2        0        0
37236 bls-standalone                     	       2        0        2        0        0
37237 blueprint-tools                    	       2        0        2        0        0
37238 bluewho                            	       2        0        2        0        0
37239 bluez-alsa                         	       2        0        0        0        2
37240 bluez-gstreamer                    	       2        0        0        0        2
37241 blupimania                         	       2        0        2        0        0
37242 blupimania-common                  	       2        0        0        0        2
37243 bnfc                               	       2        0        2        0        0
37244 boinc-screensaver                  	       2        0        2        0        0
37245 boinc-virtualbox                   	       2        0        0        0        2
37246 bootchart                          	       2        0        2        0        0
37247 bootchart2                         	       2        0        2        0        0
37248 bootlogd-dbgsym                    	       2        0        2        0        0
37249 bootpc                             	       2        0        2        0        0
37250 bootstrap-icons                    	       2        0        0        0        2
37251 bootterm                           	       2        0        2        0        0
37252 borgcalendar                       	       2        0        2        0        0
37253 botch-doc                          	       2        0        0        0        2
37254 bowtie2                            	       2        0        2        0        0
37255 boxshade                           	       2        0        2        0        0
37256 brag                               	       2        0        2        0        0
37257 brazilian-conjugate                	       2        0        2        0        0
37258 brewtarget                         	       2        0        2        0        0
37259 brhl2140lpr                        	       2        0        2        0        0
37260 bricscadv23                        	       2        0        2        0        0
37261 bricscadv24                        	       2        0        2        0        0
37262 browser-plugin-lightspark          	       2        0        2        0        0
37263 bsdutils-dbgsym                    	       2        0        2        0        0
37264 btrfs-assistant                    	       2        0        2        0        0
37265 buckap                             	       2        0        2        0        0
37266 budgie-desktop-doc                 	       2        0        0        0        2
37267 budgie-extras-daemon               	       2        0        2        0        0
37268 budgie-keyboard-autoswitch-applet  	       2        0        0        0        2
37269 budgie-sntray-plugin               	       2        0        0        0        2
37270 budgie-wallstreet                  	       2        1        1        0        0
37271 budgie-weathershow-applet          	       2        0        0        0        2
37272 budgie-window-shuffler             	       2        0        2        0        0
37273 bugz                               	       2        0        2        0        0
37274 bugzilla-cli                       	       2        0        2        0        0
37275 build                              	       2        0        2        0        0
37276 buildapp                           	       2        0        2        0        0
37277 buildbot-doc                       	       2        0        0        0        2
37278 bumpversion                        	       2        0        2        0        0
37279 butt                               	       2        0        2        0        0
37280 butteraugli                        	       2        0        2        0        0
37281 bygfoot                            	       2        0        2        0        0
37282 bygfoot-data                       	       2        0        0        0        2
37283 cairo-dock                         	       2        0        0        0        2
37284 cairo-dock-dev                     	       2        0        2        0        0
37285 cairo-dock-plug-ins                	       2        0        0        0        2
37286 calamares-extensions-data          	       2        0        0        0        2
37287 calculix-cgx-examples              	       2        0        0        0        2
37288 cam2ip                             	       2        0        0        2        0
37289 camlp5                             	       2        0        2        0        0
37290 camstream                          	       2        0        2        0        0
37291 canna                              	       2        0        2        0        0
37292 cantor-backend-lua                 	       2        0        0        0        2
37293 cantor-backend-octave              	       2        0        0        0        2
37294 cantor-backend-r                   	       2        0        1        1        0
37295 capplets-data                      	       2        0        0        0        2
37296 caps-lv2                           	       2        0        0        0        2
37297 caps-lv2-data                      	       2        0        0        0        2
37298 carla-bridge-linux32               	       2        0        2        0        0
37299 carla-bridge-linux64               	       2        0        2        0        0
37300 carla-bridge-wine32                	       2        0        0        0        2
37301 carla-git                          	       2        0        2        0        0
37302 carla-git-data                     	       2        0        0        0        2
37303 castle-game-engine-doc             	       2        0        2        0        0
37304 casync                             	       2        1        1        0        0
37305 catch                              	       2        0        0        0        2
37306 catkin                             	       2        0        2        0        0
37307 cba                                	       2        0        2        0        0
37308 cbmconvert                         	       2        0        2        0        0
37309 cc-tool                            	       2        0        2        0        0
37310 ccache-dbgsym                      	       2        0        2        0        0
37311 cd-circleprint                     	       2        0        2        0        0
37312 cdbfasta                           	       2        0        2        0        0
37313 cdda2wav                           	       2        0        2        0        0
37314 cddb                               	       2        0        2        0        0
37315 cdde                               	       2        1        1        0        0
37316 cdebootstrap-static                	       2        1        1        0        0
37317 cdemu-daemon                       	       2        0        2        0        0
37318 cdpr                               	       2        0        2        0        0
37319 cdrecord                           	       2        0        2        0        0
37320 celery                             	       2        1        1        0        0
37321 cellwriter                         	       2        1        1        0        0
37322 ceph-fs-common                     	       2        1        1        0        0
37323 ceph-mgr                           	       2        0        2        0        0
37324 ceph-mgr-modules-core              	       2        0        0        0        2
37325 cephfs-shell                       	       2        1        1        0        0
37326 ceres-theme-gtk                    	       2        0        1        0        1
37327 cfingerd                           	       2        0        2        0        0
37328 cflow-l10n                         	       2        0        0        0        2
37329 cgns-convert                       	       2        0        2        0        0
37330 cgview                             	       2        0        2        0        0
37331 ch5m3d                             	       2        0        2        0        0
37332 chai                               	       2        0        2        0        0
37333 chemeq                             	       2        0        2        0        0
37334 cherokee                           	       2        1        1        0        0
37335 cherokee-admin                     	       2        0        2        0        0
37336 chezmoi                            	       2        0        2        0        0
37337 chezscheme-dev                     	       2        0        2        0        0
37338 chicago95-theme-gtk                	       2        0        0        0        2
37339 chm2pdf                            	       2        0        2        0        0
37340 chowmatrix                         	       2        0        2        0        0
37341 chroma-curses                      	       2        0        2        0        0
37342 chromedriver                       	       2        0        0        0        2
37343 chromium-browser                   	       2        1        1        0        0
37344 chromium-gost-stable               	       2        0        2        0        0
37345 chromium-tt-rss-notifier           	       2        0        0        0        2
37346 chrootuid                          	       2        0        2        0        0
37347 cht.sh                             	       2        0        2        0        0
37348 cimg-doc                           	       2        0        0        0        2
37349 cinc-workstation                   	       2        0        2        0        0
37350 cinelerra                          	       2        0        2        0        0
37351 cinelerra-data                     	       2        1        1        0        0
37352 cinelerra-doc                      	       2        0        0        0        2
37353 cinny                              	       2        0        2        0        0
37354 circle-flags-svg                   	       2        0        0        0        2
37355 ckbuilder                          	       2        0        2        0        0
37356 cl-actionlib                       	       2        0        0        0        2
37357 cl-actionlib-msgs                  	       2        0        0        0        2
37358 cl-agnostic-lizard                 	       2        0        0        0        2
37359 cl-asdf-finalizers                 	       2        0        0        0        2
37360 cl-bond                            	       2        0        0        0        2
37361 cl-chipz                           	       2        0        0        0        2
37362 cl-closure-common                  	       2        0        0        0        2
37363 cl-esrap                           	       2        0        0        0        2
37364 cl-geometry-msgs                   	       2        0        0        0        2
37365 cl-global-vars                     	       2        0        0        0        2
37366 cl-heredoc                         	       2        0        0        0        2
37367 cl-lw-compat                       	       2        0        0        0        2
37368 cl-map-msgs                        	       2        0        0        0        2
37369 cl-md5                             	       2        0        0        0        2
37370 cl-nav-msgs                        	       2        0        0        0        2
37371 cl-nodelet                         	       2        0        0        0        2
37372 cl-parse-number                    	       2        0        0        0        2
37373 cl-pg                              	       2        0        0        0        2
37374 cl-photo                           	       2        0        0        0        2
37375 cl-regex                           	       2        0        0        0        2
37376 cl-rosgraph-msgs                   	       2        0        0        0        2
37377 cl-sensor-msgs                     	       2        0        0        0        2
37378 cl-shape-msgs                      	       2        0        0        0        2
37379 cl-split-sequence                  	       2        0        0        0        2
37380 cl-sql-uffi                        	       2        0        2        0        0
37381 cl-sqlite                          	       2        0        0        0        2
37382 cl-std-msgs                        	       2        0        0        0        2
37383 cl-std-srvs                        	       2        0        0        0        2
37384 cl-uffi-tests                      	       2        0        2        0        0
37385 cl-zpb-ttf                         	       2        0        0        0        2
37386 clang-11-examples                  	       2        0        0        0        2
37387 clang-20                           	       2        0        2        0        0
37388 clang-8                            	       2        0        2        0        0
37389 clang-9-examples                   	       2        0        0        0        2
37390 clang-format-18                    	       2        0        2        0        0
37391 clang-format-9                     	       2        0        2        0        0
37392 clang-tidy-16                      	       2        1        1        0        0
37393 clang-tidy-18                      	       2        0        2        0        0
37394 clang-tidy-7                       	       2        0        2        0        0
37395 clang-tools-10                     	       2        0        2        0        0
37396 clang-tools-18                     	       2        0        2        0        0
37397 clangd-13                          	       2        0        2        0        0
37398 clangd-18                          	       2        0        2        0        0
37399 cli-spinner                        	       2        0        2        0        0
37400 clisp-module-fastcgi               	       2        0        2        0        0
37401 clisp-module-libsvm                	       2        0        2        0        0
37402 clisp-module-pari                  	       2        0        2        0        0
37403 cloop-src                          	       2        0        0        0        2
37404 cloop-utils                        	       2        0        2        0        0
37405 clsync                             	       2        0        2        0        0
37406 clustalx                           	       2        0        2        0        0
37407 cmark-gfm                          	       2        0        2        0        0
37408 cmigemo                            	       2        1        1        0        0
37409 cmucl-docs                         	       2        0        0        0        2
37410 cmucl-source                       	       2        0        0        0        2
37411 cncnet                             	       2        0        2        0        0
37412 cnijfilter-ix6800series            	       2        0        2        0        0
37413 cnijfilter-mg2500series            	       2        0        2        0        0
37414 cnrcupsiradvc5840zs                	       2        0        0        0        2
37415 cnrcupsiradvc5860zs                	       2        0        0        0        2
37416 cntlm                              	       2        0        2        0        0
37417 cockpit                            	       2        0        0        0        2
37418 cockpit-doc                        	       2        0        0        0        2
37419 cockpit-ws                         	       2        0        2        0        0
37420 code-saturne-bin                   	       2        0        2        0        0
37421 code-saturne-data                  	       2        0        2        0        0
37422 code-saturne-doc                   	       2        0        0        0        2
37423 code-server                        	       2        0        2        0        0
37424 code2html                          	       2        0        2        0        0
37425 codeblocks-contrib-common          	       2        0        0        0        2
37426 codeblocks-libwxcontrib0           	       2        0        1        0        1
37427 coderay                            	       2        0        2        0        0
37428 codesearch                         	       2        1        1        0        0
37429 cog                                	       2        0        2        0        0
37430 coinor-csdp                        	       2        0        2        0        0
37431 coinor-csdp-doc                    	       2        0        0        0        2
37432 coinst                             	       2        0        2        0        0
37433 collaboraoffice-dict-fr            	       2        0        0        0        2
37434 collatinus                         	       2        0        2        0        0
37435 comgt                              	       2        0        2        0        0
37436 comix                              	       2        0        2        0        0
37437 comixcursors-lefthanded-opaque     	       2        0        0        0        2
37438 compiz-core-trinity                	       2        0        2        0        0
37439 compiz-fusion-plugins-extra-trinity	       2        0        2        0        0
37440 compiz-fusion-plugins-main-trinity 	       2        0        2        0        0
37441 compiz-plugins-trinity             	       2        0        2        0        0
37442 compiz-trinity                     	       2        0        0        0        2
37443 composablekernel-dev               	       2        0        2        0        0
37444 comptext                           	       2        0        2        0        0
37445 comptty                            	       2        0        2        0        0
37446 comskip-gui                        	       2        0        2        0        0
37447 conky-cli                          	       2        1        1        0        0
37448 connman-gtk-xdg-autostart          	       2        0        0        0        2
37449 consolefonts-base                  	       2        0        0        0        2
37450 context-nonfree                    	       2        0        0        0        2
37451 contextfree                        	       2        0        2        0        0
37452 cookiecutter                       	       2        0        2        0        0
37453 coolwsd                            	       2        0        2        0        0
37454 copyfs                             	       2        0        2        0        0
37455 coredhcp-client                    	       2        0        2        0        0
37456 corkscrew                          	       2        0        2        0        0
37457 corsix-th                          	       2        0        2        0        0
37458 corsix-th-data                     	       2        0        0        0        2
37459 cotp                               	       2        1        1        0        0
37460 courier-authlib-postgresql         	       2        1        1        0        0
37461 courier-ssl                        	       2        0        1        0        1
37462 cpipe                              	       2        0        2        0        0
37463 cpl-plugin-amber                   	       2        0        0        0        2
37464 cpl-plugin-fors                    	       2        0        0        0        2
37465 cpl-plugin-giraf                   	       2        0        0        0        2
37466 cpl-plugin-hawki                   	       2        0        0        0        2
37467 cpl-plugin-muse                    	       2        0        0        0        2
37468 cpl-plugin-naco                    	       2        0        0        0        2
37469 cpl-plugin-uves                    	       2        0        0        0        2
37470 cpl-plugin-vimos                   	       2        0        0        0        2
37471 cpl-plugin-visir                   	       2        0        0        0        2
37472 cpl-plugin-xshoo                   	       2        0        0        0        2
37473 cpp-10-hppa-linux-gnu              	       2        0        2        0        0
37474 cpp-10-powerpc64-linux-gnu         	       2        0        2        0        0
37475 cpp-12-powerpc-linux-gnu           	       2        0        2        0        0
37476 cpp-12-s390x-linux-gnu             	       2        0        2        0        0
37477 cpp-14-arm-linux-gnueabi           	       2        0        2        0        0
37478 cpp-14-doc                         	       2        0        0        0        2
37479 cpp-14-powerpc-linux-gnu           	       2        0        2        0        0
37480 cpp-15                             	       2        0        2        0        0
37481 cpp-15-x86-64-linux-gnu            	       2        0        2        0        0
37482 cpp-4.2                            	       2        0        2        0        0
37483 cpp-4.7-doc                        	       2        0        0        0        2
37484 cpp-4.9-doc                        	       2        0        0        0        2
37485 cpp-6-aarch64-linux-gnu            	       2        0        2        0        0
37486 cpp-8-arm-linux-gnueabi            	       2        0        2        0        0
37487 cpp-8-i686-linux-gnu               	       2        0        2        0        0
37488 cpp-8-x86-64-linux-gnux32          	       2        0        2        0        0
37489 cpp-9-arm-linux-gnueabihf          	       2        0        2        0        0
37490 cppad                              	       2        0        0        0        2
37491 cppcms                             	       2        0        2        0        0
37492 cpphs                              	       2        1        1        0        0
37493 cpudyn                             	       2        2        0        0        0
37494 createrepo-c                       	       2        0        2        0        0
37495 crm114                             	       2        1        1        0        0
37496 cronie                             	       2        1        1        0        0
37497 cross-exe-wrapper                  	       2        0        1        1        0
37498 crossbuild-essential-amd64         	       2        0        0        0        2
37499 crossbuild-essential-mips          	       2        0        0        0        2
37500 crossbuild-essential-mipsel        	       2        0        0        0        2
37501 crrcsim-doc                        	       2        0        0        0        2
37502 crypt++el                          	       2        0        2        0        0
37503 cssc                               	       2        0        2        0        0
37504 ctn                                	       2        0        2        0        0
37505 cuda                               	       2        0        0        0        2
37506 cuda-12-6                          	       2        0        0        0        2
37507 cuda-cccl-12-4                     	       2        0        2        0        0
37508 cuda-cccl-12-8                     	       2        0        1        1        0
37509 cuda-command-line-tools-12-4       	       2        0        0        0        2
37510 cuda-command-line-tools-12-8       	       2        0        0        0        2
37511 cuda-compiler-12-4                 	       2        0        0        0        2
37512 cuda-compiler-12-8                 	       2        0        0        0        2
37513 cuda-crt-12-4                      	       2        0        2        0        0
37514 cuda-crt-12-8                      	       2        0        1        1        0
37515 cuda-cudart-12-4                   	       2        0        0        0        2
37516 cuda-cudart-12-8                   	       2        0        0        0        2
37517 cuda-cudart-dev-12-4               	       2        0        2        0        0
37518 cuda-cudart-dev-12-8               	       2        0        1        1        0
37519 cuda-cuobjdump-12-4                	       2        0        2        0        0
37520 cuda-cuobjdump-12-8                	       2        0        1        1        0
37521 cuda-cupti-12-4                    	       2        0        2        0        0
37522 cuda-cupti-12-8                    	       2        0        1        1        0
37523 cuda-cupti-dev-12-4                	       2        0        2        0        0
37524 cuda-cupti-dev-12-8                	       2        0        1        1        0
37525 cuda-cuxxfilt-12-4                 	       2        0        2        0        0
37526 cuda-cuxxfilt-12-8                 	       2        0        1        1        0
37527 cuda-demo-suite-12-6               	       2        0        0        0        2
37528 cuda-documentation-12-4            	       2        0        0        0        2
37529 cuda-documentation-12-8            	       2        0        0        0        2
37530 cuda-driver-dev-12-4               	       2        0        2        0        0
37531 cuda-driver-dev-12-8               	       2        0        1        1        0
37532 cuda-gdb-12-4                      	       2        0        2        0        0
37533 cuda-gdb-12-8                      	       2        0        1        1        0
37534 cuda-libraries-12-4                	       2        0        0        0        2
37535 cuda-libraries-12-8                	       2        0        0        0        2
37536 cuda-libraries-dev-12-4            	       2        0        0        0        2
37537 cuda-libraries-dev-12-8            	       2        0        0        0        2
37538 cuda-nsight-12-4                   	       2        0        2        0        0
37539 cuda-nsight-12-8                   	       2        0        1        1        0
37540 cuda-nsight-compute-12-4           	       2        0        2        0        0
37541 cuda-nsight-compute-12-8           	       2        0        1        1        0
37542 cuda-nsight-systems-12-4           	       2        0        2        0        0
37543 cuda-nsight-systems-12-8           	       2        0        1        1        0
37544 cuda-nvcc-12-4                     	       2        0        2        0        0
37545 cuda-nvcc-12-8                     	       2        0        1        1        0
37546 cuda-nvdisasm-12-4                 	       2        0        2        0        0
37547 cuda-nvdisasm-12-8                 	       2        0        1        1        0
37548 cuda-nvml-dev-12-4                 	       2        0        2        0        0
37549 cuda-nvml-dev-12-8                 	       2        0        1        1        0
37550 cuda-nvprof-12-4                   	       2        0        2        0        0
37551 cuda-nvprof-12-8                   	       2        0        1        1        0
37552 cuda-nvprune-12-4                  	       2        0        2        0        0
37553 cuda-nvprune-12-8                  	       2        0        1        1        0
37554 cuda-nvrtc-12-4                    	       2        0        0        0        2
37555 cuda-nvrtc-12-8                    	       2        0        0        0        2
37556 cuda-nvrtc-dev-12-4                	       2        0        2        0        0
37557 cuda-nvrtc-dev-12-8                	       2        0        1        1        0
37558 cuda-nvtx-12-4                     	       2        0        2        0        0
37559 cuda-nvtx-12-8                     	       2        0        1        1        0
37560 cuda-nvvm-12-4                     	       2        0        2        0        0
37561 cuda-nvvm-12-8                     	       2        0        1        1        0
37562 cuda-nvvp-12-4                     	       2        0        2        0        0
37563 cuda-nvvp-12-8                     	       2        0        1        1        0
37564 cuda-opencl-12-4                   	       2        0        2        0        0
37565 cuda-opencl-12-8                   	       2        0        1        0        1
37566 cuda-opencl-dev-12-4               	       2        0        2        0        0
37567 cuda-opencl-dev-12-8               	       2        0        1        1        0
37568 cuda-profiler-api-12-4             	       2        0        2        0        0
37569 cuda-profiler-api-12-8             	       2        0        1        1        0
37570 cuda-runtime-12-6                  	       2        0        0        0        2
37571 cuda-sanitizer-12-4                	       2        0        2        0        0
37572 cuda-sanitizer-12-8                	       2        0        1        1        0
37573 cuda-toolkit                       	       2        0        0        0        2
37574 cuda-toolkit-12-4                  	       2        0        0        0        2
37575 cuda-toolkit-12-4-config-common    	       2        0        0        0        2
37576 cuda-toolkit-12-8                  	       2        0        0        0        2
37577 cuda-toolkit-12-8-config-common    	       2        0        0        0        2
37578 cuda-tools-12-4                    	       2        0        0        0        2
37579 cuda-tools-12-8                    	       2        0        0        0        2
37580 cuda-visual-tools-12-4             	       2        0        0        0        2
37581 cuda-visual-tools-12-8             	       2        0        0        0        2
37582 cudatext                           	       2        0        2        0        0
37583 cudf-tools                         	       2        0        2        0        0
37584 cudnn9                             	       2        0        0        0        2
37585 cudnn9-cuda-12                     	       2        0        0        0        2
37586 cupswrapperdcp7065dn               	       2        0        0        0        2
37587 cupswrapperhl2140                  	       2        0        0        0        2
37588 curry-frontend                     	       2        0        2        0        0
37589 curtain                            	       2        0        2        0        0
37590 cvc4                               	       2        0        2        0        0
37591 cvm                                	       2        0        2        0        0
37592 cvs2cl                             	       2        0        2        0        0
37593 cvsd                               	       2        1        1        0        0
37594 cypher-shell                       	       2        0        1        1        0
37595 cyrus-admin                        	       2        1        1        0        0
37596 cyrus-murder                       	       2        0        2        0        0
37597 d1h                                	       2        0        2        0        0
37598 d2x-rebirth-data                   	       2        0        0        0        2
37599 d52                                	       2        0        2        0        0
37600 dablin                             	       2        0        2        0        0
37601 dahdi                              	       2        0        2        0        0
37602 dahdi-dkms                         	       2        0        2        0        0
37603 dahdi-firmware-nonfree             	       2        0        2        0        0
37604 dahdi-linux                        	       2        0        2        0        0
37605 daisy-player                       	       2        0        2        0        0
37606 daptup                             	       2        1        1        0        0
37607 darkplaces-server                  	       2        0        2        0        0
37608 darksnow                           	       2        0        2        0        0
37609 dasm                               	       2        0        2        0        0
37610 dattobd                            	       2        0        2        0        0
37611 davical                            	       2        0        2        0        0
37612 db4.6-util                         	       2        0        2        0        0
37613 db4otool                           	       2        0        2        0        0
37614 dbndns                             	       2        2        0        0        0
37615 dbs                                	       2        0        2        0        0
37616 dbus-x11-dbgsym                    	       2        0        2        0        0
37617 dcism                              	       2        0        2        0        0
37618 dcism-osc                          	       2        0        1        0        1
37619 dcp7065dnlpr                       	       2        0        0        0        2
37620 dcpj125cupswrapper                 	       2        0        0        0        2
37621 dcpj125lpr                         	       2        0        2        0        0
37622 dcpj715wcupswrapper                	       2        0        0        0        2
37623 dcpj715wlpr                        	       2        0        2        0        0
37624 dcpl2510dpdrv                      	       2        0        0        0        2
37625 dcpl2530dwpdrv                     	       2        0        0        0        2
37626 dcpl2540dwcupswrapper              	       2        0        0        0        2
37627 dcpl2540dwlpr                      	       2        0        0        0        2
37628 dcpl5500dncupswrapper              	       2        0        0        0        2
37629 dcpl5500dnlpr                      	       2        0        0        0        2
37630 dde-calendar                       	       2        0        2        0        0
37631 ddnet-data                         	       2        0        0        0        2
37632 ddrescue                           	       2        0        2        0        0
37633 debcargo                           	       2        0        1        1        0
37634 debconf-english                    	       2        0        0        0        2
37635 debian-faq-fr                      	       2        0        0        0        2
37636 debian-installer-11-netboot-amd64  	       2        0        2        0        0
37637 debian-installer-launcher          	       2        0        2        0        0
37638 debian-paketmanagement-buch        	       2        0        0        0        2
37639 deepin-album                       	       2        0        2        0        0
37640 deepin-picker                      	       2        0        2        0        0
37641 deezer-desktop                     	       2        0        0        0        2
37642 defendguin                         	       2        0        2        0        0
37643 defendguin-data                    	       2        0        0        0        2
37644 defoma-doc                         	       2        0        0        0        2
37645 delta                              	       2        0        2        0        0
37646 deluge-torrent                     	       2        0        0        0        2
37647 delve                              	       2        0        1        1        0
37648 designer-qt6-plugins               	       2        0        0        0        2
37649 desklaunch                         	       2        0        2        0        0
37650 desktopfolder                      	       2        0        2        0        0
37651 devilutionx                        	       2        0        2        0        0
37652 devrplay3                          	       2        0        0        0        2
37653 devuan-apt-mirror-config-override  	       2        0        0        0        2
37654 devuan-speech-dispatcher-config-override	       2        0        0        0        2
37655 dexed-lv2                          	       2        0        2        0        0
37656 dh-acc                             	       2        0        2        0        0
37657 dh-ada-library                     	       2        0        2        0        0
37658 dh-cargo                           	       2        0        1        1        0
37659 dh-consoledata                     	       2        1        1        0        0
37660 dh-cruft                           	       2        0        2        0        0
37661 dh-di                              	       2        1        1        0        0
37662 dh-linktree                        	       2        0        2        0        0
37663 dh-nss                             	       2        0        2        0        0
37664 dh-octave                          	       2        0        1        1        0
37665 dh-octave-autopkgtest              	       2        0        2        0        0
37666 dh-package-notes                   	       2        0        0        0        2
37667 dh-perl6                           	       2        0        2        0        0
37668 dh-shell-completions               	       2        0        2        0        0
37669 dhewm3-d3xp                        	       2        0        0        0        2
37670 dhis-tools-genkeys                 	       2        0        2        0        0
37671 dibuja                             	       2        0        2        0        0
37672 dico                               	       2        0        2        0        0
37673 dicomnifti                         	       2        0        2        0        0
37674 dict-de-en                         	       2        0        0        0        2
37675 dict-freedict-afr-deu              	       2        0        0        0        2
37676 dict-freedict-afr-eng              	       2        0        0        0        2
37677 dict-freedict-ara-eng              	       2        0        0        0        2
37678 dict-freedict-bre-fra              	       2        0        0        0        2
37679 dict-freedict-ces-eng              	       2        0        0        0        2
37680 dict-freedict-ckb-kmr              	       2        0        0        0        2
37681 dict-freedict-cym-eng              	       2        0        0        0        2
37682 dict-freedict-dan-eng              	       2        0        0        0        2
37683 dict-freedict-deu-ita              	       2        0        0        0        2
37684 dict-freedict-deu-kur              	       2        0        0        0        2
37685 dict-freedict-deu-por              	       2        0        0        0        2
37686 dict-freedict-deu-swe              	       2        0        0        0        2
37687 dict-freedict-deu-tur              	       2        0        0        0        2
37688 dict-freedict-eng-bul              	       2        0        0        0        2
37689 dict-freedict-eng-dan              	       2        0        0        0        2
37690 dict-freedict-eng-nor              	       2        0        0        0        2
37691 dict-freedict-epo-eng              	       2        1        0        0        1
37692 dict-freedict-fin-ell              	       2        0        0        0        2
37693 dict-freedict-fin-eng              	       2        0        0        0        2
37694 dict-freedict-fra-bre              	       2        0        0        0        2
37695 dict-freedict-fra-ell              	       2        0        0        0        2
37696 dict-freedict-fra-nld              	       2        0        0        0        2
37697 dict-freedict-gla-deu              	       2        0        0        0        2
37698 dict-freedict-gle-pol              	       2        0        0        0        2
37699 dict-freedict-hrv-eng              	       2        0        0        0        2
37700 dict-freedict-hun-eng              	       2        0        0        0        2
37701 dict-freedict-isl-eng              	       2        0        0        0        2
37702 dict-freedict-ita-deu              	       2        0        0        0        2
37703 dict-freedict-ita-ell              	       2        0        0        0        2
37704 dict-freedict-ita-rus              	       2        0        0        0        2
37705 dict-freedict-jpn-deu              	       2        0        0        0        2
37706 dict-freedict-jpn-fra              	       2        0        0        0        2
37707 dict-freedict-kha-deu              	       2        0        0        0        2
37708 dict-freedict-kha-eng              	       2        0        0        0        2
37709 dict-freedict-kur-deu              	       2        0        0        0        2
37710 dict-freedict-kur-eng              	       2        0        0        0        2
37711 dict-freedict-kur-tur              	       2        0        0        0        2
37712 dict-freedict-mkd-bul              	       2        0        0        0        2
37713 dict-freedict-nld-deu              	       2        0        0        0        2
37714 dict-freedict-nld-fra              	       2        0        0        0        2
37715 dict-freedict-nno-nob              	       2        0        0        0        2
37716 dict-freedict-oci-cat              	       2        0        0        0        2
37717 dict-freedict-pol-ell              	       2        0        0        0        2
37718 dict-freedict-pol-gle              	       2        0        0        0        2
37719 dict-freedict-pol-rus              	       2        0        0        0        2
37720 dict-freedict-por-deu              	       2        0        0        0        2
37721 dict-freedict-san-deu              	       2        0        0        0        2
37722 dict-freedict-slk-eng              	       2        0        0        0        2
37723 dict-freedict-spa-ast              	       2        0        0        0        2
37724 dict-freedict-spa-por              	       2        0        0        0        2
37725 dict-freedict-srp-eng              	       2        0        0        0        2
37726 dict-freedict-swe-ell              	       2        0        0        0        2
37727 dict-freedict-swe-rus              	       2        0        0        0        2
37728 dict-freedict-swh-eng              	       2        0        0        0        2
37729 dict-freedict-swh-pol              	       2        0        0        0        2
37730 dict-freedict-tur-deu              	       2        0        0        0        2
37731 dict-freedict-tur-eng              	       2        0        0        0        2
37732 dict-gazetteer2k                   	       2        0        0        0        2
37733 dict-gazetteer2k-counties          	       2        0        0        0        2
37734 dict-gazetteer2k-places            	       2        0        0        0        2
37735 dict-gazetteer2k-zips              	       2        0        0        0        2
37736 dictionaryreader.app               	       2        0        2        0        0
37737 didiwiki                           	       2        1        1        0        0
37738 difference                         	       2        0        2        0        0
37739 dimbl                              	       2        0        2        0        0
37740 diod                               	       2        0        2        0        0
37741 dircproxy                          	       2        0        2        0        0
37742 discord-canary                     	       2        0        1        1        0
37743 discord-ptb                        	       2        0        2        0        0
37744 disorderfs                         	       2        0        2        0        0
37745 dissenter-browser                  	       2        0        1        0        1
37746 dist                               	       2        0        2        0        0
37747 divxenc                            	       2        0        2        0        0
37748 djbdns                             	       2        1        1        0        0
37749 djmount                            	       2        0        2        0        0
37750 djview3                            	       2        0        0        0        2
37751 djvu2pdf                           	       2        0        2        0        0
37752 dl10n                              	       2        0        2        0        0
37753 dlang-openssl                      	       2        0        0        0        2
37754 dlume                              	       2        0        2        0        0
37755 dmake                              	       2        0        2        0        0
37756 dmarcts-report-parser              	       2        0        2        0        0
37757 dmo-archive-keyring                	       2        0        0        0        2
37758 dmucs                              	       2        0        2        0        0
37759 dns2tcp                            	       2        0        2        0        0
37760 dnscap                             	       2        0        2        0        0
37761 dnsdist                            	       2        2        0        0        0
37762 dnsvi                              	       2        0        2        0        0
37763 doc-central                        	       2        0        2        0        0
37764 doc-linux-html                     	       2        0        0        0        2
37765 doc-linux-nonfree-html             	       2        0        0        0        2
37766 doc-linux-nonfree-text             	       2        0        0        0        2
37767 docbook-doc                        	       2        0        0        0        2
37768 docbook-ebnf                       	       2        0        0        0        2
37769 docbook-slides                     	       2        0        0        0        2
37770 docker-desktop                     	       2        0        2        0        0
37771 docknot                            	       2        0        2        0        0
37772 doclifter                          	       2        0        2        0        0
37773 doctest-dev                        	       2        0        2        0        0
37774 docview                            	       2        0        2        0        0
37775 dokujclient                        	       2        0        2        0        0
37776 dolibarr                           	       2        0        2        0        0
37777 dolphin-megasync                   	       2        0        0        0        2
37778 donkey                             	       2        1        1        0        0
37779 dooble                             	       2        0        2        0        0
37780 doomseeker                         	       2        0        2        0        0
37781 doomseeker-zandronum               	       2        0        0        0        2
37782 dosage                             	       2        0        2        0        0
37783 dotnet-apphost-pack-3.1            	       2        0        2        0        0
37784 dotnet-apphost-pack-9.0            	       2        0        2        0        0
37785 dotnet-hostfxr-3.1                 	       2        0        0        0        2
37786 dotnet-runtime-deps-3.1            	       2        0        0        0        2
37787 dotnet-sdk-9.0                     	       2        0        2        0        0
37788 dotnet-targeting-pack-3.1          	       2        0        0        0        2
37789 dotnet-targeting-pack-9.0          	       2        0        0        0        2
37790 dotter                             	       2        0        2        0        0
37791 doublecmd-help-uk                  	       2        0        0        0        2
37792 dpaste                             	       2        0        2        0        0
37793 dpf-plugins-dssi                   	       2        0        1        0        1
37794 dpf-plugins-lv2                    	       2        1        1        0        0
37795 dpf-plugins-vst                    	       2        0        1        0        1
37796 dpkg-dev-el                        	       2        0        0        0        2
37797 dpuser                             	       2        0        2        0        0
37798 dqcache-run                        	       2        0        0        0        2
37799 draco                              	       2        0        2        0        0
37800 dragon-drag-and-drop               	       2        0        2        0        0
37801 drascula-french                    	       2        0        0        0        2
37802 drc                                	       2        0        2        0        0
37803 drdteam-libfluidsynth3             	       2        0        0        0        2
37804 drgeo-doc                          	       2        0        0        0        2
37805 driconf                            	       2        0        2        0        0
37806 drmr                               	       2        0        2        0        0
37807 dssp                               	       2        0        2        0        0
37808 duende                             	       2        0        2        0        0
37809 duktape                            	       2        0        2        0        0
37810 dune                               	       2        0        0        0        2
37811 dus                                	       2        0        2        0        0
37812 dustracing2d                       	       2        0        2        0        0
37813 dustracing2d-data                  	       2        0        0        0        2
37814 dvbsnoop                           	       2        0        2        0        0
37815 dvdae                              	       2        0        2        0        0
37816 dvi2ps-fontdata-a2n                	       2        0        0        0        2
37817 dvi2ps-fontdata-rsp                	       2        0        0        0        2
37818 dvi2ps-fontdata-tbank              	       2        0        0        0        2
37819 dvi2ps-fontdata-three              	       2        0        0        0        2
37820 dvilx                              	       2        0        2        0        0
37821 dwarf2sources                      	       2        0        2        0        0
37822 dysk                               	       2        0        2        0        0
37823 e-wrapper                          	       2        0        2        0        0
37824 e16-data                           	       2        1        0        0        1
37825 e17-data                           	       2        0        0        0        2
37826 e2wm                               	       2        0        2        0        0
37827 easygen                            	       2        0        2        0        0
37828 easyspice                          	       2        0        2        0        0
37829 eb-utils                           	       2        0        2        0        0
37830 eblook                             	       2        0        2        0        0
37831 ebnflint                           	       2        0        2        0        0
37832 ecdsautils                         	       2        0        2        0        0
37833 ecj1                               	       2        0        2        0        0
37834 eclipse-debian-helper              	       2        0        2        0        0
37835 eclipse-platform-data              	       2        0        2        0        0
37836 eddie-cli                          	       2        0        2        0        0
37837 edenmath.app                       	       2        0        2        0        0
37838 edfbrowser                         	       2        0        2        0        0
37839 education-preschool                	       2        0        0        0        2
37840 eep24c                             	       2        1        1        0        0
37841 efax-gtk                           	       2        1        1        0        0
37842 ejabberd-contrib                   	       2        0        0        0        2
37843 ekeyd                              	       2        1        1        0        0
37844 ekg2                               	       2        0        0        0        2
37845 ekg2-gnupg                         	       2        0        2        0        0
37846 ekg2-jabber                        	       2        0        2        0        0
37847 ekg2-ui-ncurses                    	       2        0        2        0        0
37848 el-ixir                            	       2        0        2        0        0
37849 elasticsearch                      	       2        0        2        0        0
37850 element-nightly                    	       2        0        1        0        1
37851 elpa-adaptive-wrap                 	       2        2        0        0        0
37852 elpa-anzu                          	       2        0        2        0        0
37853 elpa-apiwrap                       	       2        0        2        0        0
37854 elpa-ats2-mode                     	       2        1        1        0        0
37855 elpa-auto-dictionary               	       2        1        1        0        0
37856 elpa-bazel-mode                    	       2        1        0        1        0
37857 elpa-bind-key                      	       2        0        2        0        0
37858 elpa-bison-mode                    	       2        1        1        0        0
37859 elpa-bpftrace-mode                 	       2        1        1        0        0
37860 elpa-clojure-mode                  	       2        1        1        0        0
37861 elpa-counsel                       	       2        0        2        0        0
37862 elpa-dart-mode                     	       2        0        0        2        0
37863 elpa-elm-mode                      	       2        1        1        0        0
37864 elpa-emacsql-sqlite                	       2        0        2        0        0
37865 elpa-eshell-git-prompt             	       2        0        2        0        0
37866 elpa-evil-paredit                  	       2        0        2        0        0
37867 elpa-flx                           	       2        0        2        0        0
37868 elpa-flx-ido                       	       2        0        2        0        0
37869 elpa-fountain-mode                 	       2        0        0        2        0
37870 elpa-geiser                        	       2        0        2        0        0
37871 elpa-ghub+                         	       2        0        2        0        0
37872 elpa-git-modes                     	       2        1        1        0        0
37873 elpa-gitattributes-mode            	       2        1        1        0        0
37874 elpa-gitconfig-mode                	       2        1        1        0        0
37875 elpa-gitignore-mode                	       2        1        1        0        0
37876 elpa-gitlab-ci-mode                	       2        1        1        0        0
37877 elpa-helm-org                      	       2        0        2        0        0
37878 elpa-kivy-mode                     	       2        1        1        0        0
37879 elpa-kotlin-mode                   	       2        1        1        0        0
37880 elpa-magithub                      	       2        0        2        0        0
37881 elpa-markdown-toc                  	       2        0        2        0        0
37882 elpa-matlab-mode                   	       2        1        1        0        0
37883 elpa-monokai-theme                 	       2        0        2        0        0
37884 elpa-muse                          	       2        0        2        0        0
37885 elpa-nginx-mode                    	       2        1        1        0        0
37886 elpa-olivetti                      	       2        1        1        0        0
37887 elpa-org-drill                     	       2        0        0        2        0
37888 elpa-org-roam                      	       2        0        2        0        0
37889 elpa-pip-requirements              	       2        1        1        0        0
37890 elpa-pos-tip                       	       2        1        1        0        0
37891 elpa-projectile                    	       2        0        2        0        0
37892 elpa-protobuf-mode                 	       2        1        1        0        0
37893 elpa-puppet-mode                   	       2        1        1        0        0
37894 elpa-qml-mode                      	       2        1        1        0        0
37895 elpa-racket-mode                   	       2        0        0        2        0
37896 elpa-rainbow-delimiters            	       2        0        2        0        0
37897 elpa-rtags                         	       2        0        2        0        0
37898 elpa-simple-httpd                  	       2        0        2        0        0
37899 elpa-swiper                        	       2        0        2        0        0
37900 elpa-systemd                       	       2        1        1        0        0
37901 elpa-use-package                   	       2        0        2        0        0
37902 elpa-vala-mode                     	       2        1        1        0        0
37903 elpa-visual-regexp                 	       2        0        2        0        0
37904 elpa-writegood-mode                	       2        2        0        0        0
37905 elpa-ws-butler                     	       2        0        2        0        0
37906 emacs-editing-major-modes          	       2        0        0        0        2
37907 emacs-window-layout                	       2        0        2        0        0
37908 emacs23                            	       2        0        2        0        0
37909 emacs23-bin-common                 	       2        0        2        0        0
37910 emacs23-common                     	       2        0        0        0        2
37911 emboss                             	       2        0        2        0        0
37912 emboss-data                        	       2        0        0        0        2
37913 emboss-lib                         	       2        0        2        0        0
37914 emf2svg                            	       2        0        2        0        0
37915 emptty                             	       2        0        2        0        0
37916 empty-expect                       	       2        0        2        0        0
37917 enlightenment-theme-bluesteel      	       2        0        0        0        2
37918 enlightenment-theme-brushedmetal   	       2        0        0        0        2
37919 enlightenment-theme-ganymede       	       2        0        0        0        2
37920 enlightenment-theme-shinymetal     	       2        0        0        0        2
37921 enscribe                           	       2        0        2        0        0
37922 ent                                	       2        0        2        0        0
37923 entagged                           	       2        0        2        0        0
37924 envstore                           	       2        2        0        0        0
37925 eog-plugin-hide-titlebar           	       2        0        0        0        2
37926 eot2ttf                            	       2        0        2        0        0
37927 epic4                              	       2        0        2        0        0
37928 epic4-help                         	       2        0        0        0        2
37929 epic5                              	       2        0        2        0        0
37930 epiphany-extensions                	       2        0        1        0        1
37931 epplets                            	       2        1        1        0        0
37932 epson-inkjet-printer-201207w       	       2        0        2        0        0
37933 eqonomize                          	       2        0        2        0        0
37934 eqonomize-doc                      	       2        0        0        0        2
37935 erlang-asciideck                   	       2        0        1        1        0
37936 erlang-bear                        	       2        0        2        0        0
37937 erlang-cf                          	       2        0        1        1        0
37938 erlang-cowlib                      	       2        0        1        1        0
37939 erlang-esdl-dev                    	       2        0        2        0        0
37940 erlang-esdl-doc                    	       2        0        0        0        2
37941 erlang-getopt                      	       2        0        1        1        0
37942 erlang-gs                          	       2        0        2        0        0
37943 erlang-ic-java                     	       2        0        2        0        0
37944 erlang-meck                        	       2        0        2        0        0
37945 erlang-p1-sqlite3                  	       2        0        2        0        0
37946 erlang-proper-doc                  	       2        0        0        0        2
37947 erlang-ranch-doc                   	       2        0        0        0        2
37948 erlang-solutions                   	       2        0        0        0        2
37949 erlang-typer                       	       2        0        2        0        0
37950 erlang-webtool                     	       2        0        2        0        0
37951 esmtp-run                          	       2        0        2        0        0
37952 eso-pipelines                      	       2        0        0        0        2
37953 esorex                             	       2        0        2        0        0
37954 etl-dev                            	       2        0        2        0        0
37955 etqw                               	       2        0        2        0        0
37956 etw                                	       2        0        2        0        0
37957 etw-data                           	       2        0        0        0        2
37958 eukleides                          	       2        1        1        0        0
37959 eurephia                           	       2        1        1        0        0
37960 evolution-data-server-doc          	       2        0        0        0        2
37961 evolution-plugin-spamassassin      	       2        1        1        0        0
37962 evolution-webcal                   	       2        0        2        0        0
37963 exabgp                             	       2        0        2        0        0
37964 exempi                             	       2        1        1        0        0
37965 exiflooter                         	       2        0        1        1        0
37966 exrtools                           	       2        0        2        0        0
37967 exult                              	       2        0        2        0        0
37968 eza                                	       2        0        0        2        0
37969 f2j                                	       2        0        2        0        0
37970 fabric                             	       2        0        2        0        0
37971 fahviewer                          	       2        0        2        0        0
37972 farpd                              	       2        0        2        0        0
37973 fasd                               	       2        0        2        0        0
37974 fastd                              	       2        0        2        0        0
37975 fasttext                           	       2        0        2        0        0
37976 faustworks                         	       2        0        2        0        0
37977 fcitx-anthy                        	       2        0        1        0        1
37978 fcitx-frontend-fbterm              	       2        0        2        0        0
37979 fcitx-imlist                       	       2        0        2        0        0
37980 fcitx-rime                         	       2        0        1        0        1
37981 fcitx-table-emoji                  	       2        0        0        0        2
37982 fcitx-table-wubi                   	       2        0        0        0        2
37983 fcitx5-anthy                       	       2        0        1        0        1
37984 fcitx5-frontend-fbterm             	       2        0        2        0        0
37985 fcitx5-frontend-tmux               	       2        0        0        0        2
37986 fcitx5-module-emoji                	       2        0        0        0        2
37987 fcitx5-module-quickphrase          	       2        0        0        0        2
37988 fcitx5-module-wayland              	       2        0        0        0        2
37989 fcitx5-module-xorg                 	       2        0        0        0        2
37990 fcitx5-rime                        	       2        0        1        0        1
37991 fcmp                               	       2        0        0        0        2
37992 feature-check                      	       2        0        2        0        0
37993 feedreader                         	       2        0        2        0        0
37994 felix-latin-data                   	       2        0        0        0        2
37995 ferret                             	       2        0        2        0        0
37996 festvox-don                        	       2        0        0        0        2
37997 festvox-itapc16k                   	       2        0        0        0        2
37998 festvox-suopuhe-mv                 	       2        0        0        0        2
37999 ffdiaporama                        	       2        0        2        0        0
38000 ffdiaporama-data                   	       2        0        0        0        2
38001 ffindex                            	       2        0        2        0        0
38002 ffmulticonverter                   	       2        1        1        0        0
38003 ffuf                               	       2        0        2        0        0
38004 fiche                              	       2        0        2        0        0
38005 figtoipe                           	       2        0        2        0        0
38006 filetea                            	       2        1        1        0        0
38007 filetraq                           	       2        0        2        0        0
38008 fileutils                          	       2        0        0        0        2
38009 filezilla-server                   	       2        0        2        0        0
38010 fillets-ng-data-nl                 	       2        0        0        0        2
38011 finit                              	       2        0        2        0        0
38012 finit-plugins                      	       2        0        0        0        2
38013 fireflies                          	       2        0        0        0        2
38014 firefox-beta                       	       2        0        2        0        0
38015 firefox-esr-l10n-ar                	       2        1        1        0        0
38016 firefox-esr-l10n-ast               	       2        1        1        0        0
38017 firefox-esr-l10n-bn                	       2        1        1        0        0
38018 firefox-esr-l10n-bs                	       2        1        1        0        0
38019 firefox-esr-l10n-ca-valencia       	       2        1        1        0        0
38020 firefox-esr-l10n-cy                	       2        1        1        0        0
38021 firefox-esr-l10n-dsb               	       2        1        1        0        0
38022 firefox-esr-l10n-en-ca             	       2        1        1        0        0
38023 firefox-esr-l10n-fa                	       2        1        1        0        0
38024 firefox-esr-l10n-fur               	       2        2        0        0        0
38025 firefox-esr-l10n-gu-in             	       2        1        1        0        0
38026 firefox-esr-l10n-hi-in             	       2        1        1        0        0
38027 firefox-esr-l10n-hr                	       2        1        1        0        0
38028 firefox-esr-l10n-hsb               	       2        1        1        0        0
38029 firefox-esr-l10n-id                	       2        1        1        0        0
38030 firefox-esr-l10n-is                	       2        1        1        0        0
38031 firefox-esr-l10n-kk                	       2        1        1        0        0
38032 firefox-esr-l10n-km                	       2        1        1        0        0
38033 firefox-esr-l10n-kn                	       2        1        1        0        0
38034 firefox-esr-l10n-lt                	       2        1        1        0        0
38035 firefox-esr-l10n-lv                	       2        1        1        0        0
38036 firefox-esr-l10n-mk                	       2        1        1        0        0
38037 firefox-esr-l10n-mr                	       2        1        1        0        0
38038 firefox-esr-l10n-ne-np             	       2        1        1        0        0
38039 firefox-esr-l10n-pa-in             	       2        1        1        0        0
38040 firefox-esr-l10n-pt-pt             	       2        1        1        0        0
38041 firefox-esr-l10n-sc                	       2        2        0        0        0
38042 firefox-esr-l10n-si                	       2        1        1        0        0
38043 firefox-esr-l10n-sl                	       2        1        1        0        0
38044 firefox-esr-l10n-sr                	       2        1        1        0        0
38045 firefox-esr-l10n-ta                	       2        1        1        0        0
38046 firefox-esr-l10n-te                	       2        1        1        0        0
38047 firefox-esr-l10n-tg                	       2        2        0        0        0
38048 firefox-esr-l10n-tl                	       2        1        1        0        0
38049 firefox-esr-l10n-trs               	       2        1        1        0        0
38050 firefox-esr-l10n-vi                	       2        1        1        0        0
38051 firefox-l10n-dsb                   	       2        0        2        0        0
38052 firefox-l10n-es-cl                 	       2        0        2        0        0
38053 firefox-l10n-hsb                   	       2        0        2        0        0
38054 firefox-l10n-ru                    	       2        1        1        0        0
38055 firefoxpwa                         	       2        0        2        0        0
38056 firehol-doc                        	       2        0        0        0        2
38057 firmware-adi                       	       2        0        0        0        2
38058 firmware-microbit-micropython-doc  	       2        0        0        0        2
38059 firmware-radeon-ucode              	       2        0        2        0        0
38060 fitscut                            	       2        0        2        0        0
38061 fitsverify                         	       2        0        2        0        0
38062 fizmo-console                      	       2        0        2        0        0
38063 flasher-3.5                        	       2        0        2        0        0
38064 flexbackup                         	       2        0        2        0        0
38065 flexml                             	       2        0        2        0        0
38066 flowgrind                          	       2        0        2        0        0
38067 fluxconf                           	       2        0        2        0        0
38068 fnlib-data                         	       2        0        0        0        2
38069 foiltex                            	       2        0        0        0        2
38070 fontmake                           	       2        0        2        0        0
38071 fonts-iosevka                      	       2        0        2        0        0
38072 fookb                              	       2        0        2        0        0
38073 forg                               	       2        0        2        0        0
38074 forked-daapd                       	       2        0        2        0        0
38075 fortran-language-server            	       2        0        2        0        0
38076 fortunate.app                      	       2        0        2        0        0
38077 fortunes-br                        	       2        0        0        0        2
38078 fortunes-pl                        	       2        0        0        0        2
38079 fosfat                             	       2        0        2        0        0
38080 fp-units-gtk2-3.0.4                	       2        0        0        0        2
38081 fp-units-i386-3.2.0                	       2        0        0        0        2
38082 fp-units-i386-3.2.2                	       2        0        0        0        2
38083 fp-units-wasm                      	       2        0        0        0        2
38084 fp-units-wasm-3.2.2                	       2        0        0        0        2
38085 fpa-brainworkshop                  	       2        0        2        0        0
38086 fpa-btrfs-utils                    	       2        0        1        1        0
38087 fpa-config-apache-proxy-pass       	       2        0        0        0        2
38088 fpa-config-ethers                  	       2        0        0        0        2
38089 fpa-config-lyx                     	       2        0        0        0        2
38090 fpa-drupal-scripts                 	       2        0        2        0        0
38091 fpa-firefox                        	       2        0        2        0        0
38092 fpa-fot                            	       2        0        0        0        2
38093 fpa-holyday-calendar               	       2        0        2        0        0
38094 fpa-html-utils                     	       2        0        2        0        0
38095 fpa-lanemotions                    	       2        0        2        0        0
38096 fpa-latex-scripts                  	       2        0        2        0        0
38097 fpa-lxc-utils                      	       2        0        2        0        0
38098 fpa-mktree                         	       2        0        2        0        0
38099 fpa-ookla-speedtest                	       2        0        2        0        0
38100 fpa-pipewire                       	       2        0        1        1        0
38101 fpa-pyscraper                      	       2        0        2        0        0
38102 fpa-repo-devuan-daedalus           	       2        0        0        0        2
38103 fpa-repo-nordvpn                   	       2        0        0        0        2
38104 fpa-repo-recoll                    	       2        0        0        0        2
38105 fpa-repo-signal                    	       2        0        0        0        2
38106 fpa-rtunnel                        	       2        0        2        0        0
38107 fpa-silence-notifications          	       2        0        2        0        0
38108 fpa-sndreset                       	       2        0        2        0        0
38109 fpa-xclip-scripts                  	       2        0        2        0        0
38110 fpc-3.0.4                          	       2        0        0        0        2
38111 fpm2                               	       2        1        1        0        0
38112 freecad-python2                    	       2        0        2        0        0
38113 freecdb                            	       2        0        2        0        0
38114 freecell-solver-bin                	       2        0        2        0        0
38115 freecraft                          	       2        0        2        0        0
38116 freedom-maker                      	       2        1        1        0        0
38117 freefem++                          	       2        0        2        0        0
38118 freefem++-doc                      	       2        0        0        0        2
38119 freefem-doc                        	       2        0        0        0        2
38120 freeipmi-ipmiseld                  	       2        1        1        0        0
38121 freeswitch-conf-vanilla            	       2        0        0        0        2
38122 freeswitch-lang                    	       2        0        0        0        2
38123 freeswitch-lang-en                 	       2        0        0        0        2
38124 freeswitch-sounds-en-us-callie     	       2        0        0        0        2
38125 freeswitch-sysvinit                	       2        0        0        0        2
38126 freeswitch-timezones               	       2        0        0        0        2
38127 freetable                          	       2        0        2        0        0
38128 freetennis                         	       2        1        1        0        0
38129 freetennis-common                  	       2        0        0        0        2
38130 freetype1-tools                    	       2        0        2        0        0
38131 freevial                           	       2        0        2        0        0
38132 fretsonfire                        	       2        0        0        0        2
38133 fso-deviced                        	       2        1        1        0        0
38134 fspy                               	       2        0        2        0        0
38135 fst-dev                            	       2        0        2        0        0
38136 ftp-proxy-doc                      	       2        0        0        0        2
38137 ftp.app                            	       2        0        2        0        0
38138 ftpgrab                            	       2        0        2        0        0
38139 ftpsync                            	       2        0        1        1        0
38140 funnelweb-doc                      	       2        0        0        0        2
38141 funny-manpages                     	       2        0        0        0        2
38142 funtools                           	       2        0        2        0        0
38143 fusiondirectory                    	       2        1        1        0        0
38144 fusiondirectory-schema             	       2        0        2        0        0
38145 fusiondirectory-smarty3-acl-render 	       2        1        1        0        0
38146 fuzzyocr                           	       2        1        1        0        0
38147 fvwm1                              	       2        0        2        0        0
38148 fweb                               	       2        0        2        0        0
38149 fwknop-client                      	       2        0        2        0        0
38150 fwsnort                            	       2        0        2        0        0
38151 fwupd-unsigned                     	       2        0        0        0        2
38152 fxcyberjack                        	       2        0        2        0        0
38153 g++-10-i686-linux-gnu              	       2        0        2        0        0
38154 g++-12-arm-linux-gnueabi           	       2        0        2        0        0
38155 g++-12-mips-linux-gnu              	       2        0        2        0        0
38156 g++-12-mipsel-linux-gnu            	       2        0        2        0        0
38157 g++-13-i686-linux-gnu              	       2        0        2        0        0
38158 g++-4.9-multilib                   	       2        0        0        0        2
38159 g++-6-multilib                     	       2        0        0        0        2
38160 g++-8-aarch64-linux-gnu            	       2        0        2        0        0
38161 g++-8-arm-linux-gnueabi            	       2        0        2        0        0
38162 g++-8-arm-linux-gnueabihf          	       2        0        2        0        0
38163 gaffitter                          	       2        0        2        0        0
38164 gaiksaurus                         	       2        0        2        0        0
38165 gambas3-gb-clipper2                	       2        0        0        2        0
38166 gambas3-gb-db2                     	       2        0        0        2        0
38167 gambas3-gb-db2-form                	       2        0        0        2        0
38168 gambas3-gb-db2-mysql               	       2        0        0        2        0
38169 gambas3-gb-db2-odbc                	       2        0        0        2        0
38170 gambas3-gb-db2-postgresql          	       2        0        0        2        0
38171 gambas3-gb-db2-sqlite3             	       2        0        0        2        0
38172 gambas3-gb-gtk-opengl              	       2        0        1        1        0
38173 gambas3-gb-gui-opengl              	       2        0        0        0        2
38174 gambas3-gb-mongodb                 	       2        0        0        2        0
38175 gambas3-gb-qt5-webview             	       2        0        1        1        0
38176 gambas3-gb-qt5-x11                 	       2        0        2        0        0
38177 gambas3-gb-qt6                     	       2        0        0        2        0
38178 gambas3-gb-qt6-ext                 	       2        0        0        2        0
38179 gambas3-gb-qt6-opengl              	       2        0        0        2        0
38180 gambas3-gb-qt6-webview             	       2        0        0        2        0
38181 games-arcade                       	       2        0        0        0        2
38182 games-education                    	       2        0        0        0        2
38183 games-perl-dev                     	       2        0        0        0        2
38184 games-python3-dev                  	       2        0        0        0        2
38185 games-simulation                   	       2        0        0        0        2
38186 ganglia-monitor                    	       2        1        1        0        0
38187 gcc-10-cross-base-mipsen           	       2        0        0        0        2
38188 gcc-10-hppa-linux-gnu-base         	       2        0        0        0        2
38189 gcc-10-powerpc64-linux-gnu         	       2        0        2        0        0
38190 gcc-10-powerpc64-linux-gnu-base    	       2        0        0        0        2
38191 gcc-11-locales                     	       2        0        0        0        2
38192 gcc-12-multilib-i686-linux-gnu     	       2        0        0        0        2
38193 gcc-12-powerpc-linux-gnu           	       2        0        2        0        0
38194 gcc-12-powerpc-linux-gnu-base      	       2        0        0        0        2
38195 gcc-12-s390x-linux-gnu             	       2        0        2        0        0
38196 gcc-12-s390x-linux-gnu-base        	       2        0        0        0        2
38197 gcc-12-source                      	       2        0        0        0        2
38198 gcc-13-cross-base                  	       2        0        0        0        2
38199 gcc-14-arm-linux-gnueabi           	       2        0        2        0        0
38200 gcc-14-arm-linux-gnueabi-base      	       2        0        0        0        2
38201 gcc-14-i686-linux-gnu-base         	       2        0        0        0        2
38202 gcc-14-powerpc-linux-gnu           	       2        0        2        0        0
38203 gcc-14-powerpc-linux-gnu-base      	       2        0        0        0        2
38204 gcc-14-riscv64-linux-gnu-base      	       2        0        0        0        2
38205 gcc-15                             	       2        1        1        0        0
38206 gcc-15-base                        	       2        0        0        0        2
38207 gcc-15-x86-64-linux-gnu            	       2        1        1        0        0
38208 gcc-4.2                            	       2        0        2        0        0
38209 gcc-4.3-doc                        	       2        0        0        0        2
38210 gcc-4.9-locales                    	       2        0        0        0        2
38211 gcc-6-aarch64-linux-gnu            	       2        0        2        0        0
38212 gcc-6-aarch64-linux-gnu-base       	       2        0        0        0        2
38213 gcc-6-cross-base                   	       2        0        0        0        2
38214 gcc-8-arm-linux-gnueabi            	       2        0        2        0        0
38215 gcc-8-arm-linux-gnueabi-base       	       2        0        0        0        2
38216 gcc-8-cross-base-ports             	       2        0        0        0        2
38217 gcc-8-i686-linux-gnu               	       2        0        2        0        0
38218 gcc-8-i686-linux-gnu-base          	       2        0        0        0        2
38219 gcc-8-x86-64-linux-gnux32          	       2        0        2        0        0
38220 gcc-8-x86-64-linux-gnux32-base     	       2        0        0        0        2
38221 gcc-9-arm-linux-gnueabihf          	       2        0        2        0        0
38222 gcc-9-arm-linux-gnueabihf-base     	       2        0        0        0        2
38223 gcc-9-locales                      	       2        0        0        0        2
38224 gcc-msp430                         	       2        0        2        0        0
38225 gccgo-11                           	       2        2        0        0        0
38226 gccgo-14                           	       2        0        2        0        0
38227 gccgo-14-x86-64-linux-gnu          	       2        0        2        0        0
38228 gccgo-x86-64-linux-gnu             	       2        0        2        0        0
38229 gcdmaster                          	       2        0        2        0        0
38230 gcj-4.2-base                       	       2        0        0        0        2
38231 gcj-4.6-jre-headless               	       2        0        2        0        0
38232 gcj-6                              	       2        0        2        0        0
38233 gcj-6-jdk                          	       2        0        2        0        0
38234 gcj-jdk                            	       2        0        2        0        0
38235 gdb-arm-none-eabi                  	       2        0        2        0        0
38236 gdb-msp430                         	       2        0        2        0        0
38237 gdc-4.9                            	       2        0        2        0        0
38238 gdf-tools                          	       2        0        2        0        0
38239 gdl-coyote                         	       2        0        0        0        2
38240 gds-tools-12-4                     	       2        0        0        0        2
38241 gds-tools-12-8                     	       2        0        0        0        2
38242 geany-plugin-devhelp               	       2        0        0        0        2
38243 geany-plugin-multiterm             	       2        0        0        0        2
38244 geany-plugin-py                    	       2        0        0        0        2
38245 gedit-latex-plugin                 	       2        0        2        0        0
38246 gem-plugin-dv4l                    	       2        0        2        0        0
38247 gem-plugin-jpeg                    	       2        0        2        0        0
38248 gem-plugin-vlc                     	       2        0        2        0        0
38249 generator-scripting-language       	       2        0        2        0        0
38250 generator-scripting-language-examples	       2        0        2        0        0
38251 geneweb-gui                        	       2        0        2        0        0
38252 gentle                             	       2        0        2        0        0
38253 geoclue-doc                        	       2        0        0        0        2
38254 geoclue-nominatim                  	       2        0        2        0        0
38255 geogebra-classic                   	       2        0        2        0        0
38256 geogebra5                          	       2        0        2        0        0
38257 geotranz-doc                       	       2        0        0        0        2
38258 gerris                             	       2        0        2        0        0
38259 ges1.0-tools                       	       2        0        2        0        0
38260 getdns-utils                       	       2        0        2        0        0
38261 geximon                            	       2        0        2        0        0
38262 gextractwinicons                   	       2        0        2        0        0
38263 gff2aplot                          	       2        0        2        0        0
38264 gff2ps                             	       2        0        2        0        0
38265 gfortran-11-doc                    	       2        0        0        0        2
38266 gfortran-11-multilib               	       2        0        0        0        2
38267 gfortran-13-multilib               	       2        0        0        0        2
38268 gfortran-14-doc                    	       2        0        0        0        2
38269 ggzcore-bin                        	       2        0        2        0        0
38270 ghdl-llvm                          	       2        0        2        0        0
38271 ghostscript-cups                   	       2        0        2        0        0
38272 gi-docgen                          	       2        0        1        1        0
38273 giara                              	       2        0        2        0        0
38274 gif2apng                           	       2        0        2        0        0
38275 gifski                             	       2        0        2        0        0
38276 giggle-personal-details-plugin     	       2        0        2        0        0
38277 giggle-terminal-view-plugin        	       2        0        2        0        0
38278 gimp-dimage-color                  	       2        0        2        0        0
38279 gimp-help-hu                       	       2        0        0        0        2
38280 gimp-help-uk                       	       2        0        0        0        2
38281 gimp-resynthesizer                 	       2        0        0        0        2
38282 gir1.2-appindicator-0.1            	       2        0        0        0        2
38283 gir1.2-appstreamglib-1.0           	       2        0        0        0        2
38284 gir1.2-ayatanaappindicator-0.1     	       2        0        0        0        2
38285 gir1.2-clutter-gst-1.0             	       2        0        2        0        0
38286 gir1.2-gcab-1.0                    	       2        0        0        0        2
38287 gir1.2-gnomeautoar-0.1             	       2        0        2        0        0
38288 gir1.2-goffice-0.10                	       2        0        0        0        2
38289 gir1.2-gpaste-2                    	       2        0        2        0        0
38290 gir1.2-gst-rtsp-server-1.0         	       2        0        0        0        2
38291 gir1.2-langtag-0.6                 	       2        0        0        0        2
38292 gir1.2-matekbd-1.0                 	       2        0        0        0        2
38293 gir1.2-messagingmenu-1.0           	       2        0        0        0        2
38294 gir1.2-modemmanager-1.0            	       2        0        0        0        2
38295 gir1.2-playerctl-2.0               	       2        0        0        0        2
38296 gir1.2-signon-2.0                  	       2        0        2        0        0
38297 gir1.2-snapd-1                     	       2        0        0        0        2
38298 gir1.2-tracker-0.14                	       2        0        2        0        0
38299 gir1.2-umockdev-1.0                	       2        0        0        0        2
38300 gir1.2-urfkill-0.5                 	       2        0        0        0        2
38301 gir1.2-wp-0.4                      	       2        0        0        0        2
38302 gir1.2-wp-0.5                      	       2        0        0        0        2
38303 gis-osm                            	       2        0        0        0        2
38304 gis-web                            	       2        0        0        0        2
38305 git-absorb                         	       2        0        1        1        0
38306 git-autofixup                      	       2        0        2        0        0
38307 git-buildpackage-rpm               	       2        0        2        0        0
38308 git-credential-oauth               	       2        0        1        1        0
38309 git-debrebase                      	       2        0        1        1        0
38310 git-delete-merged-branches         	       2        0        0        2        0
38311 git-mediate                        	       2        0        2        0        0
38312 git-merge-changelog                	       2        0        1        1        0
38313 git-reintegrate                    	       2        0        2        0        0
38314 git-remote-hg                      	       2        0        2        0        0
38315 git-restore-mtime                  	       2        0        2        0        0
38316 git-secret                         	       2        0        2        0        0
38317 git-sizer                          	       2        0        2        0        0
38318 git-subrepo                        	       2        0        2        0        0
38319 git2cl                             	       2        0        1        1        0
38320 gitbatch                           	       2        0        1        1        0
38321 gitbrute                           	       2        0        2        0        0
38322 github-desktop                     	       2        0        2        0        0
38323 gitit                              	       2        0        2        0        0
38324 gitlab-runner-helper-images        	       2        0        0        0        2
38325 gitsome                            	       2        0        1        1        0
38326 glab                               	       2        0        1        1        0
38327 glchess                            	       2        0        0        0        2
38328 glines                             	       2        0        0        0        2
38329 globalprotect                      	       2        0        1        1        0
38330 globs                              	       2        0        2        0        0
38331 globus-gass-copy-progs             	       2        0        2        0        0
38332 glom-doc                           	       2        0        0        0        2
38333 glpi-agent                         	       2        0        2        0        0
38334 gluqlo                             	       2        0        2        0        0
38335 glusterfs-client                   	       2        0        2        0        0
38336 glycin-loaders                     	       2        0        0        0        2
38337 gm-assistant                       	       2        0        2        0        0
38338 gmlive                             	       2        0        2        0        0
38339 gmpc-plugins                       	       2        0        0        0        2
38340 gmt-gshhg-full                     	       2        0        0        0        2
38341 gmt-gshhg-low                      	       2        0        0        0        2
38342 gmusicbrowser                      	       2        0        2        0        0
38343 gnat-13-doc                        	       2        0        0        0        2
38344 gnat-13-x86-64-linux-gnu           	       2        0        2        0        0
38345 gnat-14                            	       2        0        1        1        0
38346 gnat-14-x86-64-linux-gnu           	       2        0        1        1        0
38347 gnat-gps                           	       2        0        2        0        0
38348 gnect                              	       2        0        0        0        2
38349 gniall                             	       2        0        2        0        0
38350 gnome-builder                      	       2        0        2        0        0
38351 gnome-classic                      	       2        0        1        1        0
38352 gnome-classic-xsession             	       2        0        0        0        2
38353 gnome-doc-utils                    	       2        0        2        0        0
38354 gnome-gmail                        	       2        0        0        0        2
38355 gnome-mastermind                   	       2        0        2        0        0
38356 gnome-media                        	       2        0        2        0        0
38357 gnome-network-displays             	       2        1        1        0        0
38358 gnome-pie                          	       2        0        2        0        0
38359 gnome-recipes                      	       2        0        2        0        0
38360 gnome-recipes-data                 	       2        0        0        0        2
38361 gnome-settings-daemon-dev          	       2        1        1        0        0
38362 gnome-shell-extension-apps-menu    	       2        0        0        0        2
38363 gnome-shell-extension-desktop-icons-ng	       2        0        2        0        0
38364 gnome-shell-extension-easyscreencast	       2        0        0        0        2
38365 gnome-shell-extension-freon        	       2        0        0        0        2
38366 gnome-shell-extension-gpaste       	       2        0        0        0        2
38367 gnome-shell-extension-gsconnect-browsers	       2        0        0        0        2
38368 gnome-shell-extension-hamster      	       2        0        0        0        2
38369 gnome-shell-extension-launch-new-instance	       2        0        0        0        2
38370 gnome-shell-extension-places-menu  	       2        0        0        0        2
38371 gnome-shell-extension-window-list  	       2        0        0        0        2
38372 gnome-shell-extensions-common      	       2        0        0        0        2
38373 gnome-split                        	       2        0        2        0        0
38374 gnome-themes-more                  	       2        0        0        0        2
38375 gnome-tour                         	       2        0        1        1        0
38376 gnome-video-trimmer                	       2        0        2        0        0
38377 gnome-xcf-thumbnailer              	       2        0        2        0        0
38378 gnotravex                          	       2        0        0        0        2
38379 gnu-smalltalk-doc                  	       2        0        0        0        2
38380 gnudatalanguage                    	       2        0        2        0        0
38381 gnudoq                             	       2        0        2        0        0
38382 gnumach-dev                        	       2        0        2        0        0
38383 gnumail.app                        	       2        0        2        0        0
38384 gnumail.app-common                 	       2        0        0        0        2
38385 gnunet-dev                         	       2        0        2        0        0
38386 gnuplot-mode                       	       2        0        0        0        2
38387 gnuplot5-x11                       	       2        0        0        0        2
38388 gnustep-games                      	       2        0        0        0        2
38389 gnustep-gui-doc                    	       2        0        0        0        2
38390 gobjc++-x86-64-linux-gnu           	       2        0        0        0        2
38391 gocr-doc                           	       2        0        0        0        2
38392 godot3-runner                      	       2        0        2        0        0
38393 golang-1.10-go                     	       2        0        2        0        0
38394 golang-1.10-src                    	       2        0        2        0        0
38395 golang-1.20                        	       2        0        0        0        2
38396 golang-1.20-doc                    	       2        0        0        0        2
38397 golang-1.20-go                     	       2        0        2        0        0
38398 golang-1.20-src                    	       2        0        2        0        0
38399 golang-1.6-go                      	       2        0        2        0        0
38400 golang-1.6-src                     	       2        0        2        0        0
38401 golang-chroma                      	       2        0        2        0        0
38402 golang-gir-glib-2.0-dev            	       2        0        2        0        0
38403 golang-github-14rcole-gopopulate-dev	       2        0        0        0        2
38404 golang-github-aws-aws-sdk-go-dev   	       2        0        0        0        2
38405 golang-github-creack-pty-dev       	       2        0        0        0        2
38406 golang-github-go-stack-stack-dev   	       2        0        0        0        2
38407 golang-github-golang-protobuf-1-3-dev	       2        0        0        0        2
38408 golang-github-gorilla-mux-dev      	       2        0        0        0        2
38409 golang-github-jmespath-go-jmespath-dev	       2        0        0        0        2
38410 golang-github-klauspost-compress-dev	       2        0        0        0        2
38411 golang-github-kr-pretty-dev        	       2        0        0        0        2
38412 golang-github-kr-text-dev          	       2        0        0        0        2
38413 golang-github-mattn-go-colorable-dev	       2        0        0        0        2
38414 golang-github-mattn-go-isatty-dev  	       2        0        0        0        2
38415 golang-github-montanaflynn-stats-dev	       2        0        0        0        2
38416 golang-github-rogpeppe-go-internal-dev	       2        0        0        0        2
38417 golang-github-sjoerdsimons-ostree-go-dev	       2        0        2        0        0
38418 golang-github-tidwall-pretty-dev   	       2        0        0        0        2
38419 golang-github-xdg-go-pbkdf2-dev    	       2        0        0        0        2
38420 golang-github-xdg-go-scram-dev     	       2        0        0        0        2
38421 golang-github-xdg-go-stringprep-dev	       2        0        0        0        2
38422 golang-github-youmark-pkcs8-dev    	       2        0        0        0        2
38423 golang-glog-dev                    	       2        0        0        0        2
38424 golang-golang-x-oauth2-dev         	       2        0        0        0        2
38425 golang-golang-x-oauth2-google-dev  	       2        0        0        0        2
38426 golang-google-cloud-compute-metadata-dev	       2        0        0        0        2
38427 golang-google-genproto-dev         	       2        0        0        0        2
38428 golang-google-grpc-dev             	       2        0        0        0        2
38429 golang-google-protobuf-dev         	       2        0        0        0        2
38430 golang-mongodb-mongo-driver-dev    	       2        0        2        0        0
38431 golang-pault-go-macchanger-dev     	       2        0        0        0        2
38432 goldencheetah                      	       2        1        1        0        0
38433 goldendict-ng                      	       2        0        2        0        0
38434 golint                             	       2        0        2        0        0
38435 google-android-platform-23-installer	       2        0        0        0        2
38436 goplay                             	       2        0        2        0        0
38437 gosigndesktop                      	       2        0        1        1        0
38438 gosmore                            	       2        0        2        0        0
38439 gossip                             	       2        0        2        0        0
38440 got                                	       2        0        2        0        0
38441 gourmand                           	       2        0        2        0        0
38442 goxkcdpwgen                        	       2        1        1        0        0
38443 gpomme                             	       2        0        2        0        0
38444 gpsshogi                           	       2        0        2        0        0
38445 gpsshogi-data                      	       2        0        0        0        2
38446 gr-rds                             	       2        0        2        0        0
38447 grabserial                         	       2        0        2        0        0
38448 gradle-completion                  	       2        0        0        0        2
38449 grafana-enterprise                 	       2        0        2        0        0
38450 granule                            	       2        0        2        0        0
38451 grapejuice                         	       2        0        2        0        0
38452 graphite-carbon                    	       2        1        1        0        0
38453 graphite-web                       	       2        2        0        0        0
38454 gravitation                        	       2        0        2        0        0
38455 greenbone-security-assistant       	       2        0        1        0        1
38456 gridengine-common                  	       2        0        2        0        0
38457 gridlock.app                       	       2        0        2        0        0
38458 gridsite-clients                   	       2        1        1        0        0
38459 grml-etc-core                      	       2        0        0        0        2
38460 grml-hwinfo                        	       2        0        0        2        0
38461 grml-keyring                       	       2        0        0        0        2
38462 grml-scripts                       	       2        0        2        0        0
38463 grml-scripts-core                  	       2        1        1        0        0
38464 grok                               	       2        0        2        0        0
38465 grokj2k-tools                      	       2        0        2        0        0
38466 grop                               	       2        0        2        0        0
38467 grr.app                            	       2        0        2        0        0
38468 grub-efi-ia32-signed               	       2        0        0        0        2
38469 grub-ipxe                          	       2        0        0        0        2
38470 grunt                              	       2        0        2        0        0
38471 gs                                 	       2        0        0        0        2
38472 gsequencer                         	       2        0        2        0        0
38473 gstreamer0.10-esd                  	       2        0        2        0        0
38474 gstreamer0.10-fluendo-mp3          	       2        1        0        0        1
38475 gstreamer0.10-gnomevfs             	       2        0        0        0        2
38476 gstreamer1.0-omx-generic           	       2        0        0        0        2
38477 gstreamer1.0-plugins-good-doc      	       2        0        0        0        2
38478 gstreamer1.0-wpe                   	       2        0        0        0        2
38479 gtk-redshift                       	       2        0        0        0        2
38480 gtk2-engines-ubuntulooks           	       2        0        2        0        0
38481 gtk3-automnemonics-dbgsym          	       2        0        2        0        0
38482 gtk3-im-libthai                    	       2        0        0        0        2
38483 gtkcookie                          	       2        0        2        0        0
38484 gtkdbfeditor                       	       2        0        2        0        0
38485 gtkhash-common                     	       2        0        0        0        2
38486 gtkmorph-example                   	       2        0        0        0        2
38487 gtkperf                            	       2        0        2        0        0
38488 guessnet                           	       2        0        2        0        0
38489 guestfsd                           	       2        0        2        0        0
38490 guidance-backends-trinity          	       2        0        0        0        2
38491 guidus                             	       2        0        2        0        0
38492 guile-2.0-dev                      	       2        0        2        0        0
38493 guitarix-doc                       	       2        0        0        0        2
38494 gvfs-dbgsym                        	       2        0        2        0        0
38495 gvfs-libs-dbgsym                   	       2        0        2        0        0
38496 gvidm                              	       2        0        2        0        0
38497 gwaei                              	       2        1        1        0        0
38498 gwenhywfar-tools                   	       2        0        2        0        0
38499 gxine                              	       2        0        2        0        0
38500 gxneur                             	       2        1        1        0        0
38501 gyoto-bin                          	       2        0        2        0        0
38502 hackrf-firmware                    	       2        0        0        0        2
38503 hacktv                             	       2        0        2        0        0
38504 half                               	       2        0        0        0        2
38505 halibut                            	       2        0        2        0        0
38506 hamradio-all                       	       2        0        0        0        2
38507 hamradio-datamodes                 	       2        0        0        0        2
38508 hamradio-digitalvoice              	       2        0        0        0        2
38509 hamradio-maintguide                	       2        0        0        0        2
38510 hamradio-nonamateur                	       2        0        0        0        2
38511 hamradio-packetmodes               	       2        0        0        0        2
38512 hamradio-rigcontrol                	       2        0        0        0        2
38513 hamradio-satellite                 	       2        0        0        0        2
38514 hashalot                           	       2        0        2        0        0
38515 hashcheck                          	       2        0        2        0        0
38516 haskell-devscripts-minimal         	       2        0        2        0        0
38517 hasktags                           	       2        0        2        0        0
38518 hatop                              	       2        0        2        0        0
38519 hdate                              	       2        0        2        0        0
38520 hdate-applet                       	       2        0        2        0        0
38521 hearse                             	       2        1        1        0        0
38522 helpviewer.app                     	       2        0        2        0        0
38523 hexchat-dev                        	       2        0        2        0        0
38524 hexec                              	       2        0        2        0        0
38525 hhsuite                            	       2        0        2        0        0
38526 hhsuite-data                       	       2        0        2        0        0
38527 hibiscus-doc                       	       2        0        0        0        2
38528 hime                               	       2        0        2        0        0
38529 hime-data                          	       2        0        0        0        2
38530 hime-gtk2-immodule                 	       2        0        0        0        2
38531 hime-gtk3-immodule                 	       2        0        0        0        2
38532 hime-tables                        	       2        0        0        0        2
38533 hindent                            	       2        0        2        0        0
38534 hiprand                            	       2        0        0        0        2
38535 hiprand-dev                        	       2        0        2        0        0
38536 hiptensor                          	       2        0        0        0        2
38537 hiptensor-dev                      	       2        0        2        0        0
38538 hivelytracker                      	       2        0        2        0        0
38539 hl1210wcupswrapper                 	       2        0        0        0        2
38540 hl1210wlpr                         	       2        0        0        0        2
38541 hl3040cncupswrapper                	       2        0        0        0        2
38542 hl3170cdwcupswrapper               	       2        0        0        0        2
38543 hledger-web                        	       2        0        2        0        0
38544 hlins                              	       2        0        2        0        0
38545 hll2390dwpdrv                      	       2        0        0        0        2
38546 hll2395dwpdrv                      	       2        0        0        0        2
38547 hll8360cdwcupswrapper              	       2        0        0        0        2
38548 hll8360cdwlpr                      	       2        0        0        0        2
38549 hm                                 	       2        0        2        0        0
38550 hm-config                          	       2        0        0        0        2
38551 hm-doc                             	       2        0        0        0        2
38552 hm-highbitdepth                    	       2        0        2        0        0
38553 hodie                              	       2        0        2        0        0
38554 hol88                              	       2        0        2        0        0
38555 holes                              	       2        0        2        0        0
38556 homesick                           	       2        0        2        0        0
38557 hotswap                            	       2        0        0        0        2
38558 hotswap-gui                        	       2        1        1        0        0
38559 hotswap-text                       	       2        1        1        0        0
38560 hp-ams                             	       2        0        2        0        0
38561 hp-smh-templates                   	       2        0        2        0        0
38562 hp-snmp-agents                     	       2        1        1        0        0
38563 hpanel                             	       2        0        2        0        0
38564 hping2                             	       2        0        2        0        0
38565 hplip-cups                         	       2        0        0        0        2
38566 hspell-gui                         	       2        0        2        0        0
38567 hsqldb-utils                       	       2        1        1        0        0
38568 hstr                               	       2        0        2        0        0
38569 hsx2hs                             	       2        0        2        0        0
38570 httpcode                           	       2        0        2        0        0
38571 httpdirfs                          	       2        0        2        0        0
38572 https-keyscript                    	       2        0        2        0        0
38573 httptoolkit                        	       2        0        2        0        0
38574 huiontablet                        	       2        0        2        0        0
38575 humanity-colors                    	       2        0        0        0        2
38576 hunspell-dz                        	       2        0        2        0        0
38577 hunspell-gug                       	       2        0        0        0        2
38578 hunspell-ml                        	       2        0        0        0        2
38579 hunspell-mn                        	       2        0        0        0        2
38580 hwtools                            	       2        0        2        0        0
38581 hy                                 	       2        0        0        0        2
38582 hydrapaper                         	       2        0        2        0        0
38583 hyfetch                            	       2        0        2        0        0
38584 hyperestraier                      	       2        0        2        0        0
38585 hyphen-sv                          	       2        0        0        0        2
38586 hyprpaper                          	       2        0        2        0        0
38587 hyx                                	       2        0        2        0        0
38588 i2util-tools                       	       2        0        2        0        0
38589 iasl                               	       2        0        0        0        2
38590 iaxmodem                           	       2        1        1        0        0
38591 ibus-table-array30                 	       2        0        0        0        2
38592 ibus-table-cangjie                 	       2        0        0        0        2
38593 ibus-table-cangjie-big             	       2        0        0        0        2
38594 ibus-table-cangjie3                	       2        0        0        0        2
38595 ibus-table-cangjie5                	       2        0        0        0        2
38596 ibus-table-cantonese               	       2        0        0        0        2
38597 ibus-table-cantonhk                	       2        0        0        0        2
38598 ibus-table-cns11643                	       2        0        0        0        2
38599 ibus-table-easy                    	       2        0        0        0        2
38600 ibus-table-easy-big                	       2        0        0        0        2
38601 ibus-table-erbi                    	       2        0        0        0        2
38602 ibus-table-erbi-qs                 	       2        0        0        0        2
38603 ibus-table-extraphrase             	       2        0        0        0        2
38604 ibus-table-ipa-x-sampa             	       2        0        0        0        2
38605 ibus-table-jyutping                	       2        0        0        0        2
38606 ibus-table-old-hungarian-rovas     	       2        0        0        0        2
38607 ibus-table-rustrad                 	       2        0        0        0        2
38608 ibus-table-scj6                    	       2        0        0        0        2
38609 ibus-table-stroke5                 	       2        0        0        0        2
38610 ibus-table-thai                    	       2        0        0        0        2
38611 ibus-table-translit                	       2        0        0        0        2
38612 ibus-table-translit-ua             	       2        0        0        0        2
38613 ibus-table-viqr                    	       2        0        0        0        2
38614 ibus-table-wu                      	       2        0        0        0        2
38615 ibus-table-wubi                    	       2        0        0        0        2
38616 ibus-table-yawerty                 	       2        0        0        0        2
38617 ibus-table-yong                    	       2        0        0        0        2
38618 iceape-chatzilla                   	       2        0        2        0        0
38619 iceweasel-l10n-es-es               	       2        0        0        0        2
38620 iceweasel-l10n-ru                  	       2        0        0        0        2
38621 iceweasel-uxp                      	       2        0        2        0        0
38622 icheck                             	       2        0        2        0        0
38623 icingaweb2-module-boxydash         	       2        0        2        0        0
38624 icingaweb2-module-cube             	       2        0        2        0        0
38625 icingaweb2-module-idoreports       	       2        0        2        0        0
38626 icingaweb2-module-map              	       2        0        2        0        0
38627 icingaweb2-module-pdfexport        	       2        0        2        0        0
38628 icingaweb2-module-recommended      	       2        0        0        0        2
38629 icingaweb2-module-reporting        	       2        0        2        0        0
38630 icingaweb2-module-statusmap        	       2        0        2        0        0
38631 icli                               	       2        1        1        0        0
38632 icmpush                            	       2        1        1        0        0
38633 idle-python3.5                     	       2        0        2        0        0
38634 idzebra-2.0                        	       2        0        0        0        2
38635 idzebra-2.0-common                 	       2        0        0        0        2
38636 idzebra-2.0-doc                    	       2        0        0        0        2
38637 idzebra-2.0-utils                  	       2        0        2        0        0
38638 iem-plugin-suite-standalone        	       2        0        2        0        0
38639 iem-plugin-suite-vst               	       2        0        0        0        2
38640 iestonian                          	       2        0        2        0        0
38641 ifcico                             	       2        1        1        0        0
38642 ifcplusplus                        	       2        0        2        0        0
38643 ifmail                             	       2        1        1        0        0
38644 ifp-line-libifp                    	       2        0        2        0        0
38645 ifupdown-multi                     	       2        0        0        0        2
38646 igaelic                            	       2        0        2        0        0
38647 igal2                              	       2        0        2        0        0
38648 ii                                 	       2        0        2        0        0
38649 imapsync                           	       2        0        2        0        0
38650 impass                             	       2        0        2        0        0
38651 impressive-display                 	       2        0        2        0        0
38652 imx-usb-loader                     	       2        0        2        0        0
38653 incus-base                         	       2        1        1        0        0
38654 incus-extra                        	       2        0        1        1        0
38655 indicator-application              	       2        0        2        0        0
38656 industrial-cursor-theme            	       2        0        0        0        2
38657 inetutils-talkd                    	       2        0        2        0        0
38658 inform                             	       2        0        2        0        0
38659 inform6-library                    	       2        0        2        0        0
38660 inform7-ide                        	       2        0        2        0        0
38661 inkstitch                          	       2        0        2        0        0
38662 inn                                	       2        0        2        0        0
38663 ino-headers                        	       2        0        2        0        0
38664 inotail                            	       2        0        2        0        0
38665 installation-birthday              	       2        0        2        0        0
38666 installation-guide-mipsel          	       2        0        0        0        2
38667 insync                             	       2        0        2        0        0
38668 intel-acm                          	       2        0        0        0        2
38669 intel-basekit                      	       2        0        0        0        2
38670 intel-level-zero-gpu               	       2        0        0        0        2
38671 intel-oneapi-ccl-devel             	       2        0        0        0        2
38672 intel-oneapi-common-licensing      	       2        0        0        0        2
38673 intel-oneapi-common-oneapi-vars    	       2        0        0        0        2
38674 intel-oneapi-compiler-dpcpp-cpp    	       2        0        0        0        2
38675 intel-oneapi-compiler-dpcpp-cpp-runtime-2025.0	       2        0        0        0        2
38676 intel-oneapi-compiler-shared-runtime-2025.0	       2        0        0        0        2
38677 intel-oneapi-dal-devel             	       2        0        0        0        2
38678 intel-oneapi-dev-utilities         	       2        0        0        0        2
38679 intel-oneapi-dnnl                  	       2        0        0        0        2
38680 intel-oneapi-dnnl-devel            	       2        0        0        0        2
38681 intel-oneapi-dpcpp-ct              	       2        0        0        0        2
38682 intel-oneapi-ipp-devel             	       2        0        0        0        2
38683 intel-oneapi-ippcp-devel           	       2        0        0        0        2
38684 intel-oneapi-mkl                   	       2        0        0        0        2
38685 intel-oneapi-mkl-classic-devel-2025.0	       2        0        0        0        2
38686 intel-oneapi-mkl-classic-include-2025.0	       2        0        2        0        0
38687 intel-oneapi-mkl-cluster-2025.0    	       2        0        0        0        2
38688 intel-oneapi-mkl-cluster-devel-2025.0	       2        0        2        0        0
38689 intel-oneapi-mkl-core-2025.0       	       2        0        0        0        2
38690 intel-oneapi-mkl-core-devel-2025.0 	       2        0        2        0        0
38691 intel-oneapi-mkl-devel-2025.0      	       2        0        0        0        2
38692 intel-oneapi-mkl-sycl-2025.0       	       2        0        0        0        2
38693 intel-oneapi-mkl-sycl-blas-2025.0  	       2        0        0        0        2
38694 intel-oneapi-mkl-sycl-data-fitting-2025.0	       2        0        0        0        2
38695 intel-oneapi-mkl-sycl-devel-2025.0 	       2        0        2        0        0
38696 intel-oneapi-mkl-sycl-dft-2025.0   	       2        0        0        0        2
38697 intel-oneapi-mkl-sycl-include-2025.0	       2        0        0        0        2
38698 intel-oneapi-mkl-sycl-lapack-2025.0	       2        0        0        0        2
38699 intel-oneapi-mkl-sycl-rng-2025.0   	       2        0        0        0        2
38700 intel-oneapi-mkl-sycl-sparse-2025.0	       2        0        0        0        2
38701 intel-oneapi-mkl-sycl-stats-2025.0 	       2        0        0        0        2
38702 intel-oneapi-mkl-sycl-vm-2025.0    	       2        0        0        0        2
38703 intel-oneapi-openmp-2025.0         	       2        0        2        0        0
38704 intel-oneapi-openmp-common-2025.0  	       2        0        0        0        2
38705 intel-oneapi-runtime-compilers-common	       2        0        2        0        0
38706 intel-oneapi-runtime-mkl           	       2        0        1        0        1
38707 intel-oneapi-tbb-2022.0            	       2        0        0        0        2
38708 intel-oneapi-tbb-devel             	       2        0        0        0        2
38709 intel-oneapi-tcm-1.2               	       2        0        0        0        2
38710 intel-oneapi-tlt                   	       2        0        0        0        2
38711 intel-oneapi-umf-0.9               	       2        0        2        0        0
38712 internetarchive                    	       2        0        1        1        0
38713 inventor-data                      	       2        0        0        0        2
38714 inventor-demo                      	       2        0        1        1        0
38715 inventor-dev                       	       2        0        2        0        0
38716 inventor-doc                       	       2        0        0        0        2
38717 ionit                              	       2        0        2        0        0
38718 ipp-linux                          	       2        0        0        0        2
38719 ippsample                          	       2        0        2        0        0
38720 ippsample-data                     	       2        0        0        0        2
38721 iptables-netflow-dkms              	       2        1        1        0        0
38722 iptvnator                          	       2        0        0        0        2
38723 iraf-fitsutil                      	       2        0        2        0        0
38724 irecovery                          	       2        0        2        0        0
38725 iredis                             	       2        0        1        1        0
38726 iron64                             	       2        0        0        0        2
38727 irony-server                       	       2        0        2        0        0
38728 irssi-dev                          	       2        0        2        0        0
38729 irstlm                             	       2        0        2        0        0
38730 isc-dhcp-relay                     	       2        0        2        0        0
38731 isc-dhcp-server-ldap               	       2        0        2        0        0
38732 isdnlog                            	       2        0        2        0        0
38733 islamic-menus                      	       2        0        0        0        2
38734 ismrmrd-schema                     	       2        0        0        0        2
38735 ismrmrd-tools                      	       2        0        2        0        0
38736 isochron                           	       2        0        2        0        0
38737 isoimagewriter                     	       2        0        1        1        0
38738 istgt                              	       2        0        2        0        0
38739 itk3-dev                           	       2        0        2        0        0
38740 itrans-fonts                       	       2        0        0        0        2
38741 jabberd2                           	       2        0        2        0        0
38742 jacktrip-gui                       	       2        0        2        0        0
38743 jan                                	       2        0        0        0        2
38744 janus                              	       2        0        2        0        0
38745 java3ds-fileloader                 	       2        0        0        0        2
38746 javamorph                          	       2        0        2        0        0
38747 jaxws                              	       2        0        2        0        0
38748 jbibtex-base                       	       2        0        0        0        2
38749 jbig2enc                           	       2        0        2        0        0
38750 jdk-16                             	       2        0        2        0        0
38751 jdk-16.0.2                         	       2        2        0        0        0
38752 jdk-18                             	       2        0        2        0        0
38753 jellyfin                           	       2        0        0        0        2
38754 jellyfin-ffmpeg6                   	       2        0        2        0        0
38755 jellyfin-mpv-shim                  	       2        0        2        0        0
38756 jellyfish1                         	       2        0        2        0        0
38757 jenkins                            	       2        0        0        1        1
38758 jerry                              	       2        0        2        0        0
38759 jetring                            	       2        0        2        0        0
38760 jfp-e16-themes                     	       2        0        0        0        2
38761 jgmenu-xfce4-panel-applet          	       2        0        0        0        2
38762 jigasi                             	       2        0        2        0        0
38763 jing                               	       2        0        2        0        0
38764 jitsi-meet-electron                	       2        0        0        0        2
38765 jlang                              	       2        0        2        0        0
38766 jmeter                             	       2        0        2        0        0
38767 jmeter-help                        	       2        0        0        0        2
38768 jmeter-http                        	       2        0        2        0        0
38769 jodconverter                       	       2        1        1        0        0
38770 joe-jupp                           	       2        0        2        0        0
38771 jpeg-xl-doc                        	       2        0        0        0        2
38772 js2-mode                           	       2        0        0        0        2
38773 juce-modules-source                	       2        0        0        0        2
38774 juce-opl-lv2                       	       2        0        2        0        0
38775 junior-education                   	       2        0        0        0        2
38776 junior-games-arcade                	       2        0        0        0        2
38777 junior-games-card                  	       2        0        0        0        2
38778 junior-games-gl                    	       2        0        0        0        2
38779 junior-internet                    	       2        0        0        0        2
38780 junior-system                      	       2        0        0        0        2
38781 junior-video                       	       2        0        0        0        2
38782 jwmkit                             	       2        0        2        0        0
38783 k3bmonkeyaudioplugin               	       2        0        2        0        0
38784 k9copy-trinity                     	       2        0        2        0        0
38785 kaffe-common                       	       2        0        2        0        0
38786 kanyremote                         	       2        0        2        0        0
38787 kapidox                            	       2        0        2        0        0
38788 kbattleship                        	       2        0        0        0        2
38789 kbdd                               	       2        0        2        0        0
38790 kbiff-trinity                      	       2        0        2        0        0
38791 kchmviewer-trinity                 	       2        0        2        0        0
38792 kdbg-trinity                       	       2        0        2        0        0
38793 kdbusnotification-trinity          	       2        0        2        0        0
38794 kde-config-touchpad                	       2        0        0        0        2
38795 kde-i18n-de-trinity                	       2        0        0        0        2
38796 kde-icons-crystal                  	       2        0        0        0        2
38797 kdeaddons-doc-html                 	       2        0        0        0        2
38798 kdebase-bin                        	       2        0        0        0        2
38799 kdebase-runtime                    	       2        0        0        0        2
38800 kdebugsettings                     	       2        0        2        0        0
38801 kded5-dev                          	       2        0        0        0        2
38802 kdelibs4c2a                        	       2        1        1        0        0
38803 kdemultimedia-kio-plugins          	       2        0        0        0        2
38804 kdepim-kresources                  	       2        0        2        0        0
38805 kdeplasma-addons                   	       2        0        0        0        2
38806 kdeplasma-applets-xrdesktop        	       2        0        0        0        2
38807 kdesdk-kio-plugins                 	       2        0        1        0        1
38808 kdevelop53-libs                    	       2        0        0        0        2
38809 kdewallpapers                      	       2        0        0        0        2
38810 kea                                	       2        0        0        0        2
38811 kea-admin                          	       2        0        2        0        0
38812 kea-ctrl-agent                     	       2        0        2        0        0
38813 kea-dhcp-ddns-server               	       2        0        2        0        0
38814 kea-doc                            	       2        0        0        0        2
38815 kexi-postgresql-driver             	       2        0        0        0        2
38816 keybinder-3.0-doc                  	       2        0        0        0        2
38817 keytouch-editor                    	       2        0        2        0        0
38818 kf6-breeze-icon-theme-rcc          	       2        0        0        0        2
38819 kfilereplace                       	       2        0        2        0        0
38820 kgeotag                            	       2        0        1        1        0
38821 khmer-common                       	       2        0        0        0        2
38822 khmerconverter                     	       2        0        2        0        0
38823 kicad-doc-id                       	       2        0        0        0        2
38824 kicad-doc-nl                       	       2        0        0        0        2
38825 kildclient-doc                     	       2        0        0        0        2
38826 kile-trinity                       	       2        0        2        0        0
38827 kindleclip                         	       2        0        2        0        0
38828 king                               	       2        0        2        0        0
38829 kinput2-canna                      	       2        0        2        0        0
38830 kinput2-common                     	       2        0        0        0        2
38831 kio-mtp                            	       2        0        2        0        0
38832 kio-sieve                          	       2        0        0        0        2
38833 kiwi                               	       2        0        2        0        0
38834 klinkstatus                        	       2        0        2        0        0
38835 klogd                              	       2        1        1        0        0
38836 kluppe                             	       2        0        2        0        0
38837 kma                                	       2        0        2        0        0
38838 kmplayer-base-trinity              	       2        0        2        0        0
38839 kmplayer-trinity                   	       2        0        2        0        0
38840 knot-host                          	       2        0        2        0        0
38841 kodi-game-libretro                 	       2        0        0        0        2
38842 kodi-pvr-hdhomerun                 	       2        0        0        0        2
38843 kodi-pvr-nextpvr                   	       2        0        0        0        2
38844 kodi-pvr-njoy                      	       2        0        0        0        2
38845 kodi-pvr-octonet                   	       2        0        0        0        2
38846 kodi-pvr-pctv                      	       2        0        0        0        2
38847 kodi-pvr-sledovanitv-cz            	       2        0        0        0        2
38848 kodi-pvr-stalker                   	       2        0        0        0        2
38849 kodi-pvr-teleboy                   	       2        0        0        0        2
38850 kodi-pvr-vuplus                    	       2        0        0        0        2
38851 kodi-pvr-waipu                     	       2        0        0        0        2
38852 kodi-pvr-wmc                       	       2        0        0        0        2
38853 kodi-pvr-zattoo                    	       2        0        0        0        2
38854 kodi-vfs-libarchive                	       2        0        0        0        2
38855 koha-common                        	       2        0        2        0        0
38856 komi                               	       2        0        2        0        0
38857 kommander                          	       2        0        2        0        0
38858 konfont                            	       2        0        0        0        2
38859 konversation-trinity               	       2        0        2        0        0
38860 kopia                              	       2        0        2        0        0
38861 kotlin                             	       2        0        2        0        0
38862 kquickimageeditor-dev              	       2        0        0        0        2
38863 kremotecontrol                     	       2        1        1        0        0
38864 krossruby                          	       2        0        0        0        2
38865 ksquirrel-trinity                  	       2        0        2        0        0
38866 kterm                              	       2        0        2        0        0
38867 ktoblzcheck                        	       2        0        2        0        0
38868 kubectx                            	       2        0        2        0        0
38869 kuser                              	       2        0        2        0        0
38870 kuserfeedback-dev                  	       2        0        2        0        0
38871 kvpnc                              	       2        0        2        0        0
38872 kytos-sphinx-theme-common          	       2        0        0        0        2
38873 labelme                            	       2        0        2        0        0
38874 labwc                              	       2        0        1        1        0
38875 lact                               	       2        0        2        0        0
38876 lam-runtime                        	       2        0        2        0        0
38877 langford-dkms                      	       2        0        2        0        0
38878 lanshare                           	       2        0        2        0        0
38879 larch                              	       2        0        2        0        0
38880 last-align                         	       2        0        2        0        0
38881 latex-fonts-arundina               	       2        0        0        0        2
38882 latex-fonts-sipa-arundina          	       2        0        0        0        2
38883 latex-fonts-thai-tlwg              	       2        0        0        0        2
38884 latex-xft-fonts                    	       2        0        0        0        2
38885 latex209-bin                       	       2        1        1        0        0
38886 latex209-src                       	       2        0        0        0        2
38887 lazarus-3.0                        	       2        0        0        0        2
38888 lazarus-3.6                        	       2        0        0        0        2
38889 lazarus-doc-3.6                    	       2        0        0        0        2
38890 lazarus-ide-1.8                    	       2        0        2        0        0
38891 lazarus-ide-3.0                    	       2        0        2        0        0
38892 lazarus-ide-3.8                    	       2        0        2        0        0
38893 lazarus-ide-gtk2-1.8               	       2        0        2        0        0
38894 lazarus-ide-gtk2-3.0               	       2        0        2        0        0
38895 lazarus-ide-qt5-2.0                	       2        0        2        0        0
38896 lazarus-src-1.8                    	       2        0        2        0        0
38897 lazarus-src-3.0                    	       2        0        2        0        0
38898 lazygal                            	       2        0        2        0        0
38899 lbreakouthd                        	       2        0        2        0        0
38900 lbreakouthd-data                   	       2        0        0        0        2
38901 lcd4linux                          	       2        1        1        0        0
38902 lcl-1.8                            	       2        0        0        0        2
38903 lcl-3.0                            	       2        0        0        0        2
38904 lcl-3.8                            	       2        0        0        0        2
38905 lcl-gtk2-1.8                       	       2        0        2        0        0
38906 lcl-gtk2-3.0                       	       2        0        2        0        0
38907 lcl-gtk2-3.8                       	       2        0        2        0        0
38908 lcl-nogui                          	       2        0        0        0        2
38909 lcl-nogui-1.8                      	       2        0        2        0        0
38910 lcl-nogui-3.0                      	       2        0        2        0        0
38911 lcl-nogui-3.8                      	       2        0        2        0        0
38912 lcl-units-1.8                      	       2        0        2        0        0
38913 lcl-units-3.0                      	       2        0        2        0        0
38914 lcl-units-3.8                      	       2        0        2        0        0
38915 lcl-utils-1.8                      	       2        0        2        0        0
38916 lcl-utils-3.0                      	       2        0        2        0        0
38917 lcl-utils-3.8                      	       2        0        2        0        0
38918 lcomp-dkms                         	       2        0        1        0        1
38919 leave                              	       2        0        2        0        0
38920 ledger-wallets-udev                	       2        0        2        0        0
38921 legit                              	       2        0        2        0        0
38922 lego                               	       2        0        2        0        0
38923 lfhex                              	       2        0        2        0        0
38924 lha                                	       2        1        1        0        0
38925 lhs2tex                            	       2        0        2        0        0
38926 lib++dfb-1.7-7t64                  	       2        0        0        0        2
38927 lib25519-1                         	       2        1        0        0        1
38928 lib32gfortran-11-dev               	       2        0        0        0        2
38929 lib32readline7                     	       2        0        0        0        2
38930 lib32stdc++-4.9-dev                	       2        0        2        0        0
38931 lib3ds-dev                         	       2        0        2        0        0
38932 lib3mf-dev                         	       2        0        2        0        0
38933 lib4ti2-0t64                       	       2        0        0        0        2
38934 lib64asan6                         	       2        0        0        0        2
38935 lib64atomic1-mips-cross            	       2        0        0        0        2
38936 lib64gcc-10-dev                    	       2        0        0        0        2
38937 lib64gcc-12-dev-i386-cross         	       2        0        0        0        2
38938 lib64gomp1-mips-cross              	       2        0        0        0        2
38939 lib64ubsan1                        	       2        0        0        0        2
38940 libaacs-bin                        	       2        0        2        0        0
38941 libaccounts-qt6-dev                	       2        0        2        0        0
38942 libaccountsservice-doc             	       2        0        0        0        2
38943 libace-6.5.12                      	       2        0        0        0        2
38944 libacme-bleach-perl                	       2        0        2        0        0
38945 libacme-brainfck-perl              	       2        0        2        0        0
38946 libacme-constant-perl              	       2        0        2        0        0
38947 libacme-eyedrops-perl              	       2        0        2        0        0
38948 libactionlib-dev                   	       2        0        2        0        0
38949 libactionlib-msgs-dev              	       2        0        2        0        0
38950 libactionlib1d                     	       2        0        0        0        2
38951 libad9361-dev                      	       2        0        2        0        0
38952 libaddresses0                      	       2        0        2        0        0
38953 libaddressview0                    	       2        0        2        0        0
38954 libadios-examples                  	       2        0        2        0        0
38955 libadios-openmpi-dev               	       2        0        0        0        2
38956 libadolc-dev                       	       2        0        2        0        0
38957 libadwaitaqt-dev                   	       2        0        2        0        0
38958 libaec-tools                       	       2        0        2        0        0
38959 libagg2-dev                        	       2        0        2        0        0
38960 libags-gui3                        	       2        0        0        0        2
38961 libags3                            	       2        0        0        0        2
38962 libahp-gt-dev                      	       2        0        2        0        0
38963 libahp-gt1                         	       2        0        0        0        2
38964 libaiksaurusgtk-1.2-dev            	       2        0        2        0        0
38965 libairspy-dev                      	       2        0        2        0        0
38966 libairspyhf-dev                    	       2        0        2        0        0
38967 libalberta4                        	       2        0        0        0        2
38968 libaldmb1-dev                      	       2        0        2        0        0
38969 libalglib-dev                      	       2        0        2        0        0
38970 libalgorithm-dependency-perl       	       2        0        2        0        0
38971 libalkimia5-7                      	       2        0        0        0        2
38972 libalsa-ocaml                      	       2        0        2        0        0
38973 libalsa-ocaml-dev                  	       2        0        2        0        0
38974 libament-index-cpp0d               	       2        0        0        0        2
38975 libanalitza7                       	       2        0        0        0        2
38976 libanalitzagui7                    	       2        0        0        0        2
38977 libanalitzaplot7                   	       2        0        0        0        2
38978 libanalitzawidgets7                	       2        0        0        0        2
38979 libancient-dev                     	       2        0        0        0        2
38980 libandroid-databinding-java        	       2        0        0        0        2
38981 libandroid-layoutlib-api-java      	       2        0        0        0        2
38982 libandroid-tools-analytics-library-java	       2        0        0        0        2
38983 libandroid-tools-dvlib-java        	       2        0        0        0        2
38984 libandroid-tools-repository-java   	       2        0        0        0        2
38985 libandroid-tools-sdklib-java       	       2        0        0        0        2
38986 libann-dev                         	       2        0        2        0        0
38987 libansilove-dev                    	       2        0        2        0        0
38988 libanthyinput0t64                  	       2        0        0        0        2
38989 libantlr-dev                       	       2        0        2        0        0
38990 libantlr-maven-plugin-java         	       2        0        0        0        2
38991 libantlr4-runtime-dev              	       2        0        2        0        0
38992 libanydata-perl                    	       2        0        2        0        0
38993 libanyevent-aggressiveidle-perl    	       2        0        2        0        0
38994 libanyevent-aio-perl               	       2        0        2        0        0
38995 libanyevent-callback-perl          	       2        0        2        0        0
38996 libanyevent-feed-perl              	       2        0        2        0        0
38997 libanyevent-forkobject-perl        	       2        0        2        0        0
38998 libanyevent-handle-udp-perl        	       2        0        2        0        0
38999 libanyevent-rabbitmq-perl          	       2        0        2        0        0
39000 libanyevent-serialize-perl         	       2        0        2        0        0
39001 libanyevent-tools-perl             	       2        0        2        0        0
39002 libanyevent-yubico-perl            	       2        0        2        0        0
39003 libao-pulse                        	       2        0        0        0        2
39004 libaoflagger0                      	       2        0        0        0        2
39005 libapache-jena-java                	       2        0        0        0        2
39006 libapache2-mod-apparmor            	       2        0        2        0        0
39007 libapache2-mod-apreq2              	       2        2        0        0        0
39008 libapache2-mod-authz-unixgroup     	       2        1        1        0        0
39009 libapache2-mod-jk                  	       2        2        0        0        0
39010 libapache2-mod-perl2-doc           	       2        0        0        0        2
39011 libapache2-mod-php7.1              	       2        0        2        0        0
39012 libapache2-mod-scgi                	       2        1        1        0        0
39013 libapache2-mod-xsendfile           	       2        1        1        0        0
39014 libapertium3-3.7-1                 	       2        0        0        0        2
39015 libapp-daemon-perl                 	       2        0        2        0        0
39016 libappindicator-dev                	       2        0        2        0        0
39017 libappindicator3-0.1-cil-dev       	       2        0        2        0        0
39018 libappmenu-gtk3-parser-dev         	       2        0        0        0        2
39019 libarb                             	       2        0        2        0        0
39020 libarchive-any-perl                	       2        0        2        0        0
39021 libarchive-peek-perl               	       2        0        2        0        0
39022 libarchive1                        	       2        0        0        0        2
39023 libarcus5                          	       2        0        0        0        2
39024 libarmadillo3                      	       2        0        0        0        2
39025 libarpack++2-dev                   	       2        0        2        0        0
39026 libarpack++2c2a                    	       2        0        0        0        2
39027 libarray-diff-perl                 	       2        0        2        0        0
39028 libarray-group-perl                	       2        0        2        0        0
39029 libarray-iterator-perl             	       2        0        1        1        0
39030 libarray-printcols-perl            	       2        0        2        0        0
39031 libarrayfire-dev                   	       2        0        2        0        0
39032 libarts1c2a                        	       2        0        2        0        0
39033 libartsc0-dev                      	       2        0        2        0        0
39034 libasan1-dbg                       	       2        0        0        0        2
39035 libasan3-arm64-cross               	       2        0        0        0        2
39036 libasan5-x32-cross                 	       2        0        0        0        2
39037 libasan6-ppc64-cross               	       2        0        0        0        2
39038 libasan8-sparc64-cross             	       2        0        0        0        2
39039 libasio-doc                        	       2        0        0        0        2
39040 libasis2019.1                      	       2        0        0        0        2
39041 libasn1-8t64-heimdal               	       2        0        0        0        2
39042 libasr0                            	       2        0        0        0        2
39043 libassa-3.5-5v5                    	       2        0        0        0        2
39044 libast2t64                         	       2        0        0        0        2
39045 libastro-fits-header-perl          	       2        0        2        0        0
39046 libastrometry-dev                  	       2        0        2        0        0
39047 libasyncaudio1.6                   	       2        0        0        0        2
39048 libasyncaudio1.6t64                	       2        0        0        0        2
39049 libasynccore1.6                    	       2        0        0        0        2
39050 libasynccore1.6t64                 	       2        0        0        0        2
39051 libasynccpp1.6t64                  	       2        0        0        0        2
39052 libasyncqt1.6                      	       2        0        0        0        2
39053 libasyncqt1.6t64                   	       2        0        0        0        2
39054 libation                           	       2        0        1        1        0
39055 libatk1-ruby1.8                    	       2        0        1        0        1
39056 libatlas3gf-base                   	       2        0        0        0        2
39057 libatm1t64                         	       2        1        0        0        1
39058 libaudclient-dev                   	       2        0        2        0        0
39059 libaudcore1                        	       2        0        0        0        2
39060 libaudio-mixer-perl                	       2        0        0        0        2
39061 libaudio-rpld-perl                 	       2        0        2        0        0
39062 libaudio-wma-perl                  	       2        0        2        0        0
39063 libauthen-simple-pam-perl          	       2        0        2        0        0
39064 libautobox-core-perl               	       2        0        2        0        0
39065 libautomaton-java                  	       2        0        0        0        2
39066 libavahi-qt3-1                     	       2        0        0        0        2
39067 libavcall1                         	       2        0        0        0        2
39068 libavdevice52                      	       2        0        0        0        2
39069 libavfilter-extra6                 	       2        0        0        0        2
39070 libavformat0d                      	       2        0        0        0        2
39071 libavformat55                      	       2        0        0        0        2
39072 libavifile-0.7-bin                 	       2        1        1        0        0
39073 libavifile-0.7-dev                 	       2        0        2        0        0
39074 libavkys7                          	       2        0        0        0        2
39075 libawl-php                         	       2        0        2        0        0
39076 libaxis-java                       	       2        0        0        0        2
39077 libayatana-appindicator-dev        	       2        0        2        0        0
39078 libayatana-indicator-dev           	       2        0        2        0        0
39079 libb2-dev                          	       2        0        2        0        0
39080 libbabeltrace-ctf-dev              	       2        0        0        0        2
39081 libbambamc0                        	       2        0        0        0        2
39082 libbase1                           	       2        0        0        0        2
39083 libbash-doc                        	       2        0        0        0        2
39084 libbasicplayer-java                	       2        0        0        0        2
39085 libbcg729-dev                      	       2        0        2        0        0
39086 libbcpg-java-doc                   	       2        0        0        0        2
39087 libbcpkix-java-doc                 	       2        0        0        0        2
39088 libbcprov-java-doc                 	       2        0        0        0        2
39089 libbdd0c2                          	       2        0        0        0        2
39090 libbde-utils                       	       2        0        2        0        0
39091 libbeam-java                       	       2        0        0        0        2
39092 libbeecrypt6                       	       2        0        0        0        2
39093 libbelcard-dev                     	       2        0        0        0        2
39094 libbenchmark1                      	       2        0        0        0        2
39095 libbencode-perl                    	       2        0        2        0        0
39096 libbfb0t64                         	       2        0        0        0        2
39097 libbfio-dev                        	       2        0        2        0        0
39098 libbg1                             	       2        0        0        0        2
39099 libbiblio-endnotestyle-perl        	       2        0        2        0        0
39100 libbiblio-thesaurus-perl           	       2        0        2        0        0
39101 libbidi-clojure                    	       2        0        0        0        2
39102 libbigarray-compat-ocaml-dev       	       2        0        2        0        0
39103 libbind-export-dev                 	       2        0        2        0        0
39104 libbio-eutilities-perl             	       2        0        2        0        0
39105 libbio-featureio-perl              	       2        0        2        0        0
39106 libbio-tools-run-alignment-clustalw-perl	       2        0        2        0        0
39107 libbio-tools-run-remoteblast-perl  	       2        0        2        0        0
39108 libbiosig3                         	       2        0        0        0        2
39109 libbit-vector-minimal-perl         	       2        0        2        0        0
39110 libbitmask-dev                     	       2        0        2        0        0
39111 libbitmask1                        	       2        0        0        0        2
39112 libbladerf-dev                     	       2        0        2        0        0
39113 libblitz0v5                        	       2        0        0        0        2
39114 libblkid1-dbgsym                   	       2        0        2        0        0
39115 libblockdev-dev                    	       2        0        2        0        0
39116 libblocksruntime-dev               	       2        0        2        0        0
39117 libblocksruntime0                  	       2        0        0        0        2
39118 libbluedevil2                      	       2        0        0        0        2
39119 libbluetooth2                      	       2        0        0        0        2
39120 libbobcat5                         	       2        0        0        0        2
39121 libboinc-app7                      	       2        0        0        0        2
39122 libboinc7t64                       	       2        0        1        1        0
39123 libbondcpp1d                       	       2        0        0        0        2
39124 libboost-chrono1.81-dev            	       2        0        0        0        2
39125 libboost-chrono1.81.0              	       2        0        0        0        2
39126 libboost-chrono1.83.0              	       2        0        0        0        2
39127 libboost-container1.81-dev         	       2        0        0        0        2
39128 libboost-container1.81.0           	       2        0        0        0        2
39129 libboost-context1.55-dev           	       2        0        0        0        2
39130 libboost-context1.62-dev           	       2        0        0        0        2
39131 libboost-context1.81-dev           	       2        0        0        0        2
39132 libboost-context1.81.0             	       2        0        0        0        2
39133 libboost-coroutine1.55-dev         	       2        0        0        0        2
39134 libboost-coroutine1.62-dev         	       2        0        0        0        2
39135 libboost-coroutine1.62.0           	       2        0        0        0        2
39136 libboost-coroutine1.81-dev         	       2        0        0        0        2
39137 libboost-coroutine1.81.0           	       2        0        0        0        2
39138 libboost-date-time1.42.0           	       2        0        0        0        2
39139 libboost-date-time1.58.0           	       2        0        0        0        2
39140 libboost-date-time1.81-dev         	       2        0        0        0        2
39141 libboost-date-time1.81.0           	       2        0        0        0        2
39142 libboost-exception1.55-dev         	       2        0        0        0        2
39143 libboost-exception1.62-dev         	       2        0        0        0        2
39144 libboost-exception1.81-dev         	       2        0        0        0        2
39145 libboost-fiber1.62-dev             	       2        0        0        0        2
39146 libboost-fiber1.62.0               	       2        0        0        0        2
39147 libboost-fiber1.81-dev             	       2        0        0        0        2
39148 libboost-fiber1.81.0               	       2        0        0        0        2
39149 libboost-filesystem1.42.0          	       2        0        0        0        2
39150 libboost-filesystem1.55-dev        	       2        0        0        0        2
39151 libboost-filesystem1.61.0          	       2        0        0        0        2
39152 libboost-graph-parallel1.55-dev    	       2        0        0        0        2
39153 libboost-graph-parallel1.55.0      	       2        0        0        0        2
39154 libboost-graph-parallel1.62-dev    	       2        0        0        0        2
39155 libboost-graph-parallel1.62.0      	       2        0        0        0        2
39156 libboost-graph-parallel1.81-dev    	       2        0        0        0        2
39157 libboost-graph-parallel1.81.0      	       2        0        0        0        2
39158 libboost-graph1.49.0               	       2        0        0        0        2
39159 libboost-graph1.55-dev             	       2        0        0        0        2
39160 libboost-graph1.62-dev             	       2        0        0        0        2
39161 libboost-graph1.62.0               	       2        0        0        0        2
39162 libboost-graph1.81-dev             	       2        0        0        0        2
39163 libboost-graph1.81.0               	       2        0        0        0        2
39164 libboost-iostreams1.46.1           	       2        0        0        0        2
39165 libboost-iostreams1.55-dev         	       2        0        0        0        2
39166 libboost-iostreams1.81-dev         	       2        0        0        0        2
39167 libboost-locale1.49.0              	       2        0        0        0        2
39168 libboost-locale1.55-dev            	       2        0        0        0        2
39169 libboost-locale1.81-dev            	       2        0        0        0        2
39170 libboost-log1.55-dev               	       2        0        0        0        2
39171 libboost-log1.55.0                 	       2        0        0        0        2
39172 libboost-log1.62-dev               	       2        0        0        0        2
39173 libboost-log1.81-dev               	       2        0        0        0        2
39174 libboost-log1.81.0                 	       2        0        0        0        2
39175 libboost-math1.49.0                	       2        0        0        0        2
39176 libboost-math1.55-dev              	       2        0        0        0        2
39177 libboost-math1.62-dev              	       2        0        0        0        2
39178 libboost-math1.62.0                	       2        0        0        0        2
39179 libboost-math1.81-dev              	       2        0        0        0        2
39180 libboost-math1.81.0                	       2        0        0        0        2
39181 libboost-mpi-python1.62-dev        	       2        0        0        0        2
39182 libboost-mpi-python1.62.0          	       2        0        2        0        0
39183 libboost-mpi-python1.67-dev        	       2        0        0        0        2
39184 libboost-mpi-python1.67.0          	       2        0        2        0        0
39185 libboost-mpi-python1.81-dev        	       2        0        0        0        2
39186 libboost-mpi-python1.81.0          	       2        0        2        0        0
39187 libboost-mpi1.55.0                 	       2        0        0        0        2
39188 libboost-mpi1.62-dev               	       2        0        0        0        2
39189 libboost-mpi1.81-dev               	       2        0        0        0        2
39190 libboost-mpi1.81.0                 	       2        0        0        0        2
39191 libboost-nowide1.81-dev            	       2        0        0        0        2
39192 libboost-numpy1.81-dev             	       2        0        0        0        2
39193 libboost-numpy1.81.0               	       2        0        0        0        2
39194 libboost-program-options1.55-dev   	       2        0        0        0        2
39195 libboost-program-options1.81-dev   	       2        0        0        0        2
39196 libboost-program-options1.81.0     	       2        0        0        0        2
39197 libboost-python1.34.1              	       2        0        0        0        2
39198 libboost-python1.55-dev            	       2        0        2        0        0
39199 libboost-python1.62-dev            	       2        0        2        0        0
39200 libboost-python1.81-dev            	       2        0        0        0        2
39201 libboost-python1.81.0              	       2        0        0        0        2
39202 libboost-random1.49.0              	       2        0        0        0        2
39203 libboost-random1.55-dev            	       2        0        0        0        2
39204 libboost-random1.62-dev            	       2        0        0        0        2
39205 libboost-random1.81-dev            	       2        0        0        0        2
39206 libboost-random1.81.0              	       2        0        0        0        2
39207 libboost-regex1.55-dev             	       2        0        0        0        2
39208 libboost-regex1.81-dev             	       2        0        0        0        2
39209 libboost-regex1.81.0               	       2        0        0        0        2
39210 libboost-serialization1.42.0       	       2        0        0        0        2
39211 libboost-serialization1.81-dev     	       2        0        0        0        2
39212 libboost-serialization1.81.0       	       2        0        0        0        2
39213 libboost-signals1.49.0             	       2        0        0        0        2
39214 libboost-signals1.55-dev           	       2        0        0        0        2
39215 libboost-signals1.62-dev           	       2        0        0        0        2
39216 libboost-stacktrace1.81-dev        	       2        0        0        0        2
39217 libboost-stacktrace1.81.0          	       2        0        0        0        2
39218 libboost-system1.61.0              	       2        0        0        0        2
39219 libboost-test1.49.0                	       2        0        0        0        2
39220 libboost-test1.55-dev              	       2        0        0        0        2
39221 libboost-test1.62-dev              	       2        0        0        0        2
39222 libboost-thread1.42.0              	       2        0        0        0        2
39223 libboost-thread1.81-dev            	       2        0        0        0        2
39224 libboost-timer1.49.0               	       2        0        0        0        2
39225 libboost-timer1.55-dev             	       2        0        0        0        2
39226 libboost-timer1.62-dev             	       2        0        0        0        2
39227 libboost-timer1.81-dev             	       2        0        0        0        2
39228 libboost-timer1.81.0               	       2        0        0        0        2
39229 libboost-type-erasure1.62-dev      	       2        0        0        0        2
39230 libboost-type-erasure1.62.0        	       2        0        0        0        2
39231 libboost-type-erasure1.81-dev      	       2        0        0        0        2
39232 libboost-type-erasure1.81.0        	       2        0        0        0        2
39233 libboost-wave1.49.0                	       2        0        0        0        2
39234 libboost-wave1.55-dev              	       2        0        0        0        2
39235 libboost-wave1.55.0                	       2        0        0        0        2
39236 libboost-wave1.81-dev              	       2        0        0        0        2
39237 libboost-wave1.81.0                	       2        0        0        0        2
39238 libboost1.55-tools-dev             	       2        0        2        0        0
39239 libboost1.62-tools-dev             	       2        0        2        0        0
39240 libboost1.81-all-dev               	       2        0        0        0        2
39241 libbotan-1.10-0                    	       2        0        0        0        2
39242 libbotan-2-18                      	       2        0        0        0        2
39243 libbpf-tools                       	       2        0        2        0        0
39244 libbrahe-1.3-3                     	       2        0        0        0        2
39245 libbrahe-dev                       	       2        0        2        0        0
39246 libbtrfsutil1                      	       2        0        0        0        2
39247 libbuild-helper-maven-plugin-java  	       2        0        0        0        2
39248 libbzrtp1                          	       2        0        0        0        2
39249 libc++-19-dev                      	       2        1        1        0        0
39250 libc++-19-dev-wasm32               	       2        0        1        1        0
39251 libc++abi-19-dev                   	       2        1        1        0        0
39252 libc++abi-19-dev-wasm32            	       2        0        1        1        0
39253 libc6-dev-mips64-mips-cross        	       2        0        2        0        0
39254 libc6-dev-mipsn32-mips-cross       	       2        0        2        0        0
39255 libc6-dev-powerpc-cross            	       2        0        2        0        0
39256 libc6-i386-amd64-cross             	       2        0        0        0        2
39257 libc6-mips64-mips-cross            	       2        0        0        0        2
39258 libc6-mipsn32-mips-cross           	       2        0        0        0        2
39259 libcache-memcached-fast-perl       	       2        0        2        0        0
39260 libcache-memcached-fast-safe-perl  	       2        0        2        0        0
39261 libcache-simple-timedexpiry-perl   	       2        0        2        0        0
39262 libcairo-ruby1.8                   	       2        0        1        0        1
39263 libcal3d12                         	       2        0        2        0        0
39264 libcallaudio-tools                 	       2        0        2        0        0
39265 libcallback1                       	       2        0        0        0        2
39266 libcamd2.2.0                       	       2        0        0        0        2
39267 libcamomile-ocaml-dev              	       2        0        2        0        0
39268 libcantorlibs28abi2                	       2        0        0        0        2
39269 libcaptcha-recaptcha-perl          	       2        0        2        0        0
39270 libcasa-casa2                      	       2        0        0        0        2
39271 libcatalyst-action-renderview-perl 	       2        1        1        0        0
39272 libcatalyst-component-instancepercontext-perl	       2        1        1        0        0
39273 libcatalyst-devel-perl             	       2        1        1        0        0
39274 libcatalyst-dispatchtype-regex-perl	       2        1        1        0        0
39275 libcatalyst-model-dbic-schema-perl 	       2        1        1        0        0
39276 libcatalyst-plugin-authentication-perl	       2        1        1        0        0
39277 libcatalyst-plugin-configloader-perl	       2        1        1        0        0
39278 libcatalyst-plugin-session-perl    	       2        1        1        0        0
39279 libcatalyst-plugin-session-state-cookie-perl	       2        1        1        0        0
39280 libcatalyst-plugin-session-store-fastmmap-perl	       2        1        1        0        0
39281 libcatalyst-plugin-stacktrace-perl 	       2        1        1        0        0
39282 libcatalyst-plugin-static-simple-perl	       2        1        1        0        0
39283 libcatalystx-component-traits-perl 	       2        1        1        0        0
39284 libcatmandu-perl                   	       2        0        2        0        0
39285 libcattle-1.0-0                    	       2        0        0        0        2
39286 libccgnu2-1.7-0                    	       2        0        0        0        2
39287 libccgnu2-1.8-0v5                  	       2        0        0        0        2
39288 libccolamd2.7.1                    	       2        0        0        0        2
39289 libccrtp1-1.7-0                    	       2        0        0        0        2
39290 libccrtp2t64                       	       2        1        0        0        1
39291 libcdb-file-perl                   	       2        0        0        0        2
39292 libcdd0t64                         	       2        0        0        0        2
39293 libcdio6                           	       2        0        0        0        2
39294 libcdk-java                        	       2        0        0        0        2
39295 libcdk5-doc                        	       2        0        2        0        0
39296 libcds-healpix-java                	       2        0        0        0        2
39297 libcegui-mk2-0.8.7                 	       2        0        0        0        2
39298 libcegui-mk2-data                  	       2        0        0        0        2
39299 libcegui-mk2-dev                   	       2        0        2        0        0
39300 libcephfs-dev                      	       2        0        1        1        0
39301 libceres-dev                       	       2        0        2        0        0
39302 libcerf2                           	       2        0        0        0        2
39303 libcext-dev                        	       2        0        2        0        0
39304 libcgal-demo                       	       2        0        0        0        2
39305 libcgal-qt5-dev                    	       2        0        2        0        0
39306 libcgi-application-dispatch-perl   	       2        0        2        0        0
39307 libcgi-expand-perl                 	       2        0        2        0        0
39308 libcgi-session-driver-memcached-perl	       2        0        2        0        0
39309 libcgicc-dev                       	       2        0        2        0        0
39310 libcgicc3                          	       2        0        0        0        2
39311 libcglib-nodep-java                	       2        0        0        0        2
39312 libcgns-dev                        	       2        0        2        0        0
39313 libchafa-dev                       	       2        0        2        0        0
39314 libchamplain-0.12-dev              	       2        0        2        0        0
39315 libchamplain-gtk-0.12-dev          	       2        0        0        0        2
39316 libcheck-isa-perl                  	       2        0        2        0        0
39317 libchecker-framework-java          	       2        0        0        0        2
39318 libcherokee-base0                  	       2        0        0        0        2
39319 libcherokee-mod-libssl             	       2        0        0        0        2
39320 libcherokee-mod-mysql              	       2        0        0        0        2
39321 libcherokee-server0                	       2        0        0        0        2
39322 libchipcard-libgwenhywfar60-plugins	       2        0        0        0        2
39323 libchipcard-tools                  	       2        0        2        0        0
39324 libcholmod1.7.1                    	       2        0        0        0        2
39325 libcib27                           	       2        0        2        0        0
39326 libcinnamon-desktop-dev            	       2        0        2        0        0
39327 libclang-15-dev                    	       2        0        2        0        0
39328 libclang-common-20-dev             	       2        0        2        0        0
39329 libclang-common-3.9-dev            	       2        0        2        0        0
39330 libclang-common-8-dev              	       2        0        2        0        0
39331 libclang-cpp11-dev                 	       2        0        2        0        0
39332 libclang-cpp19-dev                 	       2        1        1        0        0
39333 libclang-cpp20                     	       2        0        1        0        1
39334 libclang-rt-20-dev                 	       2        0        2        0        0
39335 libclang-rt-dev                    	       2        0        0        0        2
39336 libclang1-20                       	       2        0        2        0        0
39337 libclang1-8                        	       2        0        2        0        0
39338 libclansdl-1.0v5                   	       2        0        0        0        2
39339 libclass-autouse-perl              	       2        0        2        0        0
39340 libclass-dbi-pg-perl               	       2        0        2        0        0
39341 libclass-loader3d                  	       2        0        0        0        2
39342 libclass-tiny-chained-perl         	       2        0        2        0        0
39343 libclass-virtual-perl              	       2        0        2        0        0
39344 libclassmate-java                  	       2        0        0        0        2
39345 libclassworlds-java-doc            	       2        0        0        0        2
39346 libclaws-mail-dev                  	       2        0        1        1        0
39347 libclblas-doc                      	       2        0        0        0        2
39348 libclc-16-dev                      	       2        0        2        0        0
39349 libcli1.10t64                      	       2        0        0        0        2
39350 libclthreads2t64                   	       2        0        0        0        2
39351 libclucene-dev                     	       2        0        2        0        0
39352 libclutter-gtk-1.0-doc             	       2        0        0        0        2
39353 libclxclient3t64                   	       2        0        0        0        2
39354 libcmark-gfm-dev                   	       2        0        2        0        0
39355 libcmark-gfm-extensions-dev        	       2        0        2        0        0
39356 libcmark-gfm-extensions0.29.0.gfm.2	       2        0        0        0        2
39357 libcmark-gfm0.29.0.gfm.2           	       2        0        0        0        2
39358 libcmlxom-java                     	       2        0        0        0        2
39359 libcob5                            	       2        0        0        0        2
39360 libcob5t64                         	       2        0        0        0        2
39361 libcollada-dom-dev                 	       2        0        2        0        0
39362 libcolord-gtk-dev                  	       2        0        0        0        2
39363 libcolpack-dev                     	       2        0        2        0        0
39364 libcolpack0v5                      	       2        0        0        0        2
39365 libcom-dev                         	       2        0        0        0        2
39366 libcom3.17.6                       	       2        0        0        0        2
39367 libcombblas2.0.0                   	       2        0        0        0        2
39368 libcombblas2.0.0t64                	       2        0        0        0        2
39369 libcommons-el-java                 	       2        0        0        0        2
39370 libcommons-httpclient-java-doc     	       2        0        0        0        2
39371 libcommons-jexl-java               	       2        0        0        0        2
39372 libcommons-jxpath-java             	       2        0        0        0        2
39373 libcommons-lang3-java-doc          	       2        0        0        0        2
39374 libcompizconfig0-trinity           	       2        0        2        0        0
39375 libconcord6                        	       2        0        0        0        2
39376 libconcurrent-java                 	       2        0        0        0        2
39377 libconfig-find-perl                	       2        0        2        0        0
39378 libconfig-onion-perl               	       2        0        2        0        0
39379 libconsole-bridge0.4               	       2        0        0        0        2
39380 libconsolekit1                     	       2        0        0        0        2
39381 libconstantine-java                	       2        0        0        0        2
39382 libcos4-2                          	       2        0        0        0        2
39383 libcourier-unicode1                	       2        0        0        0        2
39384 libcoverart-dev                    	       2        0        2        0        0
39385 libcpl-dev                         	       2        0        2        0        0
39386 libcpp-httplib0.13                 	       2        0        0        0        2
39387 libcpp-httplib0.16                 	       2        0        1        0        1
39388 libcppad-dev                       	       2        0        0        0        2
39389 libcpprspserver3                   	       2        0        0        0        2
39390 libcppunit-1.13-0v5                	       2        0        0        0        2
39391 libcpupower-dev                    	       2        0        2        0        0
39392 libcpuset-dev                      	       2        0        2        0        0
39393 libcpuset1                         	       2        0        0        0        2
39394 libcr-dev                          	       2        0        2        0        0
39395 libcreaterepo-c0                   	       2        0        0        0        2
39396 libcreg-utils                      	       2        0        2        0        0
39397 libcriterion3                      	       2        0        0        0        2
39398 libcrmcluster29                    	       2        0        2        0        0
39399 libcrmcommon34                     	       2        0        2        0        0
39400 libcrmservice28                    	       2        0        2        0        0
39401 libcroco3-dev                      	       2        0        2        0        0
39402 libcrypt-cast5-perl                	       2        0        0        0        2
39403 libcrypt-cracklib-perl             	       2        0        0        0        2
39404 libcrypt-gpg-perl                  	       2        0        2        0        0
39405 libcrypt-hcesha-perl               	       2        0        2        0        0
39406 libcrypt-openssl-pkcs10-perl       	       2        0        0        0        2
39407 libcrypt-twofish-perl              	       2        0        0        0        2
39408 libcrypt-util-perl                 	       2        1        1        0        0
39409 libcrypt-x509-perl                 	       2        0        2        0        0
39410 libcrypt2                          	       2        0        0        0        2
39411 libcryptgps-ocaml-dev              	       2        0        2        0        0
39412 libcrypto++-doc                    	       2        0        0        0        2
39413 libcrypto-equality-clojure         	       2        0        0        0        2
39414 libcrypto-random-clojure           	       2        0        0        0        2
39415 libcryptokit-ocaml                 	       2        0        1        0        1
39416 libcryptokit-ocaml-dev             	       2        0        2        0        0
39417 libcsnd6-java                      	       2        0        1        0        1
39418 libctl7t64                         	       2        0        0        0        2
39419 libctpl2t64                        	       2        0        0        0        2
39420 libcubeb-dev                       	       2        0        2        0        0
39421 libcubeb-doc                       	       2        0        0        0        2
39422 libcublas-12-4                     	       2        0        0        0        2
39423 libcublas-12-8                     	       2        0        0        0        2
39424 libcublas-dev-12-4                 	       2        0        2        0        0
39425 libcublas-dev-12-8                 	       2        0        1        1        0
39426 libcublas9.2                       	       2        0        0        0        2
39427 libcudart4                         	       2        0        0        0        2
39428 libcudart9.2                       	       2        0        0        0        2
39429 libcudnn8                          	       2        0        0        0        2
39430 libcudnn8-dev                      	       2        0        2        0        0
39431 libcudnn9-cuda-12                  	       2        0        0        0        2
39432 libcudnn9-dev-cuda-12              	       2        0        0        2        0
39433 libcudnn9-samples                  	       2        0        0        2        0
39434 libcudnn9-static-cuda-12           	       2        0        0        0        2
39435 libcue-dev                         	       2        0        2        0        0
39436 libcufft-12-4                      	       2        0        0        0        2
39437 libcufft-12-8                      	       2        0        0        0        2
39438 libcufft-dev-12-4                  	       2        0        2        0        0
39439 libcufft-dev-12-8                  	       2        0        1        1        0
39440 libcufile-12-4                     	       2        0        0        0        2
39441 libcufile-12-8                     	       2        0        0        0        2
39442 libcufile-dev-12-4                 	       2        0        2        0        0
39443 libcufile-dev-12-8                 	       2        0        1        1        0
39444 libcuneiform-dev                   	       2        0        2        0        0
39445 libcunit1-ncurses                  	       2        0        0        0        2
39446 libcunit1-ncurses-dev              	       2        0        2        0        0
39447 libcupsdriver1                     	       2        0        0        0        2
39448 libcupt3-0                         	       2        0        2        0        0
39449 libcupt4-2-downloadmethod-curl     	       2        0        2        0        0
39450 libcupt4-2-downloadmethod-wget     	       2        0        2        0        0
39451 libcurand-12-4                     	       2        0        0        0        2
39452 libcurand-12-8                     	       2        0        0        0        2
39453 libcurand-dev-12-4                 	       2        0        2        0        0
39454 libcurand-dev-12-8                 	       2        0        1        1        0
39455 libcurlpp0t64                      	       2        0        0        0        2
39456 libcusolver-12-4                   	       2        0        0        0        2
39457 libcusolver-12-8                   	       2        0        0        0        2
39458 libcusolver-dev-12-4               	       2        0        2        0        0
39459 libcusolver-dev-12-8               	       2        0        1        1        0
39460 libcusparse-12-4                   	       2        0        0        0        2
39461 libcusparse-12-8                   	       2        0        0        0        2
39462 libcusparse-dev-12-4               	       2        0        2        0        0
39463 libcusparse-dev-12-8               	       2        0        1        1        0
39464 libcvc4-7                          	       2        0        0        0        2
39465 libcvc4parser7                     	       2        0        0        0        2
39466 libcvd-tools                       	       2        1        1        0        0
39467 libcvd2                            	       2        0        0        0        2
39468 libcvm1                            	       2        0        0        0        2
39469 libcxsparse2.2.3                   	       2        0        0        0        2
39470 libczmq-dev                        	       2        0        2        0        0
39471 libd3dadapter9-mesa-dev            	       2        0        2        0        0
39472 libdanga-socket-perl               	       2        0        2        0        0
39473 libdar64-5000                      	       2        0        0        0        2
39474 libdar64-6000t64                   	       2        0        0        0        2
39475 libdata-objectdriver-perl          	       2        0        2        0        0
39476 libdata-section-simple-perl        	       2        0        2        0        0
39477 libdata-stream-bulk-perl           	       2        0        2        0        0
39478 libdata-streamdeserializer-perl    	       2        0        0        0        2
39479 libdata-streamserializer-perl      	       2        0        0        0        2
39480 libdata-treedumper-perl            	       2        0        2        0        0
39481 libdata-util-perl                  	       2        0        2        0        0
39482 libdatachannel0.22                 	       2        0        0        0        2
39483 libdataquay0                       	       2        0        0        0        2
39484 libdate-pcalc-perl                 	       2        0        0        0        2
39485 libdatetime-format-http-perl       	       2        0        2        0        0
39486 libdatetime-format-pg-perl         	       2        0        2        0        0
39487 libdavs2-dev                       	       2        0        2        0        0
39488 libdazzle-1.0-dev                  	       2        0        2        0        0
39489 libdb1-compat                      	       2        0        2        0        0
39490 libdb4.4                           	       2        0        0        0        2
39491 libdb5.1-java                      	       2        0        0        0        2
39492 libdb5.3++t64                      	       2        0        0        0        2
39493 libdb5.3-sql-dev                   	       2        0        2        0        0
39494 libdb5.3-stl                       	       2        0        0        0        2
39495 libdb5.3-stl-dev                   	       2        0        2        0        0
39496 libdb5.3-tcl                       	       2        0        0        0        2
39497 libdbd-mock-perl                   	       2        0        2        0        0
39498 libdbd-pg-ruby1.9.1                	       2        0        0        0        2
39499 libdbix-class-cursor-cached-perl   	       2        1        1        0        0
39500 libdbix-class-dynamicdefault-perl  	       2        0        2        0        0
39501 libdbix-class-schema-populatemore-perl	       2        0        2        0        0
39502 libdbix-class-timestamp-perl       	       2        0        2        0        0
39503 libdbix-dbschema-perl              	       2        0        2        0        0
39504 libdbix-runsql-perl                	       2        0        2        0        0
39505 libdbix-searchbuilder-perl         	       2        0        2        0        0
39506 libdbus-1-tqt-dev                  	       2        0        2        0        0
39507 libdbus-cpp5                       	       2        0        0        0        2
39508 libdbus-glib2.0-cil-dev            	       2        0        2        0        0
39509 libdbus-java                       	       2        0        0        0        2
39510 libdbus2.0-cil-dev                 	       2        0        2        0        0
39511 libdbusextended-qt5-1              	       2        0        0        0        2
39512 libdbuskit0                        	       2        0        2        0        0
39513 libdbusmenu-qt5-doc                	       2        0        0        0        2
39514 libdbustest1                       	       2        0        0        0        2
39515 libdc1394-utils                    	       2        0        2        0        0
39516 libdca-utils                       	       2        0        2        0        0
39517 libdconf-dev                       	       2        0        2        0        0
39518 libdconf-doc                       	       2        0        0        0        2
39519 libde265-examples                  	       2        0        2        0        0
39520 libdebug0                          	       2        0        0        0        2
39521 libdebuginfod-dev                  	       2        1        1        0        0
39522 libdexx-java                       	       2        0        0        0        2
39523 libdico2                           	       2        1        0        0        1
39524 libdico2t64                        	       2        0        1        0        1
39525 libdiffutils-java                  	       2        0        0        0        2
39526 libdigest-bcrypt-perl              	       2        0        2        0        0
39527 libdislocker0.7t64                 	       2        0        0        0        2
39528 libdispatch-class-perl             	       2        0        2        0        0
39529 libdivsufsort-dev                  	       2        0        2        0        0
39530 libdlib-dev                        	       2        0        2        0        0
39531 libdmalloc-dev                     	       2        0        2        0        0
39532 libdmalloc5                        	       2        0        0        0        2
39533 libdmapsharing-4.0-3               	       2        0        0        0        2
39534 libdnet-dev                        	       2        0        2        0        0
39535 libdns81                           	       2        0        0        0        2
39536 libdnssec6                         	       2        0        0        0        2
39537 libdnssec9t64                      	       2        0        2        0        0
39538 libdockapp2                        	       2        0        0        0        2
39539 libdpdk-dev                        	       2        0        2        0        0
39540 libdraco3                          	       2        0        0        0        2
39541 libdrpm0                           	       2        0        0        0        2
39542 libdrumstick-dev                   	       2        0        1        1        0
39543 libdsdcc1t64                       	       2        0        0        0        2
39544 libdsocksd0t64                     	       2        0        0        0        2
39545 libdtkwm2                          	       2        0        0        0        2
39546 libdumbnet-dev                     	       2        0        2        0        0
39547 libdvbv5-dev                       	       2        1        1        0        0
39548 libdvbv5-doc                       	       2        0        0        0        2
39549 libdvdread7                        	       2        0        0        0        2
39550 libdynamic-reconfigure-config-init-mutex0d	       2        0        0        0        2
39551 libeantic3                         	       2        0        0        0        2
39552 libebook-1.2-13                    	       2        0        0        0        2
39553 libecap2                           	       2        0        0        0        2
39554 libecholib1.3                      	       2        0        0        0        2
39555 libecholib1.3t64                   	       2        0        0        0        2
39556 libeclipse-aether-java             	       2        0        0        0        2
39557 libedataserverui-3.0-1             	       2        0        0        0        2
39558 libedf1                            	       2        0        0        0        2
39559 libefisec1t64                      	       2        0        0        0        2
39560 libegl-nvidia-tesla-450-0          	       2        0        0        0        2
39561 libegl1-glvnd-nvidia               	       2        0        0        0        2
39562 libegl1-nvidia-legacy-390xx        	       2        0        0        0        2
39563 libeigen2-dev                      	       2        0        2        0        0
39564 libell-dev                         	       2        1        1        0        0
39565 libelocation1                      	       2        0        0        0        2
39566 libemail-received-perl             	       2        0        2        0        0
39567 libemail-stuffer-perl              	       2        0        2        0        0
39568 libemf2svg1                        	       2        0        0        0        2
39569 libemos-dev                        	       2        0        2        0        0
39570 libemos0d                          	       2        0        0        0        2
39571 libenv-path-perl                   	       2        0        2        0        0
39572 libepub-dev                        	       2        0        2        0        0
39573 libepubgen-0.0-0                   	       2        0        0        0        2
39574 libescapevelocity-java             	       2        0        0        0        2
39575 libesedb-utils                     	       2        0        2        0        0
39576 libesmtp-dev                       	       2        0        2        0        0
39577 libetonyek-dev                     	       2        0        2        0        0
39578 libetpan-doc                       	       2        0        2        0        0
39579 libeuclid-java                     	       2        0        0        0        2
39580 libeudev1-dbgsym                   	       2        0        2        0        0
39581 libeval-context-perl               	       2        0        2        0        0
39582 libevemu1                          	       2        0        0        0        2
39583 libevent-core-1.4-2                	       2        0        0        0        2
39584 libevent-extra-1.4-2               	       2        0        0        0        2
39585 libeventviews4                     	       2        0        0        0        2
39586 libevt-utils                       	       2        0        2        0        0
39587 libevtx-utils                      	       2        0        2        0        0
39588 libewf1                            	       2        0        0        0        2
39589 libex-monkeypatched-perl           	       2        0        2        0        0
39590 libexactimage-perl                 	       2        0        0        0        2
39591 libexcalibur-logger-java           	       2        0        0        0        2
39592 libexosip2-7                       	       2        0        2        0        0
39593 libexpect-simple-perl              	       2        0        2        0        0
39594 libexporter-easy-perl              	       2        0        2        0        0
39595 libexporter-tidy-perl              	       2        0        2        0        0
39596 libextlib-ruby1.9.1                	       2        0        0        0        2
39597 libfasttext0                       	       2        0        0        0        2
39598 libfaudio-dev                      	       2        0        2        0        0
39599 libfcitx5config-dev                	       2        0        1        1        0
39600 libfcitx5core-dev                  	       2        0        1        1        0
39601 libfcitx5utils-dev                 	       2        0        1        1        0
39602 libfcml0                           	       2        0        0        0        2
39603 libffado-dev                       	       2        0        2        0        0
39604 libffcall-dev                      	       2        0        2        0        0
39605 libffi-platypus-perl               	       2        0        2        0        0
39606 libffmpeg-ocaml                    	       2        0        2        0        0
39607 libfido2-doc                       	       2        0        0        0        2
39608 libfile-bom-perl                   	       2        0        2        0        0
39609 libfile-changenotify-perl          	       2        1        1        0        0
39610 libfile-flat-perl                  	       2        0        2        0        0
39611 libfile-inplace-perl               	       2        0        2        0        0
39612 libfile-map-perl                   	       2        0        0        0        2
39613 libfile-path-expand-perl           	       2        0        2        0        0
39614 libfile-pid-perl                   	       2        0        2        0        0
39615 libfile-rsync-perl                 	       2        0        2        0        0
39616 libfile-searchpath-perl            	       2        0        2        0        0
39617 libfile-sharedir-install-perl      	       2        0        2        0        0
39618 libfile-xdg-perl                   	       2        0        2        0        0
39619 libfilesys-diskspace-perl          	       2        0        2        0        0
39620 libfilezilla22                     	       2        0        0        0        2
39621 libfilezilla24                     	       2        0        0        0        2
39622 libfilter-signatures-perl          	       2        0        2        0        0
39623 libfinance-yahooquote-perl         	       2        0        2        0        0
39624 libfits-java                       	       2        0        0        0        2
39625 libfko3                            	       2        0        0        0        2
39626 libflac-doc                        	       2        0        0        0        2
39627 libflac-ocaml-dev                  	       2        0        2        0        0
39628 libflake-dev                       	       2        0        2        0        0
39629 libflam3-0                         	       2        0        0        0        2
39630 libflash-swfplayer                 	       2        0        2        0        0
39631 libflash0c2                        	       2        0        0        0        2
39632 libflashrom-dev                    	       2        0        2        0        0
39633 libflexmock-ruby1.9.1              	       2        0        0        0        2
39634 libflint19                         	       2        0        0        0        2
39635 libflowcanvas5v5                   	       2        0        0        0        2
39636 libfltk1.1-dev                     	       2        0        2        0        0
39637 libfm-doc                          	       2        0        0        0        2
39638 libfm-gtk3-4                       	       2        0        0        0        2
39639 libfm-qt3                          	       2        0        0        0        2
39640 libfolks-telepathy26               	       2        0        0        0        2
39641 libfollowupreminder4               	       2        1        0        0        1
39642 libfontconfig-doc                  	       2        0        0        0        2
39643 libfosfat0                         	       2        0        0        0        2
39644 libfosgra0                         	       2        0        0        0        2
39645 libfox-1.6-doc                     	       2        0        0        0        2
39646 libfpx1                            	       2        0        0        0        2
39647 libfreecad-python2-0.18            	       2        0        2        0        0
39648 libfreefem-dev                     	       2        0        0        0        2
39649 libfreerdp-dev                     	       2        0        2        0        0
39650 libfsapfs-utils                    	       2        0        2        0        0
39651 libfshfs-utils                     	       2        0        2        0        0
39652 libfsntfs-dev                      	       2        0        2        0        0
39653 libfso-glib2                       	       2        1        0        0        1
39654 libfsobasics3                      	       2        1        0        0        1
39655 libfsoframework3                   	       2        1        0        0        1
39656 libfsoresource3                    	       2        0        0        0        2
39657 libfsxfs-utils                     	       2        0        2        0        0
39658 libfunction-parameters-perl        	       2        0        0        0        2
39659 libfuntools1t64                    	       2        0        0        0        2
39660 libfwup1                           	       2        0        0        0        2
39661 libg15-dev                         	       2        0        2        0        0
39662 libg15daemon-client-dev            	       2        0        2        0        0
39663 libg15render-dev                   	       2        0        2        0        0
39664 libg20                             	       2        0        0        0        2
39665 libg2c-dev                         	       2        0        2        0        0
39666 libga-dev                          	       2        0        2        0        0
39667 libga2                             	       2        0        0        0        2
39668 libgail-3-dev                      	       2        0        2        0        0
39669 libgalago3                         	       2        0        0        0        2
39670 libgambit4                         	       2        0        0        0        2
39671 libgambit4t64                      	       2        0        0        0        2
39672 libgammu8t64                       	       2        0        0        0        2
39673 libgap9                            	       2        0        0        0        2
39674 libgatbcore3                       	       2        0        0        0        2
39675 libgav1-bin                        	       2        0        2        0        0
39676 libgbtools0                        	       2        0        0        0        2
39677 libgcc-10-dev-ppc64-cross          	       2        0        0        0        2
39678 libgcc-12-dev-powerpc-cross        	       2        0        0        0        2
39679 libgcc-12-dev-s390x-cross          	       2        0        0        0        2
39680 libgcc-14-dev-armel-cross          	       2        0        0        0        2
39681 libgcc-14-dev-i386-cross           	       2        0        0        0        2
39682 libgcc-14-dev-powerpc-cross        	       2        0        0        0        2
39683 libgcc-14-dev-riscv64-cross        	       2        0        0        0        2
39684 libgcc-15-dev                      	       2        0        0        0        2
39685 libgcc-6-dev-arm64-cross           	       2        0        0        0        2
39686 libgcc-8-dev-x32-cross             	       2        0        0        0        2
39687 libgcc-9-dev-armhf-cross           	       2        0        0        0        2
39688 libgcc1-i386-cross                 	       2        0        0        0        2
39689 libgccjit-10-dev                   	       2        0        0        0        2
39690 libgcj13-awt                       	       2        0        0        0        2
39691 libgcj17-dev                       	       2        0        2        0        0
39692 libgclib3                          	       2        0        0        0        2
39693 libgcrypt-mingw-w64-dev            	       2        0        2        0        0
39694 libgctp-dev                        	       2        0        2        0        0
39695 libgd2-noxpm                       	       2        0        0        0        2
39696 libgda-5.0-mysql                   	       2        0        0        0        2
39697 libgda2-3                          	       2        0        2        0        0
39698 libgda2-common                     	       2        0        0        0        2
39699 libgda3-3                          	       2        0        0        0        2
39700 libgda3-bin                        	       2        0        2        0        0
39701 libgda3-common                     	       2        0        0        0        2
39702 libgdal34t64                       	       2        0        0        0        2
39703 libgdbussyncevo0                   	       2        0        0        0        2
39704 libgdchart-gd2-noxpm               	       2        0        0        0        2
39705 libgdcm2.6                         	       2        0        0        0        2
39706 libgdf0                            	       2        0        0        0        2
39707 libgdk-pixbuf2-ruby1.8             	       2        0        1        0        1
39708 libgeo-coder-osm-perl              	       2        0        2        0        0
39709 libgeo-osm-tiles-perl              	       2        0        2        0        0
39710 libgeoclue-2-dev                   	       2        0        2        0        0
39711 libgeocode-glib-dev                	       2        0        2        0        0
39712 libgeocoding8                      	       2        0        0        0        2
39713 libgeographic-dev                  	       2        0        2        0        0
39714 libgeographic19                    	       2        0        0        0        2
39715 libgeometry-msgs-dev               	       2        0        2        0        0
39716 libgeos-3.3.3                      	       2        0        0        0        2
39717 libgeos-doc                        	       2        0        0        0        2
39718 libgeos3.10.1                      	       2        0        0        0        2
39719 libgeos3.11.0                      	       2        0        0        0        2
39720 libgeotiff-epsg                    	       2        0        0        0        2
39721 libgettext-ocaml                   	       2        0        2        0        0
39722 libgfs-1.3-2                       	       2        0        0        0        2
39723 libggz2                            	       2        1        0        0        1
39724 libggzcore9                        	       2        0        0        0        2
39725 libggzmod4                         	       2        0        0        0        2
39726 libghc-adjunctions-doc             	       2        0        2        0        0
39727 libghc-aeson-pretty-dev            	       2        0        2        0        0
39728 libghc-aeson-prof                  	       2        0        0        0        2
39729 libghc-attoparsec-doc              	       2        0        2        0        0
39730 libghc-bifunctors-doc              	       2        0        2        0        0
39731 libghc-binary-orphans-dev          	       2        0        2        0        0
39732 libghc-bsb-http-chunked-dev        	       2        0        2        0        0
39733 libghc-bytes-dev                   	       2        0        2        0        0
39734 libghc-bzlib-dev                   	       2        0        2        0        0
39735 libghc-cairo-doc                   	       2        0        2        0        0
39736 libghc-case-insensitive-prof       	       2        0        0        0        2
39737 libghc-citeproc-dev                	       2        0        2        0        0
39738 libghc-commonmark-dev              	       2        0        2        0        0
39739 libghc-commonmark-extensions-dev   	       2        0        2        0        0
39740 libghc-commonmark-pandoc-dev       	       2        0        2        0        0
39741 libghc-comonad-doc                 	       2        0        2        0        0
39742 libghc-constraints-dev             	       2        0        2        0        0
39743 libghc-contravariant-doc           	       2        0        2        0        0
39744 libghc-convertible-dev             	       2        0        2        0        0
39745 libghc-css-text-dev                	       2        0        2        0        0
39746 libghc-css-text-prof               	       2        0        0        0        2
39747 libghc-csv-dev                     	       2        0        2        0        0
39748 libghc-csv-prof                    	       2        0        0        0        2
39749 libghc-data-default-prof           	       2        0        0        0        2
39750 libghc-distributive-doc            	       2        0        2        0        0
39751 libghc-dlist-doc                   	       2        0        2        0        0
39752 libghc-doclayout-dev               	       2        0        2        0        0
39753 libghc-doctemplates-dev            	       2        0        2        0        0
39754 libghc-double-conversion-dev       	       2        0        2        0        0
39755 libghc-dynamic-state-dev           	       2        0        2        0        0
39756 libghc-emojis-prof                 	       2        0        0        0        2
39757 libghc-extensible-exceptions-doc   	       2        0        2        0        0
39758 libghc-extensible-exceptions-prof  	       2        0        0        0        2
39759 libghc-fingertree-dev              	       2        0        2        0        0
39760 libghc-fmlist-dev                  	       2        0        2        0        0
39761 libghc-free-doc                    	       2        0        2        0        0
39762 libghc-generic-deriving-dev        	       2        0        2        0        0
39763 libghc-gi-atk-dev                  	       2        0        2        0        0
39764 libghc-gi-atk-doc                  	       2        0        2        0        0
39765 libghc-gi-atk-prof                 	       2        0        0        0        2
39766 libghc-gi-cairo-doc                	       2        0        2        0        0
39767 libghc-gi-cairo-prof               	       2        0        0        0        2
39768 libghc-gi-dbusmenu-dev             	       2        0        2        0        0
39769 libghc-gi-dbusmenu-doc             	       2        0        2        0        0
39770 libghc-gi-dbusmenu-prof            	       2        0        0        0        2
39771 libghc-gi-dbusmenugtk3-dev         	       2        0        2        0        0
39772 libghc-gi-dbusmenugtk3-doc         	       2        0        2        0        0
39773 libghc-gi-dbusmenugtk3-prof        	       2        0        0        0        2
39774 libghc-gi-gdk-doc                  	       2        0        2        0        0
39775 libghc-gi-gdk-prof                 	       2        0        0        0        2
39776 libghc-gi-gtk-dev                  	       2        0        2        0        0
39777 libghc-gi-gtk-doc                  	       2        0        2        0        0
39778 libghc-gi-gtk-prof                 	       2        0        0        0        2
39779 libghc-gi-harfbuzz-doc             	       2        0        2        0        0
39780 libghc-gi-harfbuzz-prof            	       2        0        0        0        2
39781 libghc-gi-pango-doc                	       2        0        2        0        0
39782 libghc-gi-pango-prof               	       2        0        0        0        2
39783 libghc-gi-xlib-dev                 	       2        0        2        0        0
39784 libghc-gio-doc                     	       2        0        2        0        0
39785 libghc-gitit-data                  	       2        0        0        0        2
39786 libghc-glib-doc                    	       2        0        2        0        0
39787 libghc-glob-dev                    	       2        0        2        0        0
39788 libghc-gtk3-doc                    	       2        0        2        0        0
39789 libghc-gtk3-prof                   	       2        0        0        0        2
39790 libghc-haddock-library-dev         	       2        0        2        0        0
39791 libghc-hakyll-dev                  	       2        0        2        0        0
39792 libghc-haskell-gi-doc              	       2        0        2        0        0
39793 libghc-hclip-dev                   	       2        0        2        0        0
39794 libghc-hdbc-dev                    	       2        0        2        0        0
39795 libghc-hslua-aeson-dev             	       2        0        2        0        0
39796 libghc-hslua-classes-dev           	       2        0        2        0        0
39797 libghc-hslua-core-dev              	       2        0        2        0        0
39798 libghc-hslua-dev                   	       2        0        2        0        0
39799 libghc-hslua-marshalling-dev       	       2        0        2        0        0
39800 libghc-hslua-module-path-dev       	       2        0        2        0        0
39801 libghc-hslua-module-system-dev     	       2        0        2        0        0
39802 libghc-hslua-module-text-dev       	       2        0        2        0        0
39803 libghc-hslua-module-version-dev    	       2        0        2        0        0
39804 libghc-hslua-objectorientation-dev 	       2        0        2        0        0
39805 libghc-hslua-packaging-dev         	       2        0        2        0        0
39806 libghc-hsyaml-dev                  	       2        0        2        0        0
39807 libghc-html-dev                    	       2        0        2        0        0
39808 libghc-http-conduit-dev            	       2        0        2        0        0
39809 libghc-http-date-dev               	       2        0        2        0        0
39810 libghc-http2-dev                   	       2        0        2        0        0
39811 libghc-invariant-prof              	       2        0        0        0        2
39812 libghc-ipynb-dev                   	       2        0        2        0        0
39813 libghc-iwlib-dev                   	       2        0        2        0        0
39814 libghc-jira-wiki-markup-dev        	       2        0        2        0        0
39815 libghc-lifted-async-dev            	       2        0        2        0        0
39816 libghc-linear-dev                  	       2        0        2        0        0
39817 libghc-listlike-dev                	       2        0        2        0        0
39818 libghc-lpeg-dev                    	       2        0        2        0        0
39819 libghc-lrucache-dev                	       2        0        2        0        0
39820 libghc-lua-dev                     	       2        0        2        0        0
39821 libghc-microlens-ghc-dev           	       2        0        2        0        0
39822 libghc-microlens-mtl-dev           	       2        0        2        0        0
39823 libghc-microlens-platform-dev      	       2        0        2        0        0
39824 libghc-microlens-th-dev            	       2        0        2        0        0
39825 libghc-mtl-dev                     	       2        0        2        0        0
39826 libghc-network-byte-order-dev      	       2        0        2        0        0
39827 libghc-network-doc                 	       2        0        2        0        0
39828 libghc-network-uri-prof            	       2        0        0        0        2
39829 libghc-objectname-dev              	       2        0        2        0        0
39830 libghc-objectname-doc              	       2        0        0        0        2
39831 libghc-old-time-doc                	       2        0        2        0        0
39832 libghc-only-dev                    	       2        0        2        0        0
39833 libghc-oo-prototypes-dev           	       2        0        2        0        0
39834 libghc-optparse-applicative-dev    	       2        0        2        0        0
39835 libghc-pandoc-dev                  	       2        0        2        0        0
39836 libghc-pandoc-lua-marshal-dev      	       2        0        2        0        0
39837 libghc-pango-doc                   	       2        0        2        0        0
39838 libghc-parallel-doc                	       2        0        2        0        0
39839 libghc-peano-dev                   	       2        1        1        0        0
39840 libghc-pointedlist-dev             	       2        0        2        0        0
39841 libghc-postgresql-libpq-dev        	       2        0        2        0        0
39842 libghc-postgresql-simple-dev       	       2        0        2        0        0
39843 libghc-process-extras-dev          	       2        0        2        0        0
39844 libghc-profunctors-doc             	       2        0        2        0        0
39845 libghc-psqueues-dev                	       2        0        2        0        0
39846 libghc-quickcheck2-prof            	       2        0        0        0        2
39847 libghc-regex-base-doc              	       2        0        2        0        0
39848 libghc-regex-compat-dev            	       2        0        2        0        0
39849 libghc-safe-exceptions-dev         	       2        0        2        0        0
39850 libghc-sandi-dev                   	       2        0        2        0        0
39851 libghc-scientific-doc              	       2        0        2        0        0
39852 libghc-sdl-dev                     	       2        0        2        0        0
39853 libghc-sdl-image-dev               	       2        0        2        0        0
39854 libghc-sdl-mixer-dev               	       2        0        2        0        0
39855 libghc-sdl2-dev                    	       2        0        2        0        0
39856 libghc-sdl2-ttf-dev                	       2        0        2        0        0
39857 libghc-setlocale-doc               	       2        0        2        0        0
39858 libghc-setlocale-prof              	       2        0        0        0        2
39859 libghc-shelly-dev                  	       2        0        2        0        0
39860 libghc-simple-sendfile-dev         	       2        0        2        0        0
39861 libghc-skylighting-core-dev        	       2        0        2        0        0
39862 libghc-skylighting-dev             	       2        0        2        0        0
39863 libghc-strict-prof                 	       2        0        0        0        2
39864 libghc-syb-prof                    	       2        0        0        0        2
39865 libghc-system-filepath-dev         	       2        0        2        0        0
39866 libghc-tagged-doc                  	       2        0        2        0        0
39867 libghc-texmath-dev                 	       2        0        2        0        0
39868 libghc-text-conversions-dev        	       2        0        2        0        0
39869 libghc-text-icu-dev                	       2        0        2        0        0
39870 libghc-th-compat-prof              	       2        0        0        0        2
39871 libghc-time-manager-dev            	       2        0        2        0        0
39872 libghc-transformers-base-doc       	       2        0        2        0        0
39873 libghc-type-equality-prof          	       2        0        0        0        2
39874 libghc-unicode-collation-dev       	       2        0        2        0        0
39875 libghc-unicode-data-dev            	       2        0        2        0        0
39876 libghc-unicode-transforms-dev      	       2        0        2        0        0
39877 libghc-uniplate-dev                	       2        0        2        0        0
39878 libghc-unliftio-dev                	       2        0        2        0        0
39879 libghc-uuid-types-prof             	       2        0        0        0        2
39880 libghc-vault-dev                   	       2        0        2        0        0
39881 libghc-vector-hashtables-dev       	       2        1        1        0        0
39882 libghc-vty-dev                     	       2        0        2        0        0
39883 libghc-wai-app-static-dev          	       2        0        2        0        0
39884 libghc-wai-dev                     	       2        0        2        0        0
39885 libghc-wai-extra-dev               	       2        0        2        0        0
39886 libghc-wai-logger-dev              	       2        0        2        0        0
39887 libghc-warp-dev                    	       2        0        2        0        0
39888 libghc-word8-dev                   	       2        0        2        0        0
39889 libghc-x11-prof                    	       2        0        0        0        2
39890 libghc-xmonad-extras-doc           	       2        0        2        0        0
39891 libghc-xmonad-prof                 	       2        0        0        0        2
39892 libghc-xmonad-wallpaper-doc        	       2        0        2        0        0
39893 libghc-yi-language-dev             	       2        0        2        0        0
39894 libghc-yi-rope-dev                 	       2        0        2        0        0
39895 libginac-dev                       	       2        2        0        0        0
39896 libginac11                         	       2        0        0        0        2
39897 libginac13                         	       2        0        0        0        2
39898 libgirepository1.0-0               	       2        0        0        0        2
39899 libgit2-1.3                        	       2        0        0        0        2
39900 libgit2-21                         	       2        0        0        0        2
39901 libgit2-glib-1.0-doc               	       2        0        0        0        2
39902 libgl-gst                          	       2        0        2        0        0
39903 libgl1-glvnd-nvidia-glx            	       2        0        0        0        2
39904 libgl1-nvidia-legacy-390xx-glx     	       2        0        0        0        2
39905 libgl1-nvidia-tesla-450-glvnd-glx  	       2        0        0        0        2
39906 libgl4es0                          	       2        0        0        0        2
39907 libglademm-2.4-dev                 	       2        0        2        0        0
39908 libglademm-2.4-doc                 	       2        0        2        0        0
39909 libglbinding-dev                   	       2        0        2        0        0
39910 libglbinding2                      	       2        0        0        0        2
39911 libglbsp-dev                       	       2        0        2        0        0
39912 libgles-nvidia-tesla-450-1         	       2        0        0        0        2
39913 libgles-nvidia-tesla-450-2         	       2        0        0        0        2
39914 libgles1-glvnd-nvidia              	       2        0        0        0        2
39915 libgles1-nvidia                    	       2        0        0        0        2
39916 libgles2-nvidia                    	       2        0        0        0        2
39917 libglew1.13                        	       2        0        0        0        2
39918 libglew1.5                         	       2        0        0        0        2
39919 libglew1.6                         	       2        0        0        0        2
39920 libglewmx-dev                      	       2        0        2        0        0
39921 libglewmx1.5                       	       2        0        0        0        2
39922 libglewmx1.7                       	       2        0        0        0        2
39923 libgli-dev                         	       2        0        2        0        0
39924 libglib1.2                         	       2        0        0        0        2
39925 libglib2-ruby1.8                   	       2        0        1        0        1
39926 libglibmm-2.68-doc                 	       2        0        2        0        0
39927 libglide3-dev                      	       2        0        2        0        0
39928 libglm-doc                         	       2        0        0        0        2
39929 libglobus-authz-callout-error0     	       2        0        0        0        2
39930 libglobus-authz0                   	       2        0        0        0        2
39931 libglobus-gass-copy2               	       2        0        0        0        2
39932 libglobus-gfork0                   	       2        0        0        0        2
39933 libglobus-usage0                   	       2        0        0        0        2
39934 libglobus-xio-gsi-driver0          	       2        0        0        0        2
39935 libglobus-xio-pipe-driver          	       2        0        0        0        2
39936 libglobus-xio-pipe-driver0         	       2        0        0        0        2
39937 libglobus-xio-popen-driver0        	       2        0        0        0        2
39938 libgltf-0.1-1                      	       2        0        0        0        2
39939 libglvnd0-nvidia                   	       2        0        0        0        2
39940 libglx-nvidia-tesla-450-0          	       2        0        1        0        1
39941 libglx0-glvnd-nvidia               	       2        0        0        0        2
39942 libgm2-13-dev                      	       2        0        0        0        2
39943 libgm2-18                          	       2        0        0        0        2
39944 libgnat-11                         	       2        0        0        0        2
39945 libgnat-14                         	       2        0        0        0        2
39946 libgnatcoll-sqlite-bin             	       2        0        2        0        0
39947 libgnatprj6                        	       2        0        0        0        2
39948 libgnatvsn6                        	       2        0        0        0        2
39949 libgnome-bluetooth-dev             	       2        0        2        0        0
39950 libgnome-desktop-3-7               	       2        0        2        0        0
39951 libgnome-keyring1.0-cil            	       2        0        2        0        0
39952 libgnome-mag2                      	       2        0        2        0        0
39953 libgnome2-gconf-perl               	       2        0        0        0        2
39954 libgnomecanvas2-doc                	       2        0        0        0        2
39955 libgnomecanvasmm-2.6-doc           	       2        0        0        0        2
39956 libgnomekbd-dev                    	       2        0        2        0        0
39957 libgnuastro-dev                    	       2        0        2        0        0
39958 libgnuastro17                      	       2        0        0        0        2
39959 libgnudatalanguage0                	       2        0        2        0        0
39960 libgnuradio-air-modes1t64          	       2        0        0        0        2
39961 libgnuradio-analog3.7.13           	       2        0        0        0        2
39962 libgnuradio-atsc3.7.13             	       2        0        0        0        2
39963 libgnuradio-audio3.7.13            	       2        0        0        0        2
39964 libgnuradio-channels3.7.13         	       2        0        0        0        2
39965 libgnuradio-digital3.7.13          	       2        0        0        0        2
39966 libgnuradio-dtv3.7.13              	       2        0        0        0        2
39967 libgnuradio-fcd3.7.13              	       2        0        2        0        0
39968 libgnuradio-fec3.7.13              	       2        0        0        0        2
39969 libgnuradio-noaa3.7.13             	       2        0        0        0        2
39970 libgnuradio-pager3.7.13            	       2        0        0        0        2
39971 libgnuradio-pmt3.7.10              	       2        0        0        0        2
39972 libgnuradio-rds1                   	       2        0        0        0        2
39973 libgnuradio-runtime3.7.10          	       2        0        0        0        2
39974 libgnuradio-satellites4.4.0        	       2        0        0        0        2
39975 libgnuradio-satellites5.5.0        	       2        0        0        0        2
39976 libgnuradio-trellis3.7.13          	       2        0        0        0        2
39977 libgnuradio-uhd3.7.13              	       2        0        0        0        2
39978 libgnuradio-video-sdl3.7.13        	       2        0        0        0        2
39979 libgnuradio-vocoder3.7.13          	       2        0        0        0        2
39980 libgnuradio-wavelet3.7.13          	       2        0        0        0        2
39981 libgnuradio-wxgui3.7.13            	       2        0        0        0        2
39982 libgnuradio-zeromq3.7.13           	       2        0        0        0        2
39983 libgnustep-gui-dev                 	       2        0        2        0        0
39984 libgo-11-dev                       	       2        0        0        0        2
39985 libgo19                            	       2        0        0        0        2
39986 libgo22                            	       2        0        0        0        2
39987 libgoffice-0-6-common              	       2        0        0        0        2
39988 libgoffice-0-common                	       2        0        0        0        2
39989 libgoffice-0.10-dev                	       2        1        1        0        0
39990 libgoffice-1-common                	       2        0        0        0        2
39991 libgoocanvas-2.0-dev               	       2        0        2        0        0
39992 libgoogle-api-services-drive-java  	       2        0        0        0        2
39993 libgoogle-api-services-sheets-java 	       2        0        0        0        2
39994 libgoogle-auth-java                	       2        0        0        0        2
39995 libgoogle-auto-common-java         	       2        0        0        0        2
39996 libgoogle-auto-service-java        	       2        0        0        0        2
39997 libgoogle-auto-value-java          	       2        0        0        0        2
39998 libgoogle-flogger-java             	       2        0        0        0        2
39999 libgpds0                           	       2        0        0        0        2
40000 libgpgmepp-doc                     	       2        0        0        0        2
40001 libgphobos-13-dev                  	       2        0        0        0        2
40002 libgphoto2-dev-doc                 	       2        0        2        0        0
40003 libgpiod3                          	       2        0        0        0        2
40004 libgpod-doc                        	       2        0        0        0        2
40005 libgradle-android-plugin-java      	       2        0        0        0        2
40006 libgraph-easy-as-svg-perl          	       2        0        2        0        0
40007 libgraph-easy-perl                 	       2        0        2        0        0
40008 libgraph-readwrite-perl            	       2        0        2        0        0
40009 libgraphblas2                      	       2        0        0        0        2
40010 libgraphics-colorutils-perl        	       2        0        2        0        0
40011 libgraphicsmagick1                 	       2        0        2        0        0
40012 libgraphite2-2.0.0                 	       2        0        0        0        2
40013 libgrits5                          	       2        0        0        0        2
40014 libgrokj2k1                        	       2        0        0        0        2
40015 libgroove4                         	       2        0        0        0        2
40016 libgroovefingerprinter4            	       2        0        0        0        2
40017 libgrooveloudness4                 	       2        0        0        0        2
40018 libgrooveplayer4                   	       2        0        0        0        2
40019 libgsf-gnome-1-114                 	       2        0        0        0        2
40020 libgsmme1v5                        	       2        0        0        0        2
40021 libgsoap-2.8.117                   	       2        0        0        0        2
40022 libgsoap-dev                       	       2        0        2        0        0
40023 libgsound-dev                      	       2        0        2        0        0
40024 libgssapi3t64-heimdal              	       2        0        0        0        2
40025 libgstreamer-ocaml                 	       2        0        2        0        0
40026 libgstreamermm-1.0-dev             	       2        0        2        0        0
40027 libgstrtspserver-1.0-dev           	       2        0        2        0        0
40028 libgtk-vnc-1.0-0                   	       2        0        0        0        2
40029 libgtk2-ex-podviewer-perl          	       2        0        2        0        0
40030 libgtk2-ex-simple-list-perl        	       2        0        2        0        0
40031 libgtk2-gladexml-perl              	       2        0        0        0        2
40032 libgtk2-perl-doc                   	       2        0        0        0        2
40033 libgtk2-ruby1.8                    	       2        0        1        0        1
40034 libgtkhtml-editor-common           	       2        0        0        0        2
40035 libgtkmm-4.0-doc                   	       2        0        2        0        0
40036 libgtksourceview-3.0-doc           	       2        0        0        0        2
40037 libgtksourceview-4-doc             	       2        0        0        0        2
40038 libgtksourceview-common            	       2        0        0        0        2
40039 libgtksourceview2.0-dev            	       2        0        2        0        0
40040 libgtkspellmm-3.0-dev              	       2        0        2        0        0
40041 libgucharmap-2-90-dev              	       2        0        2        0        0
40042 libgucharmap7                      	       2        0        0        0        2
40043 libguestfs-rescue                  	       2        0        0        0        2
40044 libgulkan-0.15-0t64                	       2        0        0        0        2
40045 libgulkan-dev                      	       2        0        1        1        0
40046 libgupnp-igd-1.0-dev               	       2        0        2        0        0
40047 libgupnp-igd-1.6-dev               	       2        0        2        0        0
40048 libgusb-dev                        	       2        0        2        0        0
40049 libgvc5                            	       2        0        2        0        0
40050 libgwengui-qt4-0                   	       2        0        0        0        2
40051 libgxps-doc                        	       2        0        0        0        2
40052 libgyoto8                          	       2        0        0        0        2
40053 libhamlib-doc                      	       2        0        0        0        2
40054 libhash-diff-perl                  	       2        0        2        0        0
40055 libhcrypto5t64-heimdal             	       2        0        0        0        2
40056 libhdf4-dev                        	       2        0        2        0        0
40057 libhdf5-cpp-100                    	       2        0        0        0        2
40058 libhdf5-cpp-103-1t64               	       2        0        0        0        2
40059 libhdf5-fortran-102t64             	       2        0        0        0        2
40060 libhdf5-hl-cpp-100t64              	       2        0        0        0        2
40061 libhdf5-hl-fortran-100t64          	       2        0        0        0        2
40062 libhdfeos5-ruby1.9.1               	       2        0        0        0        2
40063 libhe5-hdfeos-dev                  	       2        0        2        0        0
40064 libhealpix-cxx-dev                 	       2        0        2        0        0
40065 libhealpix-cxx3                    	       2        0        0        0        2
40066 libhealpix-dev                     	       2        0        0        0        2
40067 libhealpix0                        	       2        0        0        0        2
40068 libheimbase1t64-heimdal            	       2        0        0        0        2
40069 libheimntlm0t64-heimdal            	       2        0        0        0        2
40070 libhime                            	       2        0        0        0        2
40071 libhivex-bin                       	       2        0        2        0        0
40072 libhocr-dev                        	       2        0        2        0        0
40073 libhocr0                           	       2        0        2        0        0
40074 libhook-lexwrap-perl               	       2        0        2        0        0
40075 libhowardhinnant-date-dev          	       2        0        2        0        0
40076 libhsqldb-java-gcj                 	       2        0        2        0        0
40077 libhtml-mason-perl                 	       2        0        2        0        0
40078 libhtml-restrict-perl              	       2        0        2        0        0
40079 libhtml-strip-perl                 	       2        0        0        0        2
40080 libhtml-stripscripts-parser-perl   	       2        0        2        0        0
40081 libhtml-stripscripts-perl          	       2        0        2        0        0
40082 libhtml-wikiconverter-markdown-perl	       2        0        2        0        0
40083 libhtml-wikiconverter-wikkawiki-perl	       2        0        2        0        0
40084 libhtree-ruby1.9.1                 	       2        0        0        0        2
40085 libhttp-daemon-ssl-perl            	       2        0        2        0        0
40086 libhttp-exception-perl             	       2        0        2        0        0
40087 libhttp-oai-3.27-perl              	       2        0        2        0        0
40088 libhttp-response-encoding-perl     	       2        0        2        0        0
40089 libhttpclient5-java                	       2        0        0        0        2
40090 libhttpcore5-java                  	       2        0        0        0        2
40091 libhugetlbfs0                      	       2        0        0        0        2
40092 libhunspell-private-dev            	       2        0        0        0        2
40093 libhx509-5t64-heimdal              	       2        0        0        0        2
40094 libhypre                           	       2        0        0        0        2
40095 libhypre-2.23.0                    	       2        0        0        0        2
40096 libhypre-2.28.0                    	       2        0        0        0        2
40097 libibtk0                           	       2        0        0        0        2
40098 libical-parser-perl                	       2        0        2        0        0
40099 libiconv-hook-dev                  	       2        0        2        0        0
40100 libicsharpcode-nrefactory-cecil5.0-cil	       2        0        2        0        0
40101 libicsharpcode-nrefactory-csharp5.0-cil	       2        0        2        0        0
40102 libicsharpcode-nrefactory5.0-cil   	       2        0        2        0        0
40103 libicu38                           	       2        0        0        0        2
40104 libicu55                           	       2        0        0        0        2
40105 libidl0                            	       2        0        0        0        2
40106 libidn2-doc                        	       2        0        0        0        2
40107 libidzebra-2.0-0                   	       2        0        2        0        0
40108 libidzebra-2.0-dev                 	       2        0        2        0        0
40109 libidzebra-2.0-mod-alvis           	       2        0        2        0        0
40110 libidzebra-2.0-mod-dom             	       2        0        2        0        0
40111 libidzebra-2.0-mod-grs-marc        	       2        0        2        0        0
40112 libidzebra-2.0-mod-grs-regx        	       2        0        2        0        0
40113 libidzebra-2.0-mod-grs-xml         	       2        0        2        0        0
40114 libidzebra-2.0-mod-safari          	       2        0        2        0        0
40115 libidzebra-2.0-mod-text            	       2        0        2        0        0
40116 libidzebra-2.0-modules             	       2        0        0        0        2
40117 libiec16022-dev                    	       2        0        2        0        0
40118 libifcplusplus                     	       2        0        2        0        0
40119 libilmbase24                       	       2        0        0        0        2
40120 libimage-transport0d               	       2        0        0        0        2
40121 libimager-qrcode-perl              	       2        0        0        0        2
40122 libimglib2-java                    	       2        0        0        0        2
40123 libindi-dev                        	       2        0        2        0        0
40124 libindi0b                          	       2        0        0        0        2
40125 libindicator3-dev                  	       2        0        2        0        0
40126 libindilx200-1                     	       2        0        0        0        2
40127 libinput-pad-1.0-1                 	       2        0        0        0        2
40128 libinput0                          	       2        0        0        0        2
40129 libinputsynth-0.15-0               	       2        0        0        0        2
40130 libinsane-dev                      	       2        0        2        0        0
40131 libinsane-doc                      	       2        0        0        0        2
40132 libinsighttoolkit4-dev             	       2        0        2        0        0
40133 libinsighttoolkit5.2               	       2        0        2        0        0
40134 libinstaparse-clojure              	       2        0        0        0        2
40135 libintegers-ocaml                  	       2        0        1        0        1
40136 libintegers-ocaml-dev              	       2        0        2        0        0
40137 libio-async-loop-epoll-perl        	       2        0        2        0        0
40138 libio-lockedfile-perl              	       2        0        2        0        0
40139 libio-pager-perl                   	       2        0        2        0        0
40140 libiodbc2-dev                      	       2        0        2        0        0
40141 libip-country-perl                 	       2        0        2        0        0
40142 libipe-dev                         	       2        0        2        0        0
40143 libipe7.2.30                       	       2        0        0        0        2
40144 libipe7.2.7                        	       2        0        0        0        2
40145 libipsec-mb-dev                    	       2        0        2        0        0
40146 libipsec-mb2                       	       2        0        0        0        2
40147 libisc83                           	       2        0        0        0        2
40148 libismrmrd1.8                      	       2        0        0        0        2
40149 libiso9660-5                       	       2        0        0        0        2
40150 libisoburn-doc                     	       2        0        0        0        2
40151 libisofs-doc                       	       2        0        0        0        2
40152 libite5                            	       2        0        0        0        2
40153 libitext-java-gcj                  	       2        0        2        0        0
40154 libitm1-riscv64-cross              	       2        0        0        0        2
40155 libiv-unidraw2                     	       2        0        0        0        2
40156 libiv2                             	       2        0        0        0        2
40157 libivykis0t64                      	       2        1        1        0        0
40158 libiw29                            	       2        0        0        0        2
40159 libjaba-client-java                	       2        0        0        0        2
40160 libjackson2-core-java-doc          	       2        0        0        0        2
40161 libjackson2-databind-java-doc      	       2        0        0        0        2
40162 libjackson2-dataformat-smile       	       2        0        0        0        2
40163 libjackson2-dataformat-xml-java-doc	       2        0        0        0        2
40164 libjackson2-dataformat-yaml-doc    	       2        0        0        0        2
40165 libjacoco-java                     	       2        0        0        0        2
40166 libjaffl-java                      	       2        0        0        0        2
40167 libjasypt-java                     	       2        0        0        0        2
40168 libjaula1                          	       2        0        0        0        2
40169 libjava-classpath-clojure          	       2        0        0        0        2
40170 libjavapoet-java                   	       2        0        0        0        2
40171 libjavascriptcoregtk-3.0-dev       	       2        0        2        0        0
40172 libjboss-logging-java-doc          	       2        0        0        0        2
40173 libjcharts-java                    	       2        0        0        0        2
40174 libjcifs-java-doc                  	       2        0        0        0        2
40175 libjconv-bin                       	       2        0        2        0        0
40176 libjconv2                          	       2        0        0        0        2
40177 libjersey1-core-java               	       2        0        0        0        2
40178 libjeuclid-fop-java                	       2        0        0        0        2
40179 libjfreesvg-java                   	       2        0        0        0        2
40180 libjgrapht0.6-java                 	       2        0        0        0        2
40181 libjgrapht0.8-java                 	       2        0        0        0        2
40182 libjimfs-java                      	       2        0        0        0        2
40183 libjlha-java-doc-ja                	       2        0        0        0        2
40184 libjmac-java                       	       2        0        2        0        0
40185 libjnacl-java                      	       2        0        0        0        2
40186 libjoda-time-java-doc              	       2        0        0        0        2
40187 libjodycode3                       	       2        0        0        0        2
40188 libjpfcodegen-java                 	       2        0        0        0        2
40189 libjs-bootsidemenu                 	       2        0        0        0        2
40190 libjs-bootstrap5-doc               	       2        0        0        0        2
40191 libjs-c3                           	       2        0        0        0        2
40192 libjs-emojify                      	       2        0        0        0        2
40193 libjs-emojione                     	       2        0        0        0        2
40194 libjs-jquery-colorbox              	       2        0        0        0        2
40195 libjs-jquery-lazyload              	       2        0        0        0        2
40196 libjs-jquery-migrate-1             	       2        0        0        0        2
40197 libjs-mediaelement                 	       2        0        0        0        2
40198 libjs-nouislider                   	       2        0        0        0        2
40199 libjs-sax                          	       2        0        0        0        2
40200 libjs-skeleton                     	       2        0        0        0        2
40201 libjsofa-java                      	       2        0        0        0        2
40202 libjson-c-doc                      	       2        0        0        0        2
40203 libjsonld-java                     	       2        0        0        0        2
40204 libjsonp2-java                     	       2        0        0        0        2
40205 libjsonparser-dev                  	       2        0        2        0        0
40206 libjswingreader-java               	       2        0        0        0        2
40207 libjtharness-java                  	       2        0        0        0        2
40208 libjuff0.10t64                     	       2        0        0        0        2
40209 libjwt-gnutls2                     	       2        0        0        0        2
40210 libjwt0                            	       2        0        0        0        2
40211 libk3b-dev                         	       2        0        2        0        0
40212 libkasten3okteta1controllers1abi1  	       2        0        0        0        2
40213 libkateinterfaces4                 	       2        0        0        0        2
40214 libkcddb6-dev                      	       2        0        1        1        0
40215 libkchart-dev                      	       2        0        2        0        0
40216 libkdb3-4                          	       2        0        0        0        2
40217 libkdeedu-data                     	       2        0        0        0        2
40218 libkf5activitiesstats-doc          	       2        0        0        0        2
40219 libkf5akonadinotes-dev             	       2        0        2        0        0
40220 libkf5akonadisearch-dev            	       2        0        2        0        0
40221 libkf5blog5                        	       2        0        0        0        2
40222 libkf5cddb-dev                     	       2        0        2        0        0
40223 libkf5compactdisc-dev              	       2        0        2        0        0
40224 libkf5dav-dev                      	       2        0        2        0        0
40225 libkf5gravatar-dev                 	       2        0        2        0        0
40226 libkf5holidays-doc                 	       2        0        0        0        2
40227 libkf5imap-dev                     	       2        0        2        0        0
40228 libkf5kexiv2-dev                   	       2        0        2        0        0
40229 libkf5khtml-dev                    	       2        0        2        0        0
40230 libkf5konq-dev                     	       2        0        2        0        0
40231 libkf5ldap-dev                     	       2        0        2        0        0
40232 libkf5mailtransport-dev            	       2        0        2        0        0
40233 libkf5mbox-dev                     	       2        0        2        0        0
40234 libkf5mediaplayer-dev              	       2        0        2        0        0
40235 libkf5messagecore-dev              	       2        0        2        0        0
40236 libkf5messagelist-dev              	       2        0        2        0        0
40237 libkf5messageviewer-dev            	       2        0        2        0        0
40238 libkf5mimetreeparser-dev           	       2        0        2        0        0
40239 libkf5networkmanagerqt-dev         	       2        0        2        0        0
40240 libkf5pimcommon-dev                	       2        0        2        0        0
40241 libkf5pulseaudioqt4                	       2        0        0        0        2
40242 libkf5qqc2desktopstyle-dev         	       2        0        0        0        2
40243 libkf5sane-dev                     	       2        0        2        0        0
40244 libkf5screen-dev                   	       2        0        2        0        0
40245 libkf5solid-bin                    	       2        0        2        0        0
40246 libkf6globalaccel-dev              	       2        0        1        1        0
40247 libkf6globalaccel-doc              	       2        0        0        0        2
40248 libkf6iconthemes-dev               	       2        0        1        1        0
40249 libkf6iconthemes-doc               	       2        0        0        0        2
40250 libkf6parts-dev                    	       2        0        1        1        0
40251 libkf6parts-doc                    	       2        0        0        0        2
40252 libkf6syntaxhighlighting-dev       	       2        0        1        1        0
40253 libkf6syntaxhighlighting-doc       	       2        0        0        0        2
40254 libkf6texteditor-dev               	       2        0        1        1        0
40255 libkf6texteditor-doc               	       2        0        0        0        2
40256 libkf6threadweaver-dev             	       2        0        2        0        0
40257 libkf6threadweaver-doc             	       2        0        0        0        2
40258 libkf6xmlgui-dev                   	       2        0        1        1        0
40259 libkf6xmlgui-doc                   	       2        0        0        0        2
40260 libkgantt-dev                      	       2        0        2        0        0
40261 libkipi-data                       	       2        0        0        0        2
40262 libkipi11                          	       2        0        0        0        2
40263 libkiten5                          	       2        0        0        0        2
40264 libklibc-dev                       	       2        0        1        1        0
40265 libkmahjongglib4                   	       2        0        0        0        2
40266 libkmanagesieve4                   	       2        0        0        0        2
40267 libknet1                           	       2        0        2        0        0
40268 libknot8                           	       2        0        0        0        2
40269 libkpim6gapidrive6                 	       2        0        0        0        2
40270 libkpipewire-dev                   	       2        0        1        1        0
40271 libkpmcore7                        	       2        0        0        0        2
40272 libkqueue0                         	       2        0        0        0        2
40273 libkrb5-26t64-heimdal              	       2        0        0        0        2
40274 libksba-mingw-w64-dev              	       2        0        2        0        0
40275 libksieve4                         	       2        0        0        0        2
40276 libksieveui4                       	       2        0        0        0        2
40277 libktoblzcheck1-dev                	       2        0        2        0        0
40278 libktorrent-dev                    	       2        0        2        0        0
40279 libktpcommoninternals9             	       2        0        0        0        2
40280 libkvazaar-dev                     	       2        0        2        0        0
40281 liblablgtk2-ocaml                  	       2        0        2        0        0
40282 liblablgtk3-ocaml-dev              	       2        0        2        0        0
40283 liblablgtk3-ocaml-doc              	       2        0        0        0        2
40284 liblam4                            	       2        0        0        0        2
40285 liblangtag-dev                     	       2        0        2        0        0
40286 liblangtag-gobject0                	       2        0        0        0        2
40287 liblanguage-detector-java          	       2        0        0        0        2
40288 liblapack-test                     	       2        0        0        0        2
40289 liblavfile-2.0-0                   	       2        0        0        0        2
40290 liblavfile-2.1-0t64                	       2        0        0        0        2
40291 liblavjpeg-2.1-0t64                	       2        0        0        0        2
40292 liblavplay-2.0-0                   	       2        0        0        0        2
40293 liblavplay-2.1-0t64                	       2        0        0        0        2
40294 liblayershellqtinterface-dev       	       2        0        1        1        0
40295 liblbfgs-dev                       	       2        0        2        0        0
40296 liblcms-utils                      	       2        0        2        0        0
40297 liblcms1-dev                       	       2        0        2        0        0
40298 liblcomp1                          	       2        1        0        0        1
40299 liblcomp1-dev                      	       2        0        2        0        0
40300 liblept3                           	       2        0        0        0        2
40301 liblessen-java                     	       2        0        0        0        2
40302 liblingua-en-fathom-perl           	       2        0        2        0        0
40303 liblingua-en-sentence-perl         	       2        0        2        0        0
40304 liblingua-en-syllable-perl         	       2        0        2        0        0
40305 liblinphone5                       	       2        0        0        0        2
40306 liblinuxsampler                    	       2        0        2        0        0
40307 liblistaller-glib0                 	       2        0        0        0        2
40308 liblivemedia112                    	       2        0        0        0        2
40309 liblivemedia58                     	       2        0        0        0        2
40310 liblizzie-java                     	       2        0        2        0        0
40311 liblld-dev                         	       2        0        0        0        2
40312 liblldb-19-dev                     	       2        1        1        0        0
40313 liblldb-dev                        	       2        0        0        0        2
40314 libllvm20                          	       2        0        1        0        1
40315 libllvm3.7                         	       2        0        0        0        2
40316 libllvmspirvlib-15-dev             	       2        0        2        0        0
40317 liblmdb-file-perl                  	       2        0        0        0        2
40318 libloadpng4.4                      	       2        0        0        0        2
40319 liblocale-currency-format-perl     	       2        0        2        0        0
40320 liblog-dispatch-array-perl         	       2        0        2        0        0
40321 liblog-dispatch-config-perl        	       2        1        1        0        0
40322 liblog4cxx11                       	       2        0        0        0        2
40323 liblog4tango5v5                    	       2        0        0        0        2
40324 liblognorm0                        	       2        0        2        0        0
40325 liblombok-java                     	       2        0        0        0        2
40326 liblorene-debian1                  	       2        0        0        0        2
40327 liblorene-dev                      	       2        0        2        0        0
40328 liblorenef77-debian1               	       2        0        0        0        2
40329 libloudmouth1-dev                  	       2        0        2        0        0
40330 liblouis14                         	       2        0        0        0        2
40331 liblrmd28                          	       2        0        2        0        0
40332 liblsan0-riscv64-cross             	       2        0        0        0        2
40333 liblsmash2                         	       2        0        0        0        2
40334 libltdl3                           	       2        1        0        0        1
40335 liblua40                           	       2        0        0        0        2
40336 liblua5.1-luacsnd                  	       2        0        1        0        1
40337 libluabind-dev                     	       2        0        0        0        2
40338 liblualib40                        	       2        0        0        0        2
40339 liblucene8-java                    	       2        0        0        0        2
40340 libluksde-utils                    	       2        0        2        0        0
40341 liblwp-authen-oauth-perl           	       2        0        2        0        0
40342 liblwp-authen-oauth2-perl          	       2        0        2        0        0
40343 liblwp-protocol-http-socketunix-perl	       2        0        2        0        0
40344 liblwp-useragent-chicaching-perl   	       2        0        2        0        0
40345 liblwt-ocaml-dev                   	       2        0        2        0        0
40346 liblxqt-globalkeys-ui1-dev         	       2        0        2        0        0
40347 liblxqt-globalkeys1-dev            	       2        0        2        0        0
40348 libmac10                           	       2        0        0        0        2
40349 libmagick++-6.q16-9                	       2        0        0        0        2
40350 libmagickcore-7-arch-config        	       2        0        2        0        0
40351 libmagickcore-7-headers            	       2        0        2        0        0
40352 libmagickcore-7.q16-dev            	       2        0        0        0        2
40353 libmagickwand-7-headers            	       2        0        2        0        0
40354 libmagickwand-7.q16-dev            	       2        0        0        0        2
40355 libmailcommon4                     	       2        1        0        0        1
40356 libmailimporter4                   	       2        1        0        0        1
40357 libmailutils8                      	       2        0        0        0        2
40358 libmalaga7                         	       2        0        0        0        2
40359 libmapbox-variant-dev              	       2        0        0        0        2
40360 libmarblewidget19                  	       2        1        0        0        1
40361 libmarc-file-mij-perl              	       2        0        2        0        0
40362 libmarc4j-java                     	       2        0        0        0        2
40363 libmariadb2                        	       2        0        0        0        2
40364 libmarkdown2-dev                   	       2        0        2        0        0
40365 libmath-basecnv-perl               	       2        0        2        0        0
40366 libmath-bezier-perl                	       2        0        2        0        0
40367 libmath-combinatorics-perl         	       2        0        2        0        0
40368 libmath-gmp-perl                   	       2        0        0        0        2
40369 libmath-random-secure-perl         	       2        1        1        0        0
40370 libmath-vec-perl                   	       2        0        2        0        0
40371 libmatthew-debug-java              	       2        0        0        0        2
40372 libmaven-ant-tasks-java            	       2        0        0        0        2
40373 libmaven-doxia-tools-java          	       2        0        0        0        2
40374 libmaven-enforcer-plugin-java      	       2        0        0        0        2
40375 libmaven-exec-plugin-java          	       2        0        0        0        2
40376 libmaven-shared-utils-java-doc     	       2        0        0        0        2
40377 libmaven-source-plugin-java        	       2        0        0        0        2
40378 libmaxflow0                        	       2        0        0        0        2
40379 libmbedcrypto0                     	       2        0        0        0        2
40380 libmbtserver1                      	       2        0        0        0        2
40381 libmce-perl                        	       2        0        2        0        0
40382 libmcs1                            	       2        0        2        0        0
40383 libmdbtools                        	       2        0        0        0        2
40384 libmedia-convert-perl              	       2        0        2        0        0
40385 libmemcached10                     	       2        0        0        0        2
40386 libmessage-filters-dev             	       2        0        2        0        0
40387 libmessagelist4                    	       2        0        0        0        2
40388 libmfx-tools                       	       2        0        2        0        0
40389 libmgl-qt5-8                       	       2        0        0        0        2
40390 libmia-2.4-4                       	       2        0        0        0        2
40391 libmialm3                          	       2        0        0        0        2
40392 libmiaviewit0                      	       2        0        0        0        2
40393 libmidi-perl                       	       2        0        2        0        0
40394 libmimalloc-dev                    	       2        1        1        0        0
40395 libmime-perl                       	       2        0        0        0        2
40396 libmimelib1c2a                     	       2        0        0        0        2
40397 libminc-dev                        	       2        0        2        0        0
40398 libminidjvu0t64                    	       2        0        0        0        2
40399 libminiupnpc8                      	       2        0        0        0        2
40400 libmirage11                        	       2        0        1        0        1
40401 libmircommon9                      	       2        0        0        0        2
40402 libmircookie2                      	       2        0        0        0        2
40403 libmircore2                        	       2        0        0        0        2
40404 libmirplatform24                   	       2        0        0        0        2
40405 libmirwayland4                     	       2        0        0        0        2
40406 libmkl-tbb-thread                  	       2        0        0        0        2
40407 libmlpack3                         	       2        0        0        0        2
40408 libmlt++-dev                       	       2        0        2        0        0
40409 libmobi-tools                      	       2        0        2        0        0
40410 libmockobjects-java                	       2        0        0        0        2
40411 libmodule-bundled-files-perl       	       2        0        2        0        0
40412 libmodule-install-authortests-perl 	       2        0        2        0        0
40413 libmodule-starter-perl             	       2        1        1        0        0
40414 libmoebinv-dev                     	       2        1        0        1        0
40415 libmoebinv0                        	       2        0        0        0        2
40416 libmoebinv0-dbgsym                 	       2        1        0        1        0
40417 libmojo-jwt-perl                   	       2        0        2        0        0
40418 libmojolicious-plugin-renderfile-perl	       2        0        2        0        0
40419 libmongo-client0                   	       2        0        0        0        2
40420 libmono-addins-msbuild-cil-dev     	       2        0        2        0        0
40421 libmono-cecil-vb0.9-cil            	       2        0        2        0        0
40422 libmono-microsoft-visualbasic10.0-cil	       2        0        2        0        0
40423 libmono-upnp-cil                   	       2        0        2        0        0
40424 libmonospaceif-common              	       2        0        0        0        2
40425 libmoosex-aliases-perl             	       2        0        2        0        0
40426 libmoosex-app-cmd-perl             	       2        0        2        0        0
40427 libmoosex-attribute-env-perl       	       2        0        2        0        0
40428 libmoosex-configfromfile-perl      	       2        0        2        0        0
40429 libmoosex-followpbp-perl           	       2        0        2        0        0
40430 libmoosex-lazyrequire-perl         	       2        0        2        0        0
40431 libmoosex-meta-typeconstraint-forcecoercion-perl	       2        0        2        0        0
40432 libmoosex-method-signatures-perl   	       2        0        2        0        0
40433 libmoosex-object-pluggable-perl    	       2        0        2        0        0
40434 libmoosex-types-common-perl        	       2        0        2        0        0
40435 libmoosex-types-datetime-perl      	       2        0        2        0        0
40436 libmoosex-types-loadableclass-perl 	       2        1        1        0        0
40437 libmoosex-types-path-tiny-perl     	       2        0        2        0        0
40438 libmoosex-types-stringlike-perl    	       2        0        2        0        0
40439 libmotif3                          	       2        0        0        0        2
40440 libmowgli-2-0                      	       2        0        0        0        2
40441 libmozjs-102-dev                   	       2        0        2        0        0
40442 libmozjs185-dev                    	       2        1        1        0        0
40443 libmozjs26d                        	       2        0        0        0        2
40444 libmp3lame-ocaml                   	       2        0        2        0        0
40445 libmp3lame-ocaml-dev               	       2        0        2        0        0
40446 libmp4v2-1                         	       2        0        0        0        2
40447 libmpcdec3                         	       2        0        0        0        2
40448 libmpdclient-doc                   	       2        0        0        0        2
40449 libmpdec-dev                       	       2        0        2        0        0
40450 libmpfr1ldbl                       	       2        0        0        0        2
40451 libmpvqt1                          	       2        0        0        0        2
40452 libmspack-doc                      	       2        0        0        0        2
40453 libmulticobex1t64                  	       2        0        0        0        2
40454 libmumps-5.3                       	       2        0        0        0        2
40455 libmumps-5.4                       	       2        0        0        0        2
40456 libmumps-5.6                       	       2        0        0        0        2
40457 libmumps-5.7                       	       2        0        0        0        2
40458 libmuparserx-dev                   	       2        0        2        0        0
40459 libmuparserx4.0.11                 	       2        0        0        0        2
40460 libmurmurhash2                     	       2        0        0        0        2
40461 libmutter-12-0                     	       2        0        0        0        2
40462 libmygpo-qt-dev                    	       2        0        2        0        0
40463 libmysqlclient15-dev               	       2        0        2        0        0
40464 libmysqlclient20                   	       2        0        0        0        2
40465 libmysqlclient21                   	       2        0        0        0        2
40466 libmyth-35                         	       2        2        0        0        0
40467 libmythavcodec61                   	       2        2        0        0        0
40468 libmythavdevice59                  	       2        0        0        0        2
40469 libmythavdevice61                  	       2        0        0        0        2
40470 libmythavfilter10                  	       2        2        0        0        0
40471 libmythavformat61                  	       2        2        0        0        0
40472 libmythavutil59                    	       2        2        0        0        0
40473 libmythpostproc58                  	       2        2        0        0        0
40474 libmythswresample5                 	       2        2        0        0        0
40475 libmythswscale8                    	       2        2        0        0        0
40476 libn32atomic1-mips-cross           	       2        0        0        0        2
40477 libn32gomp1-mips-cross             	       2        0        0        0        2
40478 libnacl-dev                        	       2        0        2        0        0
40479 libnagios-object-perl              	       2        1        1        0        0
40480 libnanomsg-dev                     	       2        0        2        0        0
40481 libnatspec0                        	       2        0        0        0        2
40482 libnauty-2.8.9                     	       2        0        0        0        2
40483 libnbd-bin                         	       2        0        2        0        0
40484 libncursesada6.2.3                 	       2        0        0        0        2
40485 libnemo-extension-dev              	       2        0        2        0        0
40486 libnet-amqp-perl                   	       2        0        2        0        0
40487 libnet-github-perl                 	       2        0        2        0        0
40488 libnet-https-any-perl              	       2        0        2        0        0
40489 libnet-jabber-perl                 	       2        0        2        0        0
40490 libnet-nslookup-perl               	       2        0        2        0        0
40491 libnet-oauth2-authorizationserver-perl	       2        0        2        0        0
40492 libnet-smtp-tls-butmaintained-perl 	       2        0        2        0        0
40493 libnet-sslglue-perl                	       2        0        2        0        0
40494 libnet-stomp-perl                  	       2        0        2        0        0
40495 libnetcdf-mpi-19                   	       2        0        0        0        2
40496 libnetcdfc++4                      	       2        0        0        0        2
40497 libnetfilter-log-dev               	       2        0        2        0        0
40498 libnetfilter-queue-doc             	       2        0        0        0        2
40499 libnetty-3.9-java                  	       2        0        0        0        2
40500 libnewlib-doc                      	       2        0        0        0        2
40501 libnewmat10-dev                    	       2        0        2        0        0
40502 libnexstar-dev                     	       2        0        2        0        0
40503 libnexstar0                        	       2        0        0        0        2
40504 libnextcloudsync-dev               	       2        0        2        0        0
40505 libnfc-examples                    	       2        0        2        0        0
40506 libnfc5                            	       2        0        2        0        0
40507 libnfsidmap-dev                    	       2        1        1        0        0
40508 libnglib-4.9.13                    	       2        0        0        0        2
40509 libnglib-dev                       	       2        0        2        0        0
40510 libngs-sdk-dev                     	       2        0        2        0        0
40511 libngs-sdk2                        	       2        0        0        0        2
40512 libnids1.21t64                     	       2        0        0        0        2
40513 libnl-3-doc                        	       2        0        0        0        2
40514 libnl-cli-3-dev                    	       2        0        0        0        2
40515 libnl-nf-3-dev                     	       2        0        0        0        2
40516 libnma-dev                         	       2        0        1        0        1
40517 libnmz7                            	       2        0        0        0        2
40518 libnode109                         	       2        0        1        0        1
40519 libnode93                          	       2        0        0        0        2
40520 libnodeletlib1d                    	       2        0        0        0        2
40521 libnoteshared4                     	       2        1        0        0        1
40522 libnotify3.0-cil                   	       2        0        0        0        2
40523 libnotify3.0-cil-dev               	       2        0        2        0        0
40524 libnozzle1                         	       2        0        2        0        0
40525 libnpp-12-4                        	       2        0        0        0        2
40526 libnpp-12-8                        	       2        0        0        0        2
40527 libnpp-dev-12-4                    	       2        0        2        0        0
40528 libnpp-dev-12-8                    	       2        0        1        1        0
40529 libnspr4-0d                        	       2        0        0        0        2
40530 libntl43                           	       2        0        0        0        2
40531 libnusoap-php                      	       2        1        1        0        0
40532 libnuspell5                        	       2        0        0        0        2
40533 libnvfatbin-12-4                   	       2        0        0        0        2
40534 libnvfatbin-12-8                   	       2        0        0        0        2
40535 libnvfatbin-dev-12-4               	       2        0        2        0        0
40536 libnvfatbin-dev-12-8               	       2        0        1        1        0
40537 libnvidia-legacy-340xx-fbc1        	       2        0        0        0        2
40538 libnvidia-legacy-340xx-ifr1        	       2        0        0        0        2
40539 libnvidia-tesla-450-cbl            	       2        0        0        0        2
40540 libnvidia-tesla-450-cfg1           	       2        0        0        0        2
40541 libnvidia-tesla-450-cuda1          	       2        0        0        0        2
40542 libnvidia-tesla-450-eglcore        	       2        0        0        0        2
40543 libnvidia-tesla-450-encode1        	       2        0        0        0        2
40544 libnvidia-tesla-450-glcore         	       2        0        1        0        1
40545 libnvidia-tesla-450-glvkspirv      	       2        0        0        0        2
40546 libnvidia-tesla-450-ml1            	       2        0        0        0        2
40547 libnvidia-tesla-450-nvcuvid1       	       2        0        0        0        2
40548 libnvidia-tesla-450-ptxjitcompiler1	       2        0        0        0        2
40549 libnvidia-tesla-450-rtcore         	       2        0        0        0        2
40550 libnvjitlink-12-4                  	       2        0        0        0        2
40551 libnvjitlink-12-8                  	       2        0        0        0        2
40552 libnvjitlink-dev-12-4              	       2        0        2        0        0
40553 libnvjitlink-dev-12-8              	       2        0        1        1        0
40554 libnvjpeg-12-4                     	       2        0        0        0        2
40555 libnvjpeg-12-8                     	       2        0        0        0        2
40556 libnvjpeg-dev-12-4                 	       2        0        2        0        0
40557 libnvjpeg-dev-12-8                 	       2        0        1        1        0
40558 libnvvm3                           	       2        0        0        0        2
40559 libnxcl-bin                        	       2        0        2        0        0
40560 libnxcl1                           	       2        0        0        0        2
40561 liboakleaf0                        	       2        0        0        0        2
40562 liboauth-dev                       	       2        0        2        0        0
40563 libobasis24.2-en-gb                	       2        0        0        0        2
40564 libobasis24.2-en-gb-help           	       2        0        0        0        2
40565 libobasis24.8-de                   	       2        0        0        0        2
40566 libobasis24.8-fr                   	       2        0        0        0        2
40567 libobasis25.2-base                 	       2        0        0        0        2
40568 libobasis25.2-calc                 	       2        0        0        0        2
40569 libobasis25.2-core                 	       2        0        0        0        2
40570 libobasis25.2-draw                 	       2        0        0        0        2
40571 libobasis25.2-en-us                	       2        0        0        0        2
40572 libobasis25.2-extension-beanshell-script-provider	       2        0        0        0        2
40573 libobasis25.2-extension-javascript-script-provider	       2        0        0        0        2
40574 libobasis25.2-extension-mediawiki-publisher	       2        0        0        0        2
40575 libobasis25.2-extension-nlpsolver  	       2        0        0        0        2
40576 libobasis25.2-extension-pdf-import 	       2        0        0        0        2
40577 libobasis25.2-extension-report-builder	       2        0        0        0        2
40578 libobasis25.2-firebird             	       2        0        0        0        2
40579 libobasis25.2-gnome-integration    	       2        0        0        0        2
40580 libobasis25.2-graphicfilter        	       2        0        0        0        2
40581 libobasis25.2-images               	       2        0        0        0        2
40582 libobasis25.2-impress              	       2        0        0        0        2
40583 libobasis25.2-kde-integration      	       2        0        0        0        2
40584 libobasis25.2-librelogo            	       2        0        0        0        2
40585 libobasis25.2-libreofficekit-data  	       2        0        0        0        2
40586 libobasis25.2-math                 	       2        0        0        0        2
40587 libobasis25.2-ogltrans             	       2        0        0        0        2
40588 libobasis25.2-onlineupdate         	       2        0        0        0        2
40589 libobasis25.2-ooofonts             	       2        0        0        0        2
40590 libobasis25.2-ooolinguistic        	       2        0        0        0        2
40591 libobasis25.2-postgresql-sdbc      	       2        0        0        0        2
40592 libobasis25.2-python-script-provider	       2        0        0        0        2
40593 libobasis25.2-pyuno                	       2        1        1        0        0
40594 libobasis25.2-writer               	       2        0        0        0        2
40595 libobasis25.2-xsltfilter           	       2        0        0        0        2
40596 libobasis6.0-base                  	       2        0        0        0        2
40597 libobasis6.0-calc                  	       2        0        0        0        2
40598 libobasis6.0-core                  	       2        0        0        0        2
40599 libobasis6.0-draw                  	       2        0        0        0        2
40600 libobasis6.0-en-us                 	       2        0        0        0        2
40601 libobasis6.0-extension-beanshell-script-provider	       2        0        0        0        2
40602 libobasis6.0-extension-javascript-script-provider	       2        0        0        0        2
40603 libobasis6.0-extension-mediawiki-publisher	       2        0        0        0        2
40604 libobasis6.0-extension-nlpsolver   	       2        0        0        0        2
40605 libobasis6.0-extension-pdf-import  	       2        0        0        0        2
40606 libobasis6.0-extension-report-builder	       2        0        0        0        2
40607 libobasis6.0-firebird              	       2        0        0        0        2
40608 libobasis6.0-gnome-integration     	       2        0        0        0        2
40609 libobasis6.0-graphicfilter         	       2        0        0        0        2
40610 libobasis6.0-images                	       2        0        0        0        2
40611 libobasis6.0-impress               	       2        0        0        0        2
40612 libobasis6.0-kde-integration       	       2        0        0        0        2
40613 libobasis6.0-librelogo             	       2        0        0        0        2
40614 libobasis6.0-math                  	       2        0        0        0        2
40615 libobasis6.0-ogltrans              	       2        0        0        0        2
40616 libobasis6.0-onlineupdate          	       2        0        0        0        2
40617 libobasis6.0-ooofonts              	       2        0        0        0        2
40618 libobasis6.0-ooolinguistic         	       2        0        0        0        2
40619 libobasis6.0-postgresql-sdbc       	       2        0        0        0        2
40620 libobasis6.0-python-script-provider	       2        0        0        0        2
40621 libobasis6.0-pyuno                 	       2        0        1        0        1
40622 libobasis6.0-writer                	       2        0        0        0        2
40623 libobasis6.0-xsltfilter            	       2        0        0        0        2
40624 libobasis6.2-base                  	       2        0        0        0        2
40625 libobasis6.2-calc                  	       2        0        0        0        2
40626 libobasis6.2-core                  	       2        0        0        0        2
40627 libobasis6.2-draw                  	       2        0        0        0        2
40628 libobasis6.2-en-us                 	       2        0        0        0        2
40629 libobasis6.2-extension-beanshell-script-provider	       2        0        0        0        2
40630 libobasis6.2-extension-javascript-script-provider	       2        0        0        0        2
40631 libobasis6.2-extension-mediawiki-publisher	       2        0        0        0        2
40632 libobasis6.2-extension-nlpsolver   	       2        0        0        0        2
40633 libobasis6.2-extension-pdf-import  	       2        0        0        0        2
40634 libobasis6.2-extension-report-builder	       2        0        0        0        2
40635 libobasis6.2-firebird              	       2        0        0        0        2
40636 libobasis6.2-gnome-integration     	       2        0        0        0        2
40637 libobasis6.2-graphicfilter         	       2        0        0        0        2
40638 libobasis6.2-images                	       2        0        0        0        2
40639 libobasis6.2-impress               	       2        0        0        0        2
40640 libobasis6.2-kde-integration       	       2        0        0        0        2
40641 libobasis6.2-librelogo             	       2        0        0        0        2
40642 libobasis6.2-libreofficekit-data   	       2        0        0        0        2
40643 libobasis6.2-math                  	       2        0        0        0        2
40644 libobasis6.2-ogltrans              	       2        0        0        0        2
40645 libobasis6.2-onlineupdate          	       2        0        0        0        2
40646 libobasis6.2-ooofonts              	       2        0        0        0        2
40647 libobasis6.2-ooolinguistic         	       2        0        0        0        2
40648 libobasis6.2-postgresql-sdbc       	       2        0        0        0        2
40649 libobasis6.2-python-script-provider	       2        0        0        0        2
40650 libobasis6.2-pyuno                 	       2        0        2        0        0
40651 libobasis6.2-writer                	       2        0        0        0        2
40652 libobasis6.2-xsltfilter            	       2        0        0        0        2
40653 libobasis6.3-en-us-help            	       2        0        0        0        2
40654 libobasis6.3-extension-beanshell-script-provider	       2        0        0        0        2
40655 libobasis6.3-extension-javascript-script-provider	       2        0        0        0        2
40656 libobasis6.3-extension-mediawiki-publisher	       2        0        0        0        2
40657 libobasis6.3-extension-nlpsolver   	       2        0        0        0        2
40658 libobasis6.3-extension-report-builder	       2        0        0        0        2
40659 libobasis6.3-firebird              	       2        0        0        0        2
40660 libobasis6.3-gnome-integration     	       2        0        0        0        2
40661 libobasis6.3-kde-integration       	       2        0        0        0        2
40662 libobasis6.3-librelogo             	       2        0        0        0        2
40663 libobasis6.3-libreofficekit-data   	       2        0        0        0        2
40664 libobasis6.3-onlineupdate          	       2        0        0        0        2
40665 libobasis6.3-postgresql-sdbc       	       2        0        0        0        2
40666 libobasis6.3-python-script-provider	       2        0        0        0        2
40667 libobasis6.3-pyuno                 	       2        0        1        0        1
40668 libobasis7.1-base                  	       2        0        0        0        2
40669 libobasis7.1-calc                  	       2        0        0        0        2
40670 libobasis7.1-core                  	       2        0        0        0        2
40671 libobasis7.1-draw                  	       2        0        0        0        2
40672 libobasis7.1-en-us                 	       2        0        0        0        2
40673 libobasis7.1-extension-beanshell-script-provider	       2        0        0        0        2
40674 libobasis7.1-extension-javascript-script-provider	       2        0        0        0        2
40675 libobasis7.1-extension-mediawiki-publisher	       2        0        0        0        2
40676 libobasis7.1-extension-nlpsolver   	       2        0        0        0        2
40677 libobasis7.1-extension-pdf-import  	       2        0        0        0        2
40678 libobasis7.1-extension-report-builder	       2        0        0        0        2
40679 libobasis7.1-firebird              	       2        0        0        0        2
40680 libobasis7.1-gnome-integration     	       2        0        0        0        2
40681 libobasis7.1-graphicfilter         	       2        0        0        0        2
40682 libobasis7.1-images                	       2        0        0        0        2
40683 libobasis7.1-impress               	       2        0        0        0        2
40684 libobasis7.1-kde-integration       	       2        0        0        0        2
40685 libobasis7.1-librelogo             	       2        0        0        0        2
40686 libobasis7.1-libreofficekit-data   	       2        0        0        0        2
40687 libobasis7.1-math                  	       2        0        0        0        2
40688 libobasis7.1-ogltrans              	       2        0        0        0        2
40689 libobasis7.1-onlineupdate          	       2        0        0        0        2
40690 libobasis7.1-ooolinguistic         	       2        0        0        0        2
40691 libobasis7.1-postgresql-sdbc       	       2        0        0        0        2
40692 libobasis7.1-python-script-provider	       2        0        0        0        2
40693 libobasis7.1-pyuno                 	       2        0        2        0        0
40694 libobasis7.1-writer                	       2        0        0        0        2
40695 libobasis7.1-xsltfilter            	       2        0        0        0        2
40696 libobasis7.3-ooofonts              	       2        0        0        0        2
40697 libobasis7.5-en-us-help            	       2        0        0        0        2
40698 libobexftp0t64                     	       2        0        0        0        2
40699 libobject-signature-perl           	       2        1        1        0        0
40700 libocamlnet-ocaml                  	       2        0        2        0        0
40701 libocamlnet-ocaml-doc              	       2        0        0        0        2
40702 libocas-dev                        	       2        0        2        0        0
40703 libocas0                           	       2        0        0        0        2
40704 libocct-doc                        	       2        0        0        0        2
40705 liboce-ocaf-lite8                  	       2        0        0        0        2
40706 liboce-ocaf8                       	       2        0        0        0        2
40707 liboce-visualization8              	       2        0        0        0        2
40708 libocplib-endian-ocaml-dev         	       2        0        2        0        0
40709 libocrad-dev                       	       2        0        2        0        0
40710 liboctomap-dev                     	       2        0        2        0        0
40711 liboctomap1.9                      	       2        0        0        0        2
40712 liboctovis1.9                      	       2        0        0        0        2
40713 libode1sp                          	       2        0        0        0        2
40714 libode6                            	       2        0        0        0        2
40715 libode8t64                         	       2        0        0        0        2
40716 libodfdom-java                     	       2        0        0        0        2
40717 libodil0                           	       2        0        0        0        2
40718 libofa0-dev                        	       2        0        2        0        0
40719 libofx-doc                         	       2        0        0        0        2
40720 libogdf-tulip-5.4-0                	       2        0        0        0        2
40721 liboggflac3                        	       2        0        0        0        2
40722 libogre-1.9-dev                    	       2        0        2        0        0
40723 libois-perl                        	       2        0        0        0        2
40724 libokhttp-signpost-java            	       2        0        0        0        2
40725 libomp5-8                          	       2        0        2        0        0
40726 liboobs-1-4                        	       2        0        0        0        2
40727 liboop-doc                         	       2        0        0        0        2
40728 liboop4                            	       2        0        1        0        1
40729 libopen-trace-format1              	       2        0        0        0        2
40730 libopenbabel-dev                   	       2        0        2        0        0
40731 libopencc2                         	       2        0        0        0        2
40732 libopencc2-data                    	       2        0        0        0        2
40733 libopenct1                         	       2        0        0        0        2
40734 libopencv-calib3d2.4               	       2        0        0        0        2
40735 libopencv-contrib2.4               	       2        0        0        0        2
40736 libopencv-features2d2.4            	       2        0        0        0        2
40737 libopencv-flann2.4                 	       2        0        0        0        2
40738 libopencv-highgui2.4               	       2        0        0        0        2
40739 libopencv-legacy2.4                	       2        0        0        0        2
40740 libopencv-ml2.4                    	       2        0        0        0        2
40741 libopencv-objdetect2.4             	       2        0        0        0        2
40742 libopencv-photo2.4                 	       2        0        0        0        2
40743 libopencv-photo410                 	       2        0        0        0        2
40744 libopencv-shape410                 	       2        0        0        0        2
40745 libopencv-stitching410             	       2        0        0        0        2
40746 libopencv-video2.4                 	       2        0        0        0        2
40747 libopencv-viz410                   	       2        0        0        0        2
40748 libopencv2.4-jni                   	       2        0        2        0        0
40749 libopendbx1-pgsql                  	       2        0        2        0        0
40750 libopendmarc2                      	       2        1        1        0        0
40751 libopenexr24                       	       2        0        0        0        2
40752 libopenfoam                        	       2        0        2        0        0
40753 libopengl-image-perl               	       2        0        2        0        0
40754 libopenh264-1                      	       2        0        0        0        2
40755 libopenhpi2                        	       2        0        2        0        0
40756 libopenigtlink1.11                 	       2        0        0        0        2
40757 libopenimageio2.4t64               	       2        0        0        0        2
40758 libopenmpi1.6                      	       2        0        2        0        0
40759 libopenobex2-dev                   	       2        0        2        0        0
40760 libopenr2-3                        	       2        0        2        0        0
40761 libopenrefine-arithcode-java       	       2        0        0        0        2
40762 libopenrefine-butterfly-java       	       2        0        0        0        2
40763 libopenrefine-opencsv-java         	       2        0        0        0        2
40764 libopenrefine-vicino-java          	       2        0        0        0        2
40765 libopenshot-audio10                	       2        0        0        0        2
40766 libopenshot27                      	       2        0        0        0        2
40767 libopenssl0.9.8                    	       2        0        2        0        0
40768 libopenvdb9.1                      	       2        0        0        0        2
40769 libopenvr-api1                     	       2        0        0        0        2
40770 libopenvr-dev                      	       2        0        2        0        0
40771 libopus-doc                        	       2        0        0        0        2
40772 libopus-ocaml                      	       2        0        2        0        0
40773 libopusfile-doc                    	       2        0        0        0        2
40774 liborange0                         	       2        0        0        0        2
40775 liborcania2.1                      	       2        0        0        0        2
40776 liborcus-0.15-0                    	       2        0        0        0        2
40777 liborcus-dev                       	       2        0        0        0        2
40778 liborcus-parser-0.15-0             	       2        0        0        0        2
40779 liborigin2-1v5                     	       2        0        0        0        2
40780 liborlite-perl                     	       2        0        2        0        0
40781 liborocos-kdl-dev                  	       2        0        2        0        0
40782 liborocos-kdl1.5                   	       2        0        0        0        2
40783 libosdcpu3.5.0t64                  	       2        0        0        0        2
40784 libosdgpu3.5.0t64                  	       2        0        0        0        2
40785 libosinfo-1.0-dev                  	       2        0        2        0        0
40786 libosip2-7                         	       2        0        0        0        2
40787 libosl1v5                          	       2        0        0        0        2
40788 libosmium2-dev                     	       2        0        0        0        2
40789 libosmocodec0                      	       2        0        0        0        2
40790 libosmocore19                      	       2        0        0        0        2
40791 libosmogsm18                       	       2        0        0        0        2
40792 libosmpbf1                         	       2        0        0        0        2
40793 libotb                             	       2        0        0        0        2
40794 libotb-apps                        	       2        0        0        0        2
40795 libotb-dev                         	       2        0        2        0        0
40796 libotbcarto-8.1-1                  	       2        0        0        0        2
40797 libotbcommandline-8.1-1            	       2        0        0        0        2
40798 libotbcurladapters-8.1-1           	       2        0        0        0        2
40799 libotbfuzzy-8.1-1                  	       2        0        0        0        2
40800 libotbice-8.1-1                    	       2        0        0        0        2
40801 libotbimagemanipulation-8.1-1      	       2        0        0        0        2
40802 libotbiokml-8.1-1                  	       2        0        0        0        2
40803 libotblearningbase-8.1-1           	       2        0        0        0        2
40804 libotbmapla-8.1-1                  	       2        0        0        0        2
40805 libotbmathparser-8.1-1             	       2        0        0        0        2
40806 libotbmathparserx-8.1-1            	       2        0        0        0        2
40807 libotbmonteverdi-8.1-1             	       2        0        0        0        2
40808 libotbmonteverdicore-8.1-1         	       2        0        0        0        2
40809 libotbmonteverdigui-8.1-1          	       2        0        0        0        2
40810 libotbpolarimetry-8.1-1            	       2        0        0        0        2
40811 libotbprojection-8.1-1             	       2        0        0        0        2
40812 libotbqtadapters-8.1-1             	       2        0        0        0        2
40813 libotbqtwidget-8.1-1               	       2        0        0        0        2
40814 libotbsampling-8.1-1               	       2        0        0        0        2
40815 libotbsiftfast-8.1-1               	       2        0        0        0        2
40816 libotbstatistics-8.1-1             	       2        0        0        0        2
40817 libotbsupervised-8.1-1             	       2        0        0        0        2
40818 libotbwavelet-8.1-1                	       2        0        0        0        2
40819 libotcl1                           	       2        0        0        0        2
40820 libotr5-dev                        	       2        0        2        0        0
40821 libots-dev                         	       2        0        2        0        0
40822 libowasp-antisamy-java             	       2        0        0        0        2
40823 libowasp-encoder-java              	       2        0        0        0        2
40824 libowasp-esapi-java                	       2        0        0        0        2
40825 libowcapi-3.2-4                    	       2        0        0        0        2
40826 libowfat0                          	       2        0        0        0        2
40827 libp11-3t64                        	       2        1        0        0        1
40828 libp8-platform-dev                 	       2        0        2        0        0
40829 libpacemaker1                      	       2        0        2        0        0
40830 libpackagekit-glib2-14             	       2        0        0        0        2
40831 libpackagekit-glib2-16             	       2        0        0        0        2
40832 libpackagekit-glib2-dev            	       2        0        2        0        0
40833 libpacket-ruby1.9.1                	       2        0        0        0        2
40834 libpagmo8                          	       2        0        0        0        2
40835 libpam-afs-session                 	       2        2        0        0        0
40836 libpam-encfs                       	       2        0        2        0        0
40837 libpam-net                         	       2        0        0        0        2
40838 libpam-poldi                       	       2        1        0        0        1
40839 libpam-systemd                     	       2        0        0        0        2
40840 libpam-unix2                       	       2        1        1        0        0
40841 libpanel-1-1                       	       2        0        0        0        2
40842 libpanel-applet3                   	       2        0        0        0        2
40843 libpanel-common                    	       2        0        0        0        2
40844 libpango1-ruby1.8                  	       2        0        1        0        1
40845 libpantomime1.3                    	       2        0        2        0        0
40846 libpappl1                          	       2        0        0        0        2
40847 libpar-packer-perl                 	       2        0        2        0        0
40848 libpar-perl                        	       2        0        2        0        0
40849 libparlatype5                      	       2        0        0        0        2
40850 libparser-mgc-perl                 	       2        0        2        0        0
40851 libpasswdqc0                       	       2        0        0        0        2
40852 libpcap0.7                         	       2        0        0        0        2
40853 libpdal-base13                     	       2        0        0        0        2
40854 libpdal-base7                      	       2        0        0        0        2
40855 libpdal-plugin-greyhound           	       2        0        0        0        2
40856 libpdal-plugin-python              	       2        0        0        0        2
40857 libpdal-plugin-sqlite              	       2        0        0        0        2
40858 libpdal-util13                     	       2        0        0        0        2
40859 libpdf-report-perl                 	       2        0        2        0        0
40860 libpdfbox-graphics2d-java          	       2        0        0        0        2
40861 libpdfbox2-java                    	       2        0        0        0        2
40862 libpe-rules26                      	       2        0        2        0        0
40863 libpe-status28                     	       2        0        2        0        0
40864 libpe1t64                          	       2        0        0        0        2
40865 libpeas-2-common                   	       2        0        0        0        2
40866 libperconaserverclient20-dev       	       2        1        1        0        0
40867 libperlio-layers-perl              	       2        0        0        0        2
40868 libpgpool2                         	       2        0        0        0        2
40869 libpgsbox8                         	       2        0        0        0        2
40870 libphobos-4.9-dev                  	       2        0        0        0        2
40871 libphobos2-ldc-shared106           	       2        0        0        0        2
40872 libpiano0                          	       2        0        0        0        2
40873 libpigpiod-if-dev                  	       2        0        2        0        0
40874 libpigpiod-if1                     	       2        0        0        0        2
40875 libpigpiod-if2-1                   	       2        0        0        0        2
40876 libpixelmed-java                   	       2        0        0        0        2
40877 libpjsua2-2v5                      	       2        0        0        0        2
40878 libplack-middleware-logwarn-perl   	       2        0        2        0        0
40879 libplayerctl-dev                   	       2        0        2        0        0
40880 libplexus-cli-java-doc             	       2        0        0        0        2
40881 libplexus-container-default-java-doc	       2        0        0        0        2
40882 libplexus-containers-java          	       2        0        0        0        2
40883 libplexus-containers1.5-java       	       2        0        0        0        2
40884 libplexus-interactivity-api-java-doc	       2        0        0        0        2
40885 libplexus-resources-java           	       2        0        0        0        2
40886 libplexus-utils-java               	       2        0        0        0        2
40887 libplexus-utils-java-doc           	       2        0        0        0        2
40888 libplist++-2.0-4                   	       2        0        0        0        2
40889 libplucene-perl                    	       2        0        2        0        0
40890 libpluto-jpl-eph-dev               	       2        0        2        0        0
40891 libpluto-lunar-dev                 	       2        0        2        0        0
40892 libpng-sixlegs-java                	       2        0        0        0        2
40893 libpocl-dev                        	       2        0        2        0        0
40894 libpod-2-docbook-perl              	       2        0        2        0        0
40895 libpod-tests-perl                  	       2        0        2        0        0
40896 libpod-wsdl-perl                   	       2        0        2        0        0
40897 libpoke1                           	       2        0        0        0        2
40898 libpolarssl-dev                    	       2        0        2        0        0
40899 libpolkit-backend-1-0-systemd      	       2        0        0        0        2
40900 libpolkit-gobject-1-0-systemd      	       2        0        0        0        2
40901 libpolkit-gobject-consolekit-1-dev 	       2        0        2        0        0
40902 libpolyglot-maven-java-doc         	       2        0        0        0        2
40903 libpolyml9                         	       2        0        0        0        2
40904 libpoppler-glib-doc                	       2        0        0        0        2
40905 libpoppler-qt6-3                   	       2        0        0        0        2
40906 libpoppler13                       	       2        0        0        0        2
40907 libpoppler3                        	       2        0        0        0        2
40908 libportaudio-ocaml                 	       2        0        2        0        0
40909 libportsmf-dev                     	       2        0        2        0        0
40910 libpostscript-file-perl            	       2        0        2        0        0
40911 libpostscript-simple-perl          	       2        0        2        0        0
40912 libppd-dev                         	       2        0        2        0        0
40913 libppix-utils-perl                 	       2        0        2        0        0
40914 libppsdocument-4.0-5               	       2        0        0        0        2
40915 libppsview-4.0-4                   	       2        0        0        0        2
40916 libpqxx-2.6.9ldbl                  	       2        0        0        0        2
40917 libpqxx-6.2                        	       2        0        0        0        2
40918 libpqxx-7.10                       	       2        0        0        0        2
40919 libprefork-perl                    	       2        0        2        0        0
40920 libprelude2                        	       2        0        2        0        0
40921 libpri1.4                          	       2        0        2        0        0
40922 libprismatic-schema-clojure        	       2        0        0        0        2
40923 libprobe-perl-perl                 	       2        0        2        0        0
40924 libprocess-cpp3                    	       2        0        0        0        2
40925 libprocessing-core-java            	       2        0        0        0        2
40926 libprocps-dev                      	       2        0        2        0        0
40927 libprojectm-qt1v5                  	       2        0        0        0        2
40928 libprojectm2                       	       2        0        0        0        2
40929 libproperties-cpp-dev              	       2        0        2        0        0
40930 libprotobuf-java-format-java       	       2        0        0        0        2
40931 libprotobuf-lite9                  	       2        0        0        0        2
40932 libprotoc10                        	       2        0        0        0        2
40933 libprotozero-dev                   	       2        0        0        0        2
40934 libpt2.10.10                       	       2        0        2        0        0
40935 libpt2.10.4                        	       2        0        2        0        0
40936 libpthreadpool-dev                 	       2        0        2        0        0
40937 libptscotch-6.1                    	       2        0        0        0        2
40938 libpulse-ocaml-dev                 	       2        0        2        0        0
40939 libpurelibc1                       	       2        0        0        0        2
40940 libpurify-dev                      	       2        0        2        0        0
40941 libpurify2.0                       	       2        0        0        0        2
40942 libpwquality-dev                   	       2        0        2        0        0
40943 libpyside6-py3-6.7                 	       2        1        0        1        0
40944 libpython3.13-dbg                  	       2        0        1        1        0
40945 libpython3.13-testsuite            	       2        0        0        2        0
40946 libpython3.6                       	       2        0        0        0        2
40947 libpythonize0-trinity              	       2        0        0        0        2
40948 libqalculate-doc                   	       2        0        0        0        2
40949 libqalculate22t64                  	       2        0        0        0        2
40950 libqbscore1.24                     	       2        0        0        0        2
40951 libqcoro5core0                     	       2        0        0        0        2
40952 libqcoro5dbus0                     	       2        0        0        0        2
40953 libqcoro5network0                  	       2        0        0        0        2
40954 libqcoro5qml0                      	       2        0        0        0        2
40955 libqcow-dev                        	       2        0        2        0        0
40956 libqcow1t64                        	       2        0        0        0        2
40957 libqcustomplot1.2                  	       2        0        0        0        2
40958 libqdbm14t64                       	       2        0        0        0        2
40959 libqfits-dev                       	       2        0        2        0        0
40960 libqgis-3d3.34.2                   	       2        0        0        0        2
40961 libqgis-analysis2.18.28            	       2        0        0        0        2
40962 libqgis-analysis3.34.2             	       2        0        0        0        2
40963 libqgis-app2.18.28                 	       2        0        0        0        2
40964 libqgis-app3.34.2                  	       2        0        0        0        2
40965 libqgis-core2.18.28                	       2        0        0        0        2
40966 libqgis-core3.10.13                	       2        0        0        0        2
40967 libqgis-core3.34.2                 	       2        0        0        0        2
40968 libqgis-gui2.18.28                 	       2        0        0        0        2
40969 libqgis-gui3.34.2                  	       2        0        0        0        2
40970 libqgis-native3.10.13              	       2        0        0        0        2
40971 libqgis-native3.34.2               	       2        0        0        0        2
40972 libqgis-networkanalysis2.18.28     	       2        0        0        0        2
40973 libqgis-server2.18.28              	       2        0        0        0        2
40974 libqgis-server3.34.2               	       2        0        0        0        2
40975 libqgispython2.18.28               	       2        0        0        0        2
40976 libqgispython3.34.2                	       2        0        0        0        2
40977 libqm-dsp0t64                      	       2        0        0        0        2
40978 libqoauth2                         	       2        0        0        0        2
40979 libqpid-proton11-dev               	       2        0        2        0        0
40980 libqpx-dev                         	       2        0        2        0        0
40981 libqqwing-dev                      	       2        0        0        0        2
40982 libqscintilla2-11                  	       2        0        0        0        2
40983 libqsopt-ex2t64                    	       2        0        0        0        2
40984 libqt0-ruby-trinity                	       2        0        2        0        0
40985 libqt3-mt-sqlite                   	       2        0        2        0        0
40986 libqt4-assistant                   	       2        0        0        0        2
40987 libqt4pas5                         	       2        0        0        0        2
40988 libqt5gui5-gles                    	       2        0        0        0        2
40989 libqt5organizer5                   	       2        0        0        0        2
40990 libqt5serialbus5-bin               	       2        0        2        0        0
40991 libqt5versit5a                     	       2        0        0        0        2
40992 libqt5versitorganizer5a            	       2        0        0        0        2
40993 libqt63dquickanimation6            	       2        0        0        0        2
40994 libqt63dquickinput6                	       2        0        0        0        2
40995 libqt63dquickrender6               	       2        0        0        0        2
40996 libqt6concurrent6t64               	       2        0        0        0        2
40997 libqt6datavisualizationqml6        	       2        0        0        0        2
40998 libqt6httpserver6                  	       2        0        0        0        2
40999 libqt6pas6-dev                     	       2        0        0        0        2
41000 libqt6quick3deffects6              	       2        0        0        0        2
41001 libqt6quick3dglslparser6           	       2        0        0        0        2
41002 libqt6quick3dhelpers6              	       2        0        0        0        2
41003 libqt6quick3diblbaker6             	       2        0        0        0        2
41004 libqt6quick3dparticleeffects6      	       2        0        0        0        2
41005 libqt6quick3dphysicshelpers6       	       2        0        0        0        2
41006 libqt6remoteobjects6-bin           	       2        0        0        2        0
41007 libqt6remoteobjectsqml6            	       2        0        0        0        2
41008 libqt6scxml6-bin                   	       2        0        0        2        0
41009 libqt6scxmlqml6                    	       2        0        0        0        2
41010 libqt6sensorsquick6                	       2        0        0        0        2
41011 libqt6sql6t64                      	       2        0        0        0        2
41012 libqt6xdg-dev                      	       2        0        1        1        0
41013 libqt6xdgiconloader-dev            	       2        0        1        1        0
41014 libqtermwidget5-1-dev              	       2        0        2        0        0
41015 libqtglib-2.0-0                    	       2        1        0        0        1
41016 libqtgstreamer-0.10-0              	       2        0        0        0        2
41017 libqtgstreamerutils-0.10-0         	       2        0        0        0        2
41018 libquazip-doc                      	       2        0        0        0        2
41019 libquazip1-qt5-dev                 	       2        0        1        1        0
41020 libquazip1-qt6-1                   	       2        0        0        0        2
41021 libquazip5-headers                 	       2        0        2        0        0
41022 libquorum-dev                      	       2        0        2        0        0
41023 libquota-perl                      	       2        0        2        0        0
41024 libquotient-dev                    	       2        0        2        0        0
41025 libquotientqt6-0.8                 	       2        0        0        0        2
41026 libqwtplot3d-qt5-0                 	       2        0        0        0        2
41027 libr-dev                           	       2        0        2        0        0
41028 libradiusclient-ng2                	       2        0        0        0        2
41029 librandombytes1                    	       2        1        0        0        1
41030 libraptor2-doc                     	       2        0        0        0        2
41031 libraspberrypi0                    	       2        0        2        0        0
41032 librasqal2                         	       2        0        0        0        2
41033 libratbag-tools                    	       2        0        0        0        2
41034 libraw-doc                         	       2        0        0        0        2
41035 librcpputils1d                     	       2        0        0        0        2
41036 librcutils1d                       	       2        0        0        0        2
41037 librdf-ns-curated-perl             	       2        0        2        0        0
41038 librdf-prefixes-perl               	       2        0        2        0        0
41039 librdf-query-client-perl           	       2        0        2        0        0
41040 librdfa-java                       	       2        0        0        0        2
41041 librdkafka++1                      	       2        0        0        0        2
41042 librdkafka-dev                     	       2        0        2        0        0
41043 libre-dev                          	       2        0        2        0        0
41044 libre2-8                           	       2        0        0        0        2
41045 libreact-ocaml-dev                 	       2        0        2        0        0
41046 librecast-dev                      	       2        0        2        0        0
41047 libregexp-common-net-cidr-perl     	       2        0        2        0        0
41048 libregexp-reggrp-perl              	       2        0        2        0        0
41049 librelaxngcc-java                  	       2        0        0        0        2
41050 libreoffice-avmedia-backend-vlc    	       2        0        2        0        0
41051 libreoffice-help-dz                	       2        0        0        0        2
41052 libreoffice-help-gl                	       2        0        0        0        2
41053 libreoffice-help-hi                	       2        0        0        0        2
41054 libreoffice-help-km                	       2        0        0        0        2
41055 libreoffice-help-pt                	       2        0        0        0        2
41056 libreoffice-help-pt-br             	       2        0        0        0        2
41057 libreoffice-help-sl                	       2        0        0        0        2
41058 libreoffice-l10n-ar                	       2        0        2        0        0
41059 libreoffice-l10n-ast               	       2        0        2        0        0
41060 libreoffice-l10n-bn                	       2        0        2        0        0
41061 libreoffice-l10n-bs                	       2        0        2        0        0
41062 libreoffice-l10n-cy                	       2        0        2        0        0
41063 libreoffice-l10n-fa                	       2        0        2        0        0
41064 libreoffice-l10n-ga                	       2        0        2        0        0
41065 libreoffice-l10n-gu                	       2        0        2        0        0
41066 libreoffice-l10n-is                	       2        0        2        0        0
41067 libreoffice-l10n-ka                	       2        0        2        0        0
41068 libreoffice-l10n-lt                	       2        0        2        0        0
41069 libreoffice-l10n-lv                	       2        0        2        0        0
41070 libreoffice-l10n-mk                	       2        0        2        0        0
41071 libreoffice-l10n-ml                	       2        0        2        0        0
41072 libreoffice-l10n-mr                	       2        0        2        0        0
41073 libreoffice-l10n-ne                	       2        0        2        0        0
41074 libreoffice-l10n-om                	       2        0        2        0        0
41075 libreoffice-l10n-pa-in             	       2        0        2        0        0
41076 libreoffice-l10n-si                	       2        0        2        0        0
41077 libreoffice-l10n-sr                	       2        0        2        0        0
41078 libreoffice-l10n-ta                	       2        0        2        0        0
41079 libreoffice-l10n-te                	       2        0        2        0        0
41080 libreoffice-l10n-th                	       2        0        2        0        0
41081 libreoffice-l10n-ug                	       2        0        2        0        0
41082 libreoffice-l10n-xh                	       2        0        2        0        0
41083 libreoffice-smoketest-data         	       2        0        1        1        0
41084 libreoffice-style-hicontrast       	       2        0        1        0        1
41085 libreoffice24.8-de                 	       2        0        0        0        2
41086 libreoffice24.8-dict-de            	       2        0        0        0        2
41087 libreoffice24.8-fr                 	       2        0        0        0        2
41088 libreoffice25.2                    	       2        0        0        0        2
41089 libreoffice25.2-base               	       2        0        0        0        2
41090 libreoffice25.2-calc               	       2        0        0        0        2
41091 libreoffice25.2-debian-menus       	       2        1        1        0        0
41092 libreoffice25.2-dict-en            	       2        0        0        0        2
41093 libreoffice25.2-dict-es            	       2        0        0        0        2
41094 libreoffice25.2-dict-fr            	       2        0        0        0        2
41095 libreoffice25.2-draw               	       2        0        0        0        2
41096 libreoffice25.2-en-us              	       2        0        0        0        2
41097 libreoffice25.2-impress            	       2        0        0        0        2
41098 libreoffice25.2-math               	       2        0        0        0        2
41099 libreoffice25.2-ure                	       2        0        0        0        2
41100 libreoffice25.2-writer             	       2        0        0        0        2
41101 libreoffice5.1-debian-menus        	       2        1        1        0        0
41102 libreoffice6.0                     	       2        0        0        0        2
41103 libreoffice6.0-base                	       2        0        0        0        2
41104 libreoffice6.0-calc                	       2        0        0        0        2
41105 libreoffice6.0-dict-en             	       2        0        0        0        2
41106 libreoffice6.0-dict-es             	       2        0        0        0        2
41107 libreoffice6.0-dict-fr             	       2        0        0        0        2
41108 libreoffice6.0-draw                	       2        0        0        0        2
41109 libreoffice6.0-en-us               	       2        0        0        0        2
41110 libreoffice6.0-impress             	       2        0        0        0        2
41111 libreoffice6.0-math                	       2        0        0        0        2
41112 libreoffice6.0-writer              	       2        0        0        0        2
41113 libreoffice6.2                     	       2        0        0        0        2
41114 libreoffice6.2-base                	       2        0        0        0        2
41115 libreoffice6.2-calc                	       2        0        0        0        2
41116 libreoffice6.2-debian-menus        	       2        0        2        0        0
41117 libreoffice6.2-dict-en             	       2        0        0        0        2
41118 libreoffice6.2-dict-es             	       2        0        0        0        2
41119 libreoffice6.2-dict-fr             	       2        0        0        0        2
41120 libreoffice6.2-draw                	       2        0        0        0        2
41121 libreoffice6.2-en-us               	       2        0        0        0        2
41122 libreoffice6.2-impress             	       2        0        0        0        2
41123 libreoffice6.2-math                	       2        0        0        0        2
41124 libreoffice6.2-ure                 	       2        0        0        0        2
41125 libreoffice6.2-writer              	       2        0        0        0        2
41126 libreoffice6.3-dict-es             	       2        0        0        0        2
41127 libreoffice6.3-dict-fr             	       2        0        0        0        2
41128 libreoffice7.1                     	       2        0        0        0        2
41129 libreoffice7.1-base                	       2        0        0        0        2
41130 libreoffice7.1-calc                	       2        0        0        0        2
41131 libreoffice7.1-debian-menus        	       2        0        2        0        0
41132 libreoffice7.1-dict-en             	       2        0        0        0        2
41133 libreoffice7.1-dict-es             	       2        0        0        0        2
41134 libreoffice7.1-dict-fr             	       2        0        0        0        2
41135 libreoffice7.1-draw                	       2        0        0        0        2
41136 libreoffice7.1-en-us               	       2        0        0        0        2
41137 libreoffice7.1-impress             	       2        0        0        0        2
41138 libreoffice7.1-math                	       2        0        0        0        2
41139 libreoffice7.1-ure                 	       2        0        0        0        2
41140 libreoffice7.1-writer              	       2        0        0        0        2
41141 libreplaygain-dev                  	       2        0        2        0        0
41142 librest-dev                        	       2        0        2        0        0
41143 libriemann-client-dev              	       2        0        2        0        0
41144 librime-data-cangjie5              	       2        0        0        0        2
41145 librime-data-luna-pinyin           	       2        0        0        0        2
41146 librime-data-stroke                	       2        0        0        0        2
41147 librime-data-terra-pinyin          	       2        0        0        0        2
41148 libring-codec-clojure              	       2        0        0        0        2
41149 libring-core-clojure               	       2        0        0        0        2
41150 librlog5                           	       2        0        0        0        2
41151 libroken19t64-heimdal              	       2        0        0        0        2
41152 libroscpp3d                        	       2        0        0        0        2
41153 librose-object-perl                	       2        0        2        0        0
41154 librose-uri-perl                   	       2        0        2        0        0
41155 libroslib0d                        	       2        0        0        0        2
41156 libroutermanager0                  	       2        0        0        0        2
41157 librpm-dev                         	       2        0        2        0        0
41158 librrd-ruby1.9.1                   	       2        0        0        0        2
41159 librsb-dev                         	       2        0        2        0        0
41160 librsb0                            	       2        0        0        0        2
41161 librsplib3                         	       2        0        0        0        2
41162 librte-acl23                       	       2        0        0        0        2
41163 librte-baseband-acc23              	       2        0        0        0        2
41164 librte-baseband-fpga-5gnr-fec23    	       2        0        0        0        2
41165 librte-baseband-fpga-lte-fec23     	       2        0        0        0        2
41166 librte-baseband-la12xx23           	       2        0        0        0        2
41167 librte-baseband-null23             	       2        0        0        0        2
41168 librte-baseband-turbo-sw23         	       2        0        0        0        2
41169 librte-bbdev23                     	       2        0        0        0        2
41170 librte-bitratestats23              	       2        0        0        0        2
41171 librte-bpf23                       	       2        0        0        0        2
41172 librte-bus-auxiliary23             	       2        0        0        0        2
41173 librte-bus-dpaa23                  	       2        0        0        0        2
41174 librte-bus-fslmc23                 	       2        0        0        0        2
41175 librte-bus-ifpga23                 	       2        0        0        0        2
41176 librte-bus-pci23                   	       2        0        0        0        2
41177 librte-bus-vdev23                  	       2        0        0        0        2
41178 librte-bus-vmbus23                 	       2        0        0        0        2
41179 librte-cfgfile23                   	       2        0        0        0        2
41180 librte-cmdline23                   	       2        0        0        0        2
41181 librte-common-cnxk23               	       2        0        0        0        2
41182 librte-common-cpt23                	       2        0        0        0        2
41183 librte-common-dpaax23              	       2        0        0        0        2
41184 librte-common-iavf23               	       2        0        0        0        2
41185 librte-common-idpf23               	       2        0        0        0        2
41186 librte-common-mlx5-23              	       2        0        0        0        2
41187 librte-common-octeontx23           	       2        0        0        0        2
41188 librte-common-qat23                	       2        0        0        0        2
41189 librte-common-sfc-efx23            	       2        0        0        0        2
41190 librte-compress-isal23             	       2        0        0        0        2
41191 librte-compress-mlx5-23            	       2        0        0        0        2
41192 librte-compress-octeontx23         	       2        0        0        0        2
41193 librte-compress-zlib23             	       2        0        0        0        2
41194 librte-compressdev23               	       2        0        0        0        2
41195 librte-crypto-bcmfs23              	       2        0        0        0        2
41196 librte-crypto-caam-jr23            	       2        0        0        0        2
41197 librte-crypto-ccp23                	       2        0        0        0        2
41198 librte-crypto-cnxk23               	       2        0        0        0        2
41199 librte-crypto-dpaa-sec23           	       2        0        0        0        2
41200 librte-crypto-dpaa2-sec23          	       2        0        0        0        2
41201 librte-crypto-ipsec-mb23           	       2        0        0        0        2
41202 librte-crypto-mlx5-23              	       2        0        0        0        2
41203 librte-crypto-nitrox23             	       2        0        0        0        2
41204 librte-crypto-null23               	       2        0        0        0        2
41205 librte-crypto-octeontx23           	       2        0        0        0        2
41206 librte-crypto-openssl23            	       2        0        0        0        2
41207 librte-crypto-scheduler23          	       2        0        0        0        2
41208 librte-crypto-virtio23             	       2        0        0        0        2
41209 librte-cryptodev23                 	       2        0        0        0        2
41210 librte-distributor23               	       2        0        0        0        2
41211 librte-dma-cnxk23                  	       2        0        0        0        2
41212 librte-dma-dpaa2-23                	       2        0        0        0        2
41213 librte-dma-dpaa23                  	       2        0        0        0        2
41214 librte-dma-hisilicon23             	       2        0        0        0        2
41215 librte-dma-idxd23                  	       2        0        0        0        2
41216 librte-dma-ioat23                  	       2        0        0        0        2
41217 librte-dma-skeleton23              	       2        0        0        0        2
41218 librte-dmadev23                    	       2        0        0        0        2
41219 librte-efd23                       	       2        0        0        0        2
41220 librte-event-cnxk23                	       2        0        0        0        2
41221 librte-event-dlb2-23               	       2        0        0        0        2
41222 librte-event-dpaa2-23              	       2        0        0        0        2
41223 librte-event-dpaa23                	       2        0        0        0        2
41224 librte-event-dsw23                 	       2        0        0        0        2
41225 librte-event-octeontx23            	       2        0        0        0        2
41226 librte-event-opdl23                	       2        0        0        0        2
41227 librte-event-skeleton23            	       2        0        0        0        2
41228 librte-event-sw23                  	       2        0        0        0        2
41229 librte-eventdev23                  	       2        0        0        0        2
41230 librte-fib23                       	       2        0        0        0        2
41231 librte-gpudev23                    	       2        0        0        0        2
41232 librte-graph23                     	       2        0        0        0        2
41233 librte-gro23                       	       2        0        0        0        2
41234 librte-gso23                       	       2        0        0        0        2
41235 librte-hash23                      	       2        0        0        0        2
41236 librte-ip-frag23                   	       2        0        0        0        2
41237 librte-ipsec23                     	       2        0        0        0        2
41238 librte-jobstats23                  	       2        0        0        0        2
41239 librte-latencystats23              	       2        0        0        0        2
41240 librte-lpm23                       	       2        0        0        0        2
41241 librte-member23                    	       2        0        0        0        2
41242 librte-mempool-bucket23            	       2        0        0        0        2
41243 librte-mempool-cnxk23              	       2        0        0        0        2
41244 librte-mempool-dpaa2-23            	       2        0        0        0        2
41245 librte-mempool-dpaa23              	       2        0        0        0        2
41246 librte-mempool-octeontx23          	       2        0        0        0        2
41247 librte-mempool-ring23              	       2        0        0        0        2
41248 librte-mempool-stack23             	       2        0        0        0        2
41249 librte-meta-all                    	       2        0        0        0        2
41250 librte-meta-allpmds                	       2        0        0        0        2
41251 librte-meta-baseband               	       2        0        0        0        2
41252 librte-meta-bus                    	       2        0        0        0        2
41253 librte-meta-common                 	       2        0        0        0        2
41254 librte-meta-compress               	       2        0        0        0        2
41255 librte-meta-crypto                 	       2        0        0        0        2
41256 librte-meta-dma                    	       2        0        0        0        2
41257 librte-meta-event                  	       2        0        0        0        2
41258 librte-meta-mempool                	       2        0        0        0        2
41259 librte-meta-net                    	       2        0        0        0        2
41260 librte-meta-raw                    	       2        0        0        0        2
41261 librte-metrics23                   	       2        0        0        0        2
41262 librte-net-af-packet23             	       2        0        0        0        2
41263 librte-net-af-xdp23                	       2        0        0        0        2
41264 librte-net-ark23                   	       2        0        0        0        2
41265 librte-net-atlantic23              	       2        0        0        0        2
41266 librte-net-avp23                   	       2        0        0        0        2
41267 librte-net-axgbe23                 	       2        0        0        0        2
41268 librte-net-bnx2x23                 	       2        0        0        0        2
41269 librte-net-bnxt23                  	       2        0        0        0        2
41270 librte-net-bond23                  	       2        0        0        0        2
41271 librte-net-cnxk23                  	       2        0        0        0        2
41272 librte-net-cxgbe23                 	       2        0        0        0        2
41273 librte-net-dpaa2-23                	       2        0        0        0        2
41274 librte-net-dpaa23                  	       2        0        0        0        2
41275 librte-net-e1000-23                	       2        0        0        0        2
41276 librte-net-ena23                   	       2        0        0        0        2
41277 librte-net-enetc23                 	       2        0        0        0        2
41278 librte-net-enetfec23               	       2        0        0        0        2
41279 librte-net-enic23                  	       2        0        0        0        2
41280 librte-net-failsafe23              	       2        0        0        0        2
41281 librte-net-fm10k23                 	       2        0        0        0        2
41282 librte-net-gve23                   	       2        0        0        0        2
41283 librte-net-hinic23                 	       2        0        0        0        2
41284 librte-net-hns3-23                 	       2        0        0        0        2
41285 librte-net-i40e23                  	       2        0        0        0        2
41286 librte-net-iavf23                  	       2        0        0        0        2
41287 librte-net-ice23                   	       2        0        0        0        2
41288 librte-net-idpf23                  	       2        0        0        0        2
41289 librte-net-igc23                   	       2        0        0        0        2
41290 librte-net-ionic23                 	       2        0        0        0        2
41291 librte-net-ipn3ke23                	       2        0        0        0        2
41292 librte-net-ixgbe23                 	       2        0        0        0        2
41293 librte-net-liquidio23              	       2        0        0        0        2
41294 librte-net-memif23                 	       2        0        0        0        2
41295 librte-net-mlx4-23                 	       2        0        0        0        2
41296 librte-net-mlx5-23                 	       2        0        0        0        2
41297 librte-net-netvsc23                	       2        0        0        0        2
41298 librte-net-nfp23                   	       2        0        0        0        2
41299 librte-net-ngbe23                  	       2        0        0        0        2
41300 librte-net-null23                  	       2        0        0        0        2
41301 librte-net-octeon-ep23             	       2        0        0        0        2
41302 librte-net-octeontx23              	       2        0        0        0        2
41303 librte-net-pcap23                  	       2        0        0        0        2
41304 librte-net-pfe23                   	       2        0        0        0        2
41305 librte-net-qede23                  	       2        0        0        0        2
41306 librte-net-ring23                  	       2        0        0        0        2
41307 librte-net-sfc23                   	       2        0        0        0        2
41308 librte-net-softnic23               	       2        0        0        0        2
41309 librte-net-tap23                   	       2        0        0        0        2
41310 librte-net-thunderx23              	       2        0        0        0        2
41311 librte-net-txgbe23                 	       2        0        0        0        2
41312 librte-net-vdev-netvsc23           	       2        0        0        0        2
41313 librte-net-vhost23                 	       2        0        0        0        2
41314 librte-net-virtio23                	       2        0        0        0        2
41315 librte-net-vmxnet3-23              	       2        0        0        0        2
41316 librte-node23                      	       2        0        0        0        2
41317 librte-pci23                       	       2        0        0        0        2
41318 librte-pdump23                     	       2        0        0        0        2
41319 librte-pipeline23                  	       2        0        0        0        2
41320 librte-port23                      	       2        0        0        0        2
41321 librte-power23                     	       2        0        0        0        2
41322 librte-raw-cnxk-bphy23             	       2        0        0        0        2
41323 librte-raw-cnxk-gpio23             	       2        0        0        0        2
41324 librte-raw-dpaa2-cmdif23           	       2        0        0        0        2
41325 librte-raw-ifpga23                 	       2        0        0        0        2
41326 librte-raw-ntb23                   	       2        0        0        0        2
41327 librte-raw-skeleton23              	       2        0        0        0        2
41328 librte-rawdev23                    	       2        0        0        0        2
41329 librte-rcu23                       	       2        0        0        0        2
41330 librte-regex-cn9k23                	       2        0        0        0        2
41331 librte-regex-mlx5-23               	       2        0        0        0        2
41332 librte-regexdev23                  	       2        0        0        0        2
41333 librte-reorder23                   	       2        0        0        0        2
41334 librte-rib23                       	       2        0        0        0        2
41335 librte-sched23                     	       2        0        0        0        2
41336 librte-security23                  	       2        0        0        0        2
41337 librte-stack23                     	       2        0        0        0        2
41338 librte-table23                     	       2        0        0        0        2
41339 librte-timer23                     	       2        0        0        0        2
41340 librte-vdpa-ifc23                  	       2        0        0        0        2
41341 librte-vdpa-mlx5-23                	       2        0        0        0        2
41342 librte-vdpa-sfc23                  	       2        0        0        0        2
41343 librte-vhost23                     	       2        0        0        0        2
41344 librtmidi2                         	       2        0        0        0        2
41345 libruby1.9.1-dbg                   	       2        0        2        0        0
41346 libruby2.2                         	       2        0        2        0        0
41347 librun-parts-perl                  	       2        0        2        0        0
41348 librust-allocator-api2-dev         	       2        0        0        0        2
41349 librust-anstream-dev               	       2        0        0        0        2
41350 librust-anstyle-dev                	       2        0        0        0        2
41351 librust-anstyle-parse-dev          	       2        0        0        0        2
41352 librust-anstyle-query-dev          	       2        0        0        0        2
41353 librust-approx-dev                 	       2        0        0        0        2
41354 librust-arrayvec-dev               	       2        0        0        0        2
41355 librust-atk-sys-dev                	       2        0        2        0        0
41356 librust-atomic-dev                 	       2        0        0        0        2
41357 librust-bit-vec-dev                	       2        0        0        0        2
41358 librust-blobby-dev                 	       2        0        0        0        2
41359 librust-block-buffer-dev           	       2        0        0        0        2
41360 librust-bytecheck-derive-dev       	       2        0        0        0        2
41361 librust-bytecheck-dev              	       2        0        0        0        2
41362 librust-cairo-rs+use-glib-dev      	       2        0        0        0        2
41363 librust-cairo-sys-rs+use-glib-dev  	       2        0        0        0        2
41364 librust-chrono-dev                 	       2        0        0        0        2
41365 librust-cmake-dev                  	       2        0        0        0        2
41366 librust-colorchoice-dev            	       2        0        0        0        2
41367 librust-compiler-builtins+core-dev 	       2        0        0        0        2
41368 librust-compiler-builtins+rustc-dep-of-std-dev	       2        0        0        0        2
41369 librust-const-cstr-dev             	       2        0        0        0        2
41370 librust-cpufeatures-dev            	       2        0        0        0        2
41371 librust-critical-section-dev       	       2        0        0        0        2
41372 librust-crypto-common-dev          	       2        0        0        0        2
41373 librust-digest-dev                 	       2        0        0        0        2
41374 librust-encoding-rs-dev            	       2        0        0        0        2
41375 librust-env-filter-dev             	       2        0        0        0        2
41376 librust-equivalent-dev             	       2        0        0        0        2
41377 librust-float-ord-dev              	       2        0        0        0        2
41378 librust-font-kit-dev               	       2        0        0        0        2
41379 librust-foreign-types-0.3-dev      	       2        0        0        0        2
41380 librust-foreign-types-shared-0.1-dev	       2        0        0        0        2
41381 librust-freetype-rs-dev            	       2        0        0        0        2
41382 librust-gdk-sys-dev                	       2        0        2        0        0
41383 librust-generic-array-dev          	       2        0        0        0        2
41384 librust-iana-time-zone-dev         	       2        0        0        0        2
41385 librust-js-sys-dev                 	       2        0        0        0        2
41386 librust-libm-dev                   	       2        0        0        0        2
41387 librust-libsqlite3-sys-dev         	       2        0        1        1        0
41388 librust-libudev-sys-dev            	       2        0        0        0        2
41389 librust-libz-sys-dev               	       2        0        0        0        2
41390 librust-md-5-dev                   	       2        0        0        0        2
41391 librust-md5-asm-dev                	       2        0        0        0        2
41392 librust-nix-dev                    	       2        0        0        0        2
41393 librust-no-panic-dev               	       2        0        0        0        2
41394 librust-num-integer-dev            	       2        0        0        0        2
41395 librust-num-traits-dev             	       2        0        0        0        2
41396 librust-openssl-dev                	       2        0        0        0        2
41397 librust-openssl-macros-dev         	       2        0        0        0        2
41398 librust-pathfinder-geometry-dev    	       2        0        0        0        2
41399 librust-pathfinder-simd-dev        	       2        0        0        0        2
41400 librust-percent-encoding-dev       	       2        0        0        0        2
41401 librust-phf+std-dev                	       2        0        0        0        2
41402 librust-phf-dev                    	       2        0        0        0        2
41403 librust-phf-shared-dev             	       2        0        0        0        2
41404 librust-portable-atomic-dev        	       2        0        0        0        2
41405 librust-ptr-meta-derive-dev        	       2        0        0        0        2
41406 librust-ptr-meta-dev               	       2        0        0        0        2
41407 librust-regex-automata-dev         	       2        0        0        0        2
41408 librust-rend-dev                   	       2        0        0        0        2
41409 librust-rkyv-derive-dev            	       2        0        0        0        2
41410 librust-rkyv-dev                   	       2        0        0        0        2
41411 librust-same-file-dev              	       2        0        0        0        2
41412 librust-seahash-dev                	       2        0        0        0        2
41413 librust-serde-test-dev             	       2        0        0        0        2
41414 librust-sha1-asm-dev               	       2        0        0        0        2
41415 librust-sha1-dev                   	       2        0        0        0        2
41416 librust-sha2-asm-dev               	       2        0        0        0        2
41417 librust-sha2-dev                   	       2        0        0        0        2
41418 librust-simdutf8-dev               	       2        0        0        0        2
41419 librust-siphasher-dev              	       2        0        0        0        2
41420 librust-slog-dev                   	       2        0        0        0        2
41421 librust-static-assertions-dev      	       2        0        0        0        2
41422 librust-subtle-dev                 	       2        0        0        0        2
41423 librust-sval-buffer-dev            	       2        0        0        0        2
41424 librust-sval-derive-dev            	       2        0        0        0        2
41425 librust-sval-dynamic-dev           	       2        0        0        0        2
41426 librust-sval-fmt-dev               	       2        0        0        0        2
41427 librust-sval-ref-dev               	       2        0        0        0        2
41428 librust-sval-serde-dev             	       2        0        0        0        2
41429 librust-tinyvec+tinyvec-macros-dev 	       2        0        0        0        2
41430 librust-tinyvec-dev                	       2        0        0        0        2
41431 librust-tinyvec-macros-dev         	       2        0        0        0        2
41432 librust-typenum-dev                	       2        0        0        0        2
41433 librust-unicode-bidi-dev           	       2        0        0        0        2
41434 librust-unicode-normalization-dev  	       2        0        0        0        2
41435 librust-utf8parse-dev              	       2        0        0        0        2
41436 librust-uuid-dev                   	       2        0        0        0        2
41437 librust-value-bag-serde1-dev       	       2        0        0        0        2
41438 librust-value-bag-sval2-dev        	       2        0        0        0        2
41439 librust-walkdir-dev                	       2        0        0        0        2
41440 librust-wasm-bindgen-backend-dev   	       2        0        0        0        2
41441 librust-wasm-bindgen-dev           	       2        0        0        0        2
41442 librust-wasm-bindgen-macro-dev     	       2        0        0        0        2
41443 librust-wasm-bindgen-macro-support-dev	       2        0        0        0        2
41444 librust-wasm-bindgen-shared-dev    	       2        0        0        0        2
41445 librust-xml-rs-dev                 	       2        0        0        0        2
41446 librust-yeslogic-fontconfig-sys-dev	       2        0        0        0        2
41447 librust-zerocopy-derive-dev        	       2        0        0        0        2
41448 librust-zerocopy-dev               	       2        0        0        0        2
41449 librust-zeroize-derive-dev         	       2        0        0        0        2
41450 librust-zeroize-dev                	       2        0        0        0        2
41451 librygel-renderer-gst-2.4-2        	       2        0        0        0        2
41452 librygel-ruih-2.0-1                	       2        0        0        0        2
41453 librygel-ruih-2.8-0                	       2        0        0        0        2
41454 libsaamf3                          	       2        0        0        0        2
41455 libsackpt3                         	       2        0        0        0        2
41456 libsaclm3                          	       2        0        0        0        2
41457 libsaevt3                          	       2        0        0        0        2
41458 libsage2                           	       2        0        0        0        2
41459 libsail-common0t64                 	       2        0        0        0        2
41460 libsalck3                          	       2        0        0        0        2
41461 libsam-dev                         	       2        0        2        0        0
41462 libsam4                            	       2        0        0        0        2
41463 libsamsg4                          	       2        0        0        0        2
41464 libsane-perl                       	       2        0        0        0        2
41465 libsasl2-modules-otp               	       2        0        0        0        2
41466 libsatmr3                          	       2        0        0        0        2
41467 libsavitar5t64                     	       2        0        0        0        2
41468 libsaxon-java-doc                  	       2        0        0        0        2
41469 libsaxonb-java                     	       2        0        2        0        0
41470 libsbsms-dev                       	       2        0        2        0        0
41471 libscamperfile0                    	       2        0        0        0        2
41472 libschedule-at-perl                	       2        0        2        0        0
41473 libscitokens0                      	       2        1        1        0        0
41474 libscotch-6.0                      	       2        0        0        0        2
41475 libsdbus-c++1                      	       2        0        0        0        2
41476 libsdbus-c++2                      	       2        0        0        0        2
41477 libsdl-gst                         	       2        0        2        0        0
41478 libsdl-image-gst                   	       2        0        0        0        2
41479 libsdl-kitchensink-dev             	       2        0        2        0        0
41480 libsdl-mixer-gst                   	       2        0        0        0        2
41481 libsdl1.2-compat-dev               	       2        0        2        0        0
41482 libsdp0                            	       2        0        0        0        2
41483 libsdsl3                           	       2        0        0        0        2
41484 libsearch-elasticsearch-perl       	       2        0        2        0        0
41485 libsearpc1t64                      	       2        0        0        0        2
41486 libsecondstring-java               	       2        0        0        0        2
41487 libseed-gtk3-0                     	       2        0        2        0        0
41488 libservlet2.3-java                 	       2        0        0        0        2
41489 libsetools-tcl                     	       2        0        0        0        2
41490 libsfcgal2                         	       2        0        0        0        2
41491 libsfml-audio2.4                   	       2        0        0        0        2
41492 libsfml-doc                        	       2        0        2        0        0
41493 libsfml-graphics2.4                	       2        0        0        0        2
41494 libsfml-system2.4                  	       2        0        0        0        2
41495 libsfml-window2.4                  	       2        0        0        0        2
41496 libsgml-parser-opensp-perl         	       2        0        0        0        2
41497 libsgutils2-dev                    	       2        0        2        0        0
41498 libshairplay-dev                   	       2        0        2        0        0
41499 libshairport-dev                   	       2        0        2        0        0
41500 libshiboken-py3-1.2v5              	       2        0        0        0        2
41501 libshiboken6-py3-6.7               	       2        1        0        1        0
41502 libshout-idjc-dev                  	       2        0        2        0        0
41503 libshout-tools                     	       2        0        2        0        0
41504 libshp1                            	       2        0        0        0        2
41505 libsidplayfp-dev                   	       2        0        2        0        0
41506 libsignatures-java                 	       2        0        0        0        2
41507 libsignon-glib-dev                 	       2        0        2        0        0
41508 libsignon-glib1                    	       2        0        0        0        2
41509 libsigsegv-dev                     	       2        0        2        0        0
41510 libsilc-1.1-2                      	       2        0        0        0        2
41511 libsilcclient-1.1-3                	       2        0        0        0        2
41512 libsilly-dev                       	       2        0        2        0        0
41513 libsilo-dev                        	       2        0        2        0        0
41514 libsiloh5-0                        	       2        0        0        0        2
41515 libsimage-dev                      	       2        0        2        0        0
41516 libsimage20                        	       2        0        0        0        2
41517 libsimavr-examples                 	       2        0        0        0        2
41518 libsimgearcore3.0.0                	       2        0        0        0        2
41519 libsingular4m4n1                   	       2        0        0        0        2
41520 libsisimai-perl                    	       2        0        2        0        0
41521 libskarnet2.14t64                  	       2        0        0        0        2
41522 libskk-common                      	       2        0        0        0        2
41523 libskk0                            	       2        0        0        0        2
41524 libslang2-pic                      	       2        0        1        0        1
41525 libslepc-real3.18                  	       2        0        0        0        2
41526 libsmbios-bin                      	       2        0        2        0        0
41527 libsmf0t64                         	       2        0        0        0        2
41528 libsmi2-dev                        	       2        0        2        0        0
41529 libsmlnj-smlnj                     	       2        0        0        0        2
41530 libsmltk0                          	       2        0        0        0        2
41531 libsmokephonon3                    	       2        0        0        0        2
41532 libsms-send-perl                   	       2        0        2        0        0
41533 libsnapd-qt1                       	       2        0        0        0        2
41534 libsnmp-mib-compiler-perl          	       2        0        2        0        0
41535 libsopt-dev                        	       2        0        2        0        0
41536 libsoup-3.0-doc                    	       2        0        0        0        2
41537 libsource-highlight-dev            	       2        1        1        0        0
41538 libsox1b                           	       2        0        0        0        2
41539 libsparsehash-dev                  	       2        0        2        0        0
41540 libspice-client-gtk-3.0-dev        	       2        0        2        0        0
41541 libsplashy1                        	       2        0        0        0        2
41542 libspreadsheet-parsexlsx-perl      	       2        0        2        0        0
41543 libspreadsheet-read-perl           	       2        0        2        0        0
41544 libspreadsheet-readsxc-perl        	       2        0        2        0        0
41545 libspring-oxm-java                 	       2        0        0        0        2
41546 libspring-web-java                 	       2        0        0        0        2
41547 libsql-abstract-pg-perl            	       2        0        2        0        0
41548 libsqlite-tcl                      	       2        0        2        0        0
41549 libsqlite3-mod-impexp              	       2        0        0        0        2
41550 libsquashfs-dev                    	       2        0        2        0        0
41551 libsquashfuse-dev                  	       2        0        1        1        0
41552 libsquirrel3-0                     	       2        0        0        0        2
41553 libsru-perl                        	       2        0        2        0        0
41554 libss7-2.0                         	       2        0        2        0        0
41555 libssh-doc                         	       2        0        2        0        0
41556 libssl1.0-dev                      	       2        0        2        0        0
41557 libstarlink-ast-dev                	       2        0        2        0        0
41558 libstarlink-ast-grf3d9             	       2        0        0        0        2
41559 libstarlink-pal-dev                	       2        0        2        0        0
41560 libstarlink-pal-doc                	       2        0        0        0        2
41561 libstatgrab9                       	       2        0        0        0        2
41562 libstd-rust-mozilla-1.63           	       2        0        0        0        2
41563 libstdc++-10-dev-i386-cross        	       2        0        2        0        0
41564 libstdc++-12-dev-armel-cross       	       2        0        2        0        0
41565 libstdc++-12-dev-mips-cross        	       2        0        2        0        0
41566 libstdc++-12-dev-mipsel-cross      	       2        0        2        0        0
41567 libstdc++-14-doc                   	       2        0        0        0        2
41568 libstdc++-5-dev                    	       2        0        2        0        0
41569 libstdc++-6-doc                    	       2        0        0        0        2
41570 libstdc++-8-dev-arm64-cross        	       2        0        2        0        0
41571 libstdc++-8-dev-armhf-cross        	       2        0        2        0        0
41572 libstdc++-8-dev-i386-cross         	       2        0        2        0        0
41573 libstfl-dev                        	       2        0        2        0        0
41574 libstk-dev                         	       2        0        2        0        0
41575 libstonithd26                      	       2        0        2        0        0
41576 libstorm9                          	       2        0        0        0        2
41577 libstreams0v5                      	       2        0        0        0        2
41578 libstring-expand-perl              	       2        0        2        0        0
41579 libstroke0-dev                     	       2        0        2        0        0
41580 libsub-info-perl                   	       2        0        2        0        0
41581 libsub-prototype-perl              	       2        0        0        0        2
41582 libsuitesparse-3.1.0               	       2        0        0        0        2
41583 libsuperlu-dist6                   	       2        0        0        0        2
41584 libsvg-graph-ruby1.9.1             	       2        0        0        0        2
41585 libsweble-common-java              	       2        0        0        0        2
41586 libsweble-wikitext-java            	       2        0        0        0        2
41587 libswingx1-java                    	       2        0        0        0        2
41588 libsword-utils                     	       2        0        2        0        0
41589 libsx0                             	       2        0        0        0        2
41590 libsylph1t64                       	       2        0        0        0        2
41591 libsynce0                          	       2        0        0        0        2
41592 libsyntax-highlight-engine-kate-perl	       2        0        2        0        0
41593 libsynthesis0v5                    	       2        0        0        0        2
41594 libt1-doc                          	       2        0        0        0        2
41595 libtango9                          	       2        0        0        0        2
41596 libtaoframework-openal1.1-cil      	       2        0        2        0        0
41597 libtap-formatter-junit-perl        	       2        0        2        0        0
41598 libtcd0                            	       2        0        0        0        2
41599 libtclap-dev                       	       2        0        2        0        0
41600 libtclcl1-dev                      	       2        0        2        0        0
41601 libtcltk-ruby1.9.1                 	       2        0        2        0        0
41602 libtdebluez-trinity                	       2        0        0        0        2
41603 libtdeldap-trinity                 	       2        0        0        0        2
41604 libtdeobex-trinity                 	       2        0        0        0        2
41605 libtelepathy-qt4-2                 	       2        1        0        0        1
41606 libtelnet-dev                      	       2        0        2        0        0
41607 libtemplate-perl-doc               	       2        0        0        0        2
41608 libtemplate-plugin-dbi-perl        	       2        0        2        0        0
41609 libtemplate-plugin-htmltotext-perl 	       2        0        2        0        0
41610 libtemplate-plugin-json-escape-perl	       2        0        2        0        0
41611 libtemplate-plugin-stash-perl      	       2        0        2        0        0
41612 libtermbox-dev                     	       2        0        2        0        0
41613 libtermbox1                        	       2        0        0        0        2
41614 libterralib-dev                    	       2        0        2        0        0
41615 libtess2-tulip-5.4                 	       2        0        0        0        2
41616 libtest-base-perl                  	       2        0        2        0        0
41617 libtest-block-perl                 	       2        0        2        0        0
41618 libtest-class-most-perl            	       2        0        2        0        0
41619 libtest-class-perl                 	       2        0        2        0        0
41620 libtest-classapi-perl              	       2        0        2        0        0
41621 libtest-command-perl               	       2        0        2        0        0
41622 libtest-cpan-meta-perl             	       2        0        2        0        0
41623 libtest-cpan-meta-yaml-perl        	       2        0        2        0        0
41624 libtest-dbix-class-perl            	       2        0        2        0        0
41625 libtest-deep-fuzzy-perl            	       2        0        2        0        0
41626 libtest-file-perl                  	       2        0        2        0        0
41627 libtest-filename-perl              	       2        0        2        0        0
41628 libtest-files-perl                 	       2        0        2        0        0
41629 libtest-harness-perl               	       2        0        2        0        0
41630 libtest-inline-perl                	       2        0        2        0        0
41631 libtest-log-dispatch-perl          	       2        0        2        0        0
41632 libtest-memory-cycle-perl          	       2        0        2        0        0
41633 libtest-mock-guard-perl            	       2        0        2        0        0
41634 libtest-mocktime-datecalc-perl     	       2        0        2        0        0
41635 libtest-more-utf8-perl             	       2        0        2        0        0
41636 libtest-number-delta-perl          	       2        0        2        0        0
41637 libtest-perl-critic-perl           	       2        0        2        0        0
41638 libtest-pod-content-perl           	       2        0        2        0        0
41639 libtest-pod-no404s-perl            	       2        0        2        0        0
41640 libtest-spelling-perl              	       2        0        2        0        0
41641 libtest-synopsis-perl              	       2        0        2        0        0
41642 libtest-utf8-perl                  	       2        0        2        0        0
41643 libtest-www-mechanize-catalyst-perl	       2        0        2        0        0
41644 libtest-yaml-perl                  	       2        0        2        0        0
41645 libtexluajit-dev                   	       2        0        2        0        0
41646 libtext-aspell-perl                	       2        0        0        0        2
41647 libtext-csv-encoded-perl           	       2        0        2        0        0
41648 libtext-hunspell-perl              	       2        0        0        0        2
41649 libtext-multimarkdown-perl         	       2        0        2        0        0
41650 libtext-textile-perl               	       2        0        2        0        0
41651 libtext-vcard-perl                 	       2        0        2        0        0
41652 libtf1d                            	       2        0        0        0        2
41653 libtf2-2d                          	       2        0        0        0        2
41654 libtf2-dev                         	       2        0        2        0        0
41655 libtf2-geometry-msgs-dev           	       2        0        2        0        0
41656 libtf2-msgs-dev                    	       2        0        2        0        0
41657 libtf2-ros-dev                     	       2        0        2        0        0
41658 libtf2-ros1d                       	       2        0        0        0        2
41659 libtgowt-dev                       	       2        0        2        0        0
41660 libtgvoip-dev                      	       2        0        2        0        0
41661 libthai-doc                        	       2        0        0        0        2
41662 libtheschwartz-perl                	       2        0        2        0        0
41663 libthreeten-extra-java             	       2        0        0        0        2
41664 libthrift-java                     	       2        0        0        0        2
41665 libthunarx-3-dev                   	       2        0        2        0        0
41666 libtie-array-sorted-perl           	       2        0        2        0        0
41667 libtie-cache-perl                  	       2        1        1        0        0
41668 libtiff4-dev                       	       2        0        2        0        0
41669 libtimblserver4                    	       2        0        0        0        2
41670 libtime-fake-perl                  	       2        0        2        0        0
41671 libtimingframework-java            	       2        0        0        0        2
41672 libtingea0                         	       2        0        0        0        2
41673 libtinyxml2-11                     	       2        0        0        1        1
41674 libtitanium-json-ld-java           	       2        0        0        0        2
41675 libtls28t64                        	       2        0        0        0        2
41676 libtogl1                           	       2        0        0        0        2
41677 libtokyotyrant-dev                 	       2        0        2        0        0
41678 libtonezone2.0                     	       2        0        2        0        0
41679 libtools-reader-clojure            	       2        0        0        0        2
41680 libtopcom0t64                      	       2        0        0        0        2
41681 libtotem-plparser-dev              	       2        0        2        0        0
41682 libtqt3-compat-headers             	       2        0        2        0        0
41683 libtqt3-i18n                       	       2        0        0        0        2
41684 libtqtinterface-dbg                	       2        0        2        0        0
41685 libtracker-sparql-3.0-dev          	       2        0        2        0        0
41686 libtrampoline1                     	       2        0        0        0        2
41687 libtrilinos-aztecoo-dev            	       2        0        2        0        0
41688 libtrilinos-ml-dev                 	       2        0        2        0        0
41689 libtrilinos-trilinosss-dev         	       2        0        2        0        0
41690 libtrilinos-zoltan-dev             	       2        0        2        0        0
41691 libtritonus-java                   	       2        0        0        0        2
41692 libtritonus-jni                    	       2        0        2        0        0
41693 libtrove-intellij-java             	       2        0        0        0        2
41694 libtry-tiny-byclass-perl           	       2        0        2        0        0
41695 libtsan0-ppc64-cross               	       2        0        0        0        2
41696 libtsan2-riscv64-cross             	       2        0        0        0        2
41697 libtsk-dev                         	       2        0        2        0        0
41698 libtss2-fapi1t64                   	       2        0        2        0        0
41699 libttf2                            	       2        0        0        0        2
41700 libttfautohint1t64                 	       2        0        0        0        2
41701 libtulip-core-5.4                  	       2        0        0        0        2
41702 libtulip-gui-5.4                   	       2        0        0        0        2
41703 libtulip-ogdf-5.4                  	       2        0        0        0        2
41704 libtulip-ogl-5.4                   	       2        0        0        0        2
41705 libtulip-python-5.4                	       2        0        0        0        2
41706 libtypesafe-config-java            	       2        0        0        0        2
41707 libubsan0-arm64-cross              	       2        0        0        0        2
41708 libubsan1-riscv64-cross            	       2        0        0        0        2
41709 libucommon8t64                     	       2        1        0        0        1
41710 libuconv-ruby1.9.1                 	       2        0        0        0        2
41711 libuemf0                           	       2        0        0        0        2
41712 libuev3                            	       2        0        0        0        2
41713 libuhd3.13.1                       	       2        0        0        0        2
41714 libui-dialog-perl                  	       2        0        2        0        0
41715 libui-utilcpp9v5                   	       2        0        2        0        0
41716 libuid-wrapper                     	       2        0        0        0        2
41717 libukui-gsettings0                 	       2        0        0        0        2
41718 libukui-print0                     	       2        0        0        0        2
41719 libunarr-dev                       	       2        0        2        0        0
41720 libungif4-dev                      	       2        0        0        0        2
41721 libunivalue-dev                    	       2        0        2        0        0
41722 libunsafe-fences-java              	       2        0        0        0        2
41723 libunwind-13                       	       2        0        2        0        0
41724 libunwind7                         	       2        0        0        0        2
41725 libupnp-doc                        	       2        0        0        0        2
41726 libupse2                           	       2        0        0        0        2
41727 liburcu2                           	       2        0        0        0        2
41728 liburi-db-perl                     	       2        0        2        0        0
41729 liburi-namespacemap-perl           	       2        0        2        0        0
41730 liburi-nested-perl                 	       2        0        2        0        0
41731 libusbgx2                          	       2        0        0        0        2
41732 libutf8-locale0                    	       2        0        0        0        2
41733 libuu-dev                          	       2        0        2        0        0
41734 libuuid1-dbgsym                    	       2        0        2        0        0
41735 libva-amdgpu-wayland2              	       2        0        0        0        2
41736 libvamp-sdk2t64                    	       2        0        0        0        2
41737 libvamsas-client-java              	       2        0        0        0        2
41738 libvavr0-java                      	       2        0        0        0        2
41739 libvc-dev                          	       2        0        2        0        0
41740 libvdpau1-amdgpu                   	       2        0        1        0        1
41741 libventrilo3-0                     	       2        0        0        0        2
41742 libvhdi-dev                        	       2        0        2        0        0
41743 libvhdi-utils                      	       2        0        2        0        0
41744 libvideo-capture-v4l-perl          	       2        0        0        0        2
41745 libvidstab0.9                      	       2        0        0        0        2
41746 libview2                           	       2        0        0        0        2
41747 libvigraimpex-dev                  	       2        0        2        0        0
41748 libvigraimpex4                     	       2        0        0        0        2
41749 libvirt-sandbox-1.0-5              	       2        0        0        0        2
41750 libvistaio14                       	       2        0        0        0        2
41751 libvm-ec2-perl                     	       2        0        2        0        0
41752 libvncserver-config                	       2        0        2        0        0
41753 libvo-aacenc-dev                   	       2        0        2        0        0
41754 libvolk1-bin                       	       2        0        2        0        0
41755 libvolk1-dev                       	       2        0        2        0        0
41756 libvolk1.3                         	       2        0        0        0        2
41757 libvolk3.2                         	       2        0        0        0        2
41758 libvorbis-ocaml                    	       2        0        2        0        0
41759 libvorbis-ocaml-dev                	       2        0        2        0        0
41760 libvotequorum-dev                  	       2        0        2        0        0
41761 libvpx3                            	       2        0        0        0        2
41762 libvsqlitepp-dev                   	       2        0        0        0        2
41763 libvtk7.1p-qt                      	       2        0        0        0        2
41764 libwadseeker2                      	       2        0        0        0        2
41765 libwaei2                           	       2        0        0        0        2
41766 libwaffle-dev                      	       2        0        2        0        0
41767 libwagon-java-doc                  	       2        0        0        0        2
41768 libwagon2-java                     	       2        0        0        0        2
41769 libwbxml2-0                        	       2        0        0        0        2
41770 libwcstools-dev                    	       2        0        2        0        0
41771 libwcstools1t64                    	       2        0        0        0        2
41772 libwebkitgtk-doc                   	       2        0        0        0        2
41773 libwebm-tools                      	       2        1        1        0        0
41774 libwebservice-ils-perl             	       2        0        2        0        0
41775 libwebservice-youtube-perl         	       2        0        2        0        0
41776 libwget2                           	       2        0        0        0        2
41777 libwget3                           	       2        0        0        0        2
41778 libwikidata-toolkit-java           	       2        0        0        0        2
41779 libwildmidi-dev                    	       2        0        2        0        0
41780 libwim15t64                        	       2        0        0        0        2
41781 libwind0t64-heimdal                	       2        0        0        0        2
41782 libwinpr-asn1-0.1                  	       2        0        0        0        2
41783 libwinpr-bcrypt0.1                 	       2        0        0        0        2
41784 libwinpr-credentials0.1            	       2        0        0        0        2
41785 libwinpr-credui0.1                 	       2        0        0        0        2
41786 libwinpr-dev                       	       2        0        2        0        0
41787 libwinpr-io0.1                     	       2        0        0        0        2
41788 libwinpr-pipe0.1                   	       2        0        0        0        2
41789 libwinpr-sspicli0.1                	       2        0        0        0        2
41790 libwinpr-timezone0.1               	       2        0        0        0        2
41791 libwinpr-winhttp0.1                	       2        0        0        0        2
41792 libwinpr-winsock0.1                	       2        0        0        0        2
41793 libwirble-ruby1.9.1                	       2        0        0        0        2
41794 libwireshark17t64                  	       2        0        0        0        2
41795 libwireshark2                      	       2        0        2        0        0
41796 libwiretap14t64                    	       2        0        0        0        2
41797 libwiretap2                        	       2        0        0        0        2
41798 libwiretap3                        	       2        0        0        0        2
41799 libwlroots11                       	       2        0        0        0        2
41800 libwlroots6                        	       2        0        1        0        1
41801 libwmf-doc                         	       2        0        0        0        2
41802 libwolfssl42t64                    	       2        0        0        0        2
41803 libwpa-client-dev                  	       2        0        2        0        0
41804 libwpd-doc                         	       2        0        0        0        2
41805 libwps-tools                       	       2        0        2        0        0
41806 libwreport3                        	       2        0        0        0        2
41807 libwriter2latex-java-doc           	       2        0        0        0        2
41808 libwsutil15t64                     	       2        0        0        0        2
41809 libwsutil2                         	       2        0        0        0        2
41810 libwsutil3                         	       2        0        0        0        2
41811 libwutil2                          	       2        1        0        0        1
41812 libwv-dev                          	       2        0        2        0        0
41813 libwww-curl-simple-perl            	       2        0        2        0        0
41814 libwww-mechanize-formfiller-perl   	       2        0        2        0        0
41815 libwww-mechanize-treebuilder-perl  	       2        0        2        0        0
41816 libwww-oauth-perl                  	       2        0        2        0        0
41817 libwxbase3.0-0-unofficial          	       2        0        0        0        2
41818 libwxgtk3.0-0-unofficial           	       2        0        0        0        2
41819 libwxsmithlib0t64                  	       2        0        0        0        2
41820 libx264-130                        	       2        0        0        0        2
41821 libx264-165                        	       2        1        0        0        1
41822 libx265-130                        	       2        0        0        0        2
41823 libx265-146                        	       2        0        0        0        2
41824 libx265-179                        	       2        0        1        0        1
41825 libx265-31                         	       2        0        0        0        2
41826 libx32gcc-12-dev-i386-cross        	       2        0        0        0        2
41827 libx32gfortran-11-dev              	       2        0        0        0        2
41828 libx32stdc++-4.9-dev               	       2        0        2        0        0
41829 libx32stdc++-6-dev                 	       2        0        2        0        0
41830 libxapp-dev                        	       2        0        2        0        0
41831 libxaw3dxft6t64                    	       2        0        0        0        2
41832 libxaw6                            	       2        0        0        0        2
41833 libxbase2.0-0                      	       2        0        0        0        2
41834 libxbase2.0-dev                    	       2        0        2        0        0
41835 libxbase64-1                       	       2        0        0        0        2
41836 libxbase64-dev                     	       2        0        2        0        0
41837 libxbase64-doc                     	       2        0        0        0        2
41838 libxc9                             	       2        0        0        0        2
41839 libxcb-imdkit0                     	       2        0        0        0        2
41840 libxcompext3                       	       2        0        0        0        2
41841 libxdp-dev                         	       2        0        2        0        0
41842 libxerces2-java-gcj                	       2        0        2        0        0
41843 libxerial-sqlite-jdbc-java         	       2        0        0        0        2
41844 libxerial-sqlite-jdbc-jni          	       2        0        0        0        2
41845 libxfce4mcs-client3                	       2        0        0        0        2
41846 libxfce4mcs-manager3               	       2        0        0        0        2
41847 libxfce4panel-2.0-4-dbgsym         	       2        0        2        0        0
41848 libxfce4ui-2-0-dbgsym              	       2        0        2        0        0
41849 libxfce4ui-utils-dbgsym            	       2        0        2        0        0
41850 libxfcegui4-dev                    	       2        0        2        0        0
41851 libxine1-gnome                     	       2        0        2        0        0
41852 libxine2-all-plugins               	       2        0        0        0        2
41853 libxineliboutput-sxfe              	       2        0        2        0        0
41854 libxkbcommon-doc                   	       2        0        0        0        2
41855 libxkbui1                          	       2        0        0        0        2
41856 libxklavier12                      	       2        0        0        0        2
41857 libxmhtml-dev                      	       2        0        2        0        0
41858 libxmhtml1.1t64                    	       2        0        0        0        2
41859 libxml-checker-perl                	       2        0        2        0        0
41860 libxml-dt-perl                     	       2        0        2        0        0
41861 libxml-dtdparser-perl              	       2        0        2        0        0
41862 libxml-feedpp-perl                 	       2        0        2        0        0
41863 libxml-parser-lite-perl            	       2        0        2        0        0
41864 libxml-security-c20                	       2        0        0        0        2
41865 libxml-tidy-perl                   	       2        0        2        0        0
41866 libxml-writer-simple-perl          	       2        0        2        0        0
41867 libxml-writer-string-perl          	       2        0        2        0        0
41868 libxmlada-dom4.5.2015              	       2        0        0        0        2
41869 libxmlada-dom7                     	       2        0        0        0        2
41870 libxmlada-input-sources4.5.2015    	       2        0        0        0        2
41871 libxmlada-sax4.5.2015              	       2        0        0        0        2
41872 libxmlada-schema4.5.2015           	       2        0        0        0        2
41873 libxmlada-unicode4.5.2015          	       2        0        0        0        2
41874 libxmlrpc-c++8v5                   	       2        0        0        0        2
41875 libxmlrpc-epi0t64                  	       2        1        0        0        1
41876 libxmlsec1t64-gcrypt               	       2        0        0        0        2
41877 libxmlsec1t64-gnutls               	       2        0        0        0        2
41878 libxmmsclient++4v5                 	       2        0        0        0        2
41879 libxneur                           	       2        1        0        0        1
41880 libxrdcl3                          	       2        0        0        0        2
41881 libxrdcrypto2                      	       2        0        0        0        2
41882 libxrdcryptolite2                  	       2        0        0        0        2
41883 libxrdesktop-0.15-0                	       2        0        0        0        2
41884 libxrdffs3                         	       2        0        0        0        2
41885 libxrdposix3                       	       2        0        0        0        2
41886 libxrdutils3                       	       2        0        0        0        2
41887 libxrdxml3                         	       2        0        0        0        2
41888 libxtc-rats-java                   	       2        0        0        0        2
41889 libxul-common                      	       2        0        2        0        0
41890 libyajl1                           	       2        0        0        0        2
41891 libyaml-cpp0.3                     	       2        0        0        0        2
41892 libyaml-snake-java-doc             	       2        0        0        0        2
41893 libyang1                           	       2        0        1        0        1
41894 libyang2-tools                     	       2        0        2        0        0
41895 libyaz-dev                         	       2        0        2        0        0
41896 libykpers-1-dev                    	       2        0        2        0        0
41897 libyojson-ocaml                    	       2        0        2        0        0
41898 libyojson-ocaml-dev                	       2        0        2        0        0
41899 libyubihsm-usb2                    	       2        0        0        0        2
41900 libyubikey-dev                     	       2        0        2        0        0
41901 libz80ex-dev                       	       2        0        2        0        0
41902 libz80ex1                          	       2        0        0        0        2
41903 libzadc4                           	       2        0        0        0        2
41904 libzarith-ocaml-dev                	       2        0        2        0        0
41905 libzc6                             	       2        0        0        0        2
41906 libze1                             	       2        0        0        0        2
41907 libzeitgeist-2.0-dev               	       2        0        2        0        0
41908 libzeitgeist0.8-cil                	       2        0        0        0        2
41909 libzfp1t64                         	       2        0        0        0        2
41910 libzfs2linux                       	       2        0        0        0        2
41911 libzfs5-devel                      	       2        0        2        0        0
41912 libzfs6linux                       	       2        1        0        0        1
41913 libzint-dev                        	       2        0        1        1        0
41914 libzint2.13                        	       2        0        0        0        2
41915 libzita-convolver-dev              	       2        0        2        0        0
41916 libzix-dev                         	       2        0        2        0        0
41917 libzmq-ffi-perl                    	       2        0        2        0        0
41918 libzmq1                            	       2        0        0        0        2
41919 libzopfli-dev                      	       2        0        2        0        0
41920 libzpool6linux                     	       2        0        0        0        2
41921 libzrtpcpp-1.4-0                   	       2        0        0        0        2
41922 libzrtpcpp2                        	       2        0        0        0        2
41923 libzscanner2                       	       2        0        0        0        2
41924 libzscanner4t64                    	       2        0        2        0        0
41925 libzulucrypt-exe-dev               	       2        0        2        0        0
41926 libzulucryptpluginmanager-dev      	       2        0        2        0        0
41927 libzypp-bin                        	       2        0        2        0        0
41928 libzypp-common                     	       2        0        0        0        2
41929 libzypp-config                     	       2        0        0        0        2
41930 libzzip-0-12                       	       2        0        0        0        2
41931 lifelines                          	       2        0        2        0        0
41932 lightspark-common                  	       2        0        2        0        0
41933 lighttpd-mod-authn-gssapi          	       2        0        2        0        0
41934 likwid                             	       2        0        2        0        0
41935 lilo-doc                           	       2        0        0        0        2
41936 lilypond-doc-html-es               	       2        0        0        0        2
41937 lilypond-doc-html-fr               	       2        0        0        0        2
41938 lilypond-doc-html-nl               	       2        0        0        0        2
41939 lilypond-doc-pdf-de                	       2        0        0        0        2
41940 lilypond-doc-pdf-nl                	       2        0        0        0        2
41941 lilyterm                           	       2        1        1        0        0
41942 linsmith                           	       2        0        2        0        0
41943 linux-buildinfo-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
41944 linux-config-5.16                  	       2        0        0        0        2
41945 linux-doc-5.15                     	       2        0        0        0        2
41946 linux-doc-6.7                      	       2        0        0        0        2
41947 linux-dtb-current-sunxi64          	       2        0        0        0        2
41948 linux-dwarf-pack                   	       2        0        2        0        0
41949 linux-firewire-utils               	       2        0        2        0        0
41950 linux-firmware                     	       2        0        2        0        0
41951 linux-headers-3.16.0-4-686-pae     	       2        0        2        0        0
41952 linux-headers-3.16.0-7-amd64       	       2        0        2        0        0
41953 linux-headers-3.16.0-7-common      	       2        0        2        0        0
41954 linux-headers-3.18.91-vs2.3.7.5-beng	       2        0        2        0        0
41955 linux-headers-4.19.0-0.bpo.6-amd64 	       2        0        2        0        0
41956 linux-headers-4.19.0-0.bpo.6-common	       2        0        2        0        0
41957 linux-headers-4.19.0-0.bpo.8-common	       2        1        1        0        0
41958 linux-headers-4.19.0-0.bpo.9-amd64 	       2        1        1        0        0
41959 linux-headers-4.19.0-0.bpo.9-common	       2        1        1        0        0
41960 linux-headers-4.19.0-10-common     	       2        0        1        0        1
41961 linux-headers-4.19.0-12-amd64      	       2        0        2        0        0
41962 linux-headers-4.19.0-13-amd64      	       2        0        2        0        0
41963 linux-headers-4.19.0-5-amd64       	       2        0        2        0        0
41964 linux-headers-4.19.0-5-common      	       2        0        2        0        0
41965 linux-headers-4.7.0-0.bpo.1-amd64  	       2        0        2        0        0
41966 linux-headers-4.7.0-0.bpo.1-common 	       2        0        2        0        0
41967 linux-headers-4.8.0-0.bpo.2-amd64  	       2        0        2        0        0
41968 linux-headers-4.9.0-0.bpo.5-amd64  	       2        0        2        0        0
41969 linux-headers-4.9.0-0.bpo.5-common 	       2        0        2        0        0
41970 linux-headers-4.9.0-11-all         	       2        0        0        0        2
41971 linux-headers-4.9.0-11-all-amd64   	       2        0        0        0        2
41972 linux-headers-4.9.0-11-common-rt   	       2        0        2        0        0
41973 linux-headers-4.9.0-11-rt-amd64    	       2        0        2        0        0
41974 linux-headers-4.9.0-4-amd64        	       2        0        2        0        0
41975 linux-headers-4.9.0-4-common       	       2        0        2        0        0
41976 linux-headers-4.9.0-8-all-amd64    	       2        0        0        0        2
41977 linux-headers-4.9.0-8-common-rt    	       2        0        2        0        0
41978 linux-headers-4.9.0-8-rt-amd64     	       2        0        2        0        0
41979 linux-headers-5.10.0-0.bpo.12-amd64	       2        0        2        0        0
41980 linux-headers-5.10.0-0.bpo.12-common	       2        0        2        0        0
41981 linux-headers-5.10.0-0.bpo.5-amd64 	       2        0        2        0        0
41982 linux-headers-5.10.0-0.bpo.7-amd64 	       2        0        2        0        0
41983 linux-headers-5.10.0-0.deb10.16-common	       2        0        2        0        0
41984 linux-headers-5.10.0-0.deb10.17-amd64	       2        0        2        0        0
41985 linux-headers-5.10.0-0.deb10.17-common	       2        0        2        0        0
41986 linux-headers-5.10.0-0.deb10.19-amd64	       2        0        2        0        0
41987 linux-headers-5.10.0-0.deb10.19-common	       2        0        2        0        0
41988 linux-headers-5.10.0-30-686-pae    	       2        0        2        0        0
41989 linux-headers-5.10.0-33-686-pae    	       2        0        2        0        0
41990 linux-headers-5.10.0-6-amd64       	       2        0        2        0        0
41991 linux-headers-5.14.0-0.bpo.2-amd64 	       2        0        2        0        0
41992 linux-headers-5.14.0-0.bpo.2-common	       2        0        2        0        0
41993 linux-headers-5.15.0-0.bpo.2-common	       2        0        2        0        0
41994 linux-headers-5.15.0-2-common      	       2        0        2        0        0
41995 linux-headers-5.16.0-5-common      	       2        0        2        0        0
41996 linux-headers-5.16.0-6-amd64       	       2        0        2        0        0
41997 linux-headers-5.16.0-6-common      	       2        0        2        0        0
41998 linux-headers-5.18.0-0.bpo.1-amd64 	       2        0        2        0        0
41999 linux-headers-5.18.0-0.deb11.4-amd64	       2        0        2        0        0
42000 linux-headers-5.18.0-0.deb11.4-common	       2        0        2        0        0
42001 linux-headers-5.19.0-0.deb11.2-amd64	       2        0        2        0        0
42002 linux-headers-5.19.0-0.deb11.2-common	       2        0        2        0        0
42003 linux-headers-5.4.0-4-amd64        	       2        0        2        0        0
42004 linux-headers-5.4.0-4-common       	       2        0        2        0        0
42005 linux-headers-5.7.0-0.bpo.2-common 	       2        0        2        0        0
42006 linux-headers-6.0.0-0.deb11.6-amd64	       2        0        2        0        0
42007 linux-headers-6.0.0-0.deb11.6-common	       2        0        2        0        0
42008 linux-headers-6.0.0-6-amd64        	       2        0        2        0        0
42009 linux-headers-6.0.0-6-common       	       2        0        2        0        0
42010 linux-headers-6.1.0-0.deb11.5-amd64	       2        0        2        0        0
42011 linux-headers-6.1.0-0.deb11.6-common	       2        0        2        0        0
42012 linux-headers-6.1.0-17-common-rt   	       2        0        2        0        0
42013 linux-headers-6.1.0-17-rt-amd64    	       2        0        2        0        0
42014 linux-headers-6.1.0-23-common-rt   	       2        0        2        0        0
42015 linux-headers-6.1.0-23-rt-amd64    	       2        0        2        0        0
42016 linux-headers-6.1.0-25-686-pae     	       2        0        2        0        0
42017 linux-headers-6.1.0-26-686-pae     	       2        0        2        0        0
42018 linux-headers-6.1.0-3-common       	       2        0        2        0        0
42019 linux-headers-6.1.0-31-686-pae     	       2        0        1        1        0
42020 linux-headers-6.1.0-5-amd64        	       2        0        2        0        0
42021 linux-headers-6.1.0-5-common       	       2        0        2        0        0
42022 linux-headers-6.10.7-common        	       2        0        2        0        0
42023 linux-headers-6.12.13-amd64        	       2        1        1        0        0
42024 linux-headers-6.12.13-common       	       2        1        1        0        0
42025 linux-headers-6.12.15-amd64        	       2        0        2        0        0
42026 linux-headers-6.12.15-common       	       2        0        2        0        0
42027 linux-headers-6.12.19-amd64        	       2        0        0        2        0
42028 linux-headers-6.12.19-common       	       2        0        0        2        0
42029 linux-headers-6.13.6-zabbly+       	       2        0        0        2        0
42030 linux-headers-6.3.0-2-amd64        	       2        0        2        0        0
42031 linux-headers-6.3.0-2-common       	       2        0        2        0        0
42032 linux-headers-6.4.0-0.deb12.2-amd64	       2        0        2        0        0
42033 linux-headers-6.4.0-0.deb12.2-common	       2        0        2        0        0
42034 linux-headers-6.5.0-0.deb12.1-amd64	       2        0        2        0        0
42035 linux-headers-6.5.0-0.deb12.1-common	       2        0        2        0        0
42036 linux-headers-6.5.0-5-common       	       2        0        2        0        0
42037 linux-headers-6.9.10-x64v3-xanmod1 	       2        0        2        0        0
42038 linux-headers-6.9.8-amd64          	       2        0        2        0        0
42039 linux-headers-6.9.8-common         	       2        0        2        0        0
42040 linux-headers-arm64                	       2        0        0        0        2
42041 linux-headers-vserver-3.18-beng    	       2        0        0        0        2
42042 linux-image-3.16.0-10-686-pae      	       2        0        2        0        0
42043 linux-image-3.16.0-11-686-pae      	       2        0        2        0        0
42044 linux-image-3.16.0-8-amd64         	       2        0        1        0        1
42045 linux-image-3.18.91-vs2.3.7.5-beng 	       2        0        2        0        0
42046 linux-image-3.2.0-4-686-pae        	       2        0        2        0        0
42047 linux-image-3.2.0-5-amd64          	       2        1        1        0        0
42048 linux-image-4.1.39-ck2-nooesxi     	       2        0        2        0        0
42049 linux-image-4.18.0-2-amd64         	       2        0        2        0        0
42050 linux-image-4.19.0-0.bpo.6-amd64   	       2        0        2        0        0
42051 linux-image-4.19.0-0.bpo.8-amd64   	       2        1        0        0        1
42052 linux-image-4.19.0-17-686          	       2        0        2        0        0
42053 linux-image-4.19.0-21-686-pae      	       2        0        2        0        0
42054 linux-image-4.19.0-23-686-pae      	       2        0        2        0        0
42055 linux-image-4.19.0-24-686          	       2        0        2        0        0
42056 linux-image-4.3.0-0.bpo.1-amd64    	       2        0        1        0        1
42057 linux-image-4.8.0-0.bpo.2-amd64    	       2        0        1        0        1
42058 linux-image-4.9.0-0.bpo.2-amd64    	       2        0        1        0        1
42059 linux-image-4.9.0-0.bpo.4-amd64    	       2        0        1        0        1
42060 linux-image-4.9.0-13-686           	       2        0        2        0        0
42061 linux-image-4.9.0-14-686-pae       	       2        0        2        0        0
42062 linux-image-4.9.0-16-686           	       2        0        2        0        0
42063 linux-image-4.9.0-17-686           	       2        0        2        0        0
42064 linux-image-486                    	       2        0        0        0        2
42065 linux-image-5.10.0-0.bpo.3-amd64   	       2        0        2        0        0
42066 linux-image-5.10.0-0.bpo.9-amd64   	       2        0        2        0        0
42067 linux-image-5.10.0-0.deb10.17-amd64	       2        0        2        0        0
42068 linux-image-5.10.0-0.deb10.19-amd64	       2        0        2        0        0
42069 linux-image-5.10.0-0.deb10.26-amd64	       2        0        2        0        0
42070 linux-image-5.10.0-0.deb10.30-amd64	       2        0        1        1        0
42071 linux-image-5.10.0-15-686          	       2        0        2        0        0
42072 linux-image-5.10.0-16-686          	       2        0        2        0        0
42073 linux-image-5.10.0-17-686          	       2        0        2        0        0
42074 linux-image-5.10.0-17-686-pae      	       2        0        2        0        0
42075 linux-image-5.10.0-18-686          	       2        0        2        0        0
42076 linux-image-5.10.0-2-amd64         	       2        0        2        0        0
42077 linux-image-5.10.0-20-686-pae      	       2        0        2        0        0
42078 linux-image-5.10.0-22-686          	       2        0        2        0        0
42079 linux-image-5.10.0-23-rt-amd64     	       2        0        2        0        0
42080 linux-image-5.10.0-24-686          	       2        0        2        0        0
42081 linux-image-5.10.0-25-686          	       2        0        2        0        0
42082 linux-image-5.10.0-26-686-pae      	       2        0        2        0        0
42083 linux-image-5.10.0-26-rt-amd64     	       2        0        2        0        0
42084 linux-image-5.10.0-27-686          	       2        0        2        0        0
42085 linux-image-5.10.0-28-686-pae      	       2        0        2        0        0
42086 linux-image-5.10.0-29-686          	       2        0        2        0        0
42087 linux-image-5.10.0-3-amd64         	       2        0        2        0        0
42088 linux-image-5.10.0-30-686          	       2        0        2        0        0
42089 linux-image-5.10.0-31-686-pae      	       2        0        2        0        0
42090 linux-image-5.10.0-33-rt-amd64     	       2        0        2        0        0
42091 linux-image-5.15.0-2-amd64         	       2        0        2        0        0
42092 linux-image-5.16.0-3-amd64         	       2        0        2        0        0
42093 linux-image-5.17.0-1-amd64         	       2        0        2        0        0
42094 linux-image-5.19.0-1-amd64         	       2        0        2        0        0
42095 linux-image-5.4.0-0.bpo.4-amd64-unsigned	       2        1        1        0        0
42096 linux-image-5.8.0-0.bpo.2-amd64    	       2        0        2        0        0
42097 linux-image-5.9.0-1-amd64          	       2        0        2        0        0
42098 linux-image-6.0.0-5-amd64          	       2        0        2        0        0
42099 linux-image-6.1.0-0.deb11.7-amd64-unsigned	       2        0        2        0        0
42100 linux-image-6.1.0-0.deb11.9-amd64  	       2        0        2        0        0
42101 linux-image-6.1.0-12-686           	       2        0        2        0        0
42102 linux-image-6.1.0-12-686-pae       	       2        0        2        0        0
42103 linux-image-6.1.0-13-rt-amd64      	       2        0        2        0        0
42104 linux-image-6.1.0-2-amd64          	       2        0        2        0        0
42105 linux-image-6.1.0-21-686-pae       	       2        0        2        0        0
42106 linux-image-6.1.0-21-rt-amd64      	       2        0        2        0        0
42107 linux-image-6.1.0-22-686-pae       	       2        0        2        0        0
42108 linux-image-6.1.0-22-cloud-amd64   	       2        0        2        0        0
42109 linux-image-6.1.0-23-arm64         	       2        0        2        0        0
42110 linux-image-6.1.0-23-rt-amd64      	       2        0        2        0        0
42111 linux-image-6.1.0-24-amd64         	       2        0        2        0        0
42112 linux-image-6.1.0-25-powerpc64le   	       2        0        2        0        0
42113 linux-image-6.1.0-26-rpi           	       2        0        2        0        0
42114 linux-image-6.1.0-26-rt-amd64      	       2        0        2        0        0
42115 linux-image-6.1.0-27-rpi           	       2        0        2        0        0
42116 linux-image-6.1.0-27-rt-amd64      	       2        0        2        0        0
42117 linux-image-6.1.0-28-arm64         	       2        0        2        0        0
42118 linux-image-6.1.0-28-armmp         	       2        0        2        0        0
42119 linux-image-6.1.0-28-cloud-amd64   	       2        0        2        0        0
42120 linux-image-6.1.0-28-rpi           	       2        0        2        0        0
42121 linux-image-6.1.0-30-armmp         	       2        0        2        0        0
42122 linux-image-6.1.0-31-armmp         	       2        0        2        0        0
42123 linux-image-6.1.0-31-cloud-amd64   	       2        1        0        1        0
42124 linux-image-6.1.0-32-arm64         	       2        0        0        2        0
42125 linux-image-6.1.0-4-amd64          	       2        0        2        0        0
42126 linux-image-6.10.11+bpo-rt-amd64   	       2        0        2        0        0
42127 linux-image-6.10.11-686            	       2        0        2        0        0
42128 linux-image-6.10.11-686-pae        	       2        0        2        0        0
42129 linux-image-6.11.0-rc5             	       2        0        0        0        2
42130 linux-image-6.12.0-rc6             	       2        0        1        0        1
42131 linux-image-6.12.10-amd64-unsigned 	       2        1        1        0        0
42132 linux-image-6.12.6-amd64-unsigned  	       2        1        0        0        1
42133 linux-image-6.13.6-zabbly+         	       2        0        0        2        0
42134 linux-image-6.3.0-1-amd64          	       2        0        2        0        0
42135 linux-image-6.3.0-2-amd64          	       2        0        2        0        0
42136 linux-image-6.4.0-0.deb12.2-amd64  	       2        0        2        0        0
42137 linux-image-6.4.0-1-amd64          	       2        0        2        0        0
42138 linux-image-6.4.0-2-amd64          	       2        0        2        0        0
42139 linux-image-6.6.0                  	       2        1        1        0        0
42140 linux-image-6.6.0-rc2              	       2        0        0        0        2
42141 linux-image-6.6.13+bpo-amd64-unsigned	       2        0        2        0        0
42142 linux-image-6.7.10                 	       2        0        0        0        2
42143 linux-image-6.7.11                 	       2        0        1        0        1
42144 linux-image-6.7.12+bpo-amd64       	       2        0        2        0        0
42145 linux-image-6.7.12-1-liquorix-amd64	       2        0        1        1        0
42146 linux-image-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42147 linux-image-6.9.0-rc6              	       2        0        2        0        0
42148 linux-image-6.9.10-x64v3-xanmod1   	       2        0        2        0        0
42149 linux-image-6.9.7+bpo-rt-amd64     	       2        0        2        0        0
42150 linux-image-6.9.9-amd64            	       2        0        2        0        0
42151 linux-image-amd64-dbg              	       2        0        0        0        2
42152 linux-image-armmp                  	       2        0        0        0        2
42153 linux-image-current-sunxi64        	       2        0        2        0        0
42154 linux-kbuild-4.14                  	       2        0        0        0        2
42155 linux-kbuild-4.7                   	       2        0        0        0        2
42156 linux-kbuild-5.6                   	       2        0        0        0        2
42157 linux-kbuild-6.12.13               	       2        0        0        0        2
42158 linux-kbuild-6.12.15               	       2        0        0        0        2
42159 linux-kbuild-6.12.19               	       2        0        0        0        2
42160 linux-kbuild-6.12.8                	       2        0        0        0        2
42161 linux-kbuild-6.4.0-0.deb12.2       	       2        0        0        0        2
42162 linux-kbuild-6.5.0-0.deb12.1       	       2        0        0        0        2
42163 linux-kbuild-6.5.0-5               	       2        0        0        0        2
42164 linux-kbuild-6.9.8                 	       2        0        0        0        2
42165 linux-libc-dev-powerpc-cross       	       2        0        2        0        0
42166 linux-libre                        	       2        0        0        0        2
42167 linux-manual-4.9                   	       2        0        0        0        2
42168 linux-modules-6.8.0-1021-nvidia-lowlatency	       2        0        2        0        0
42169 linux-modules-extra-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42170 linux-modules-nvidia-fs-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42171 linux-nvidia-lowlatency-headers-6.8.0-1021	       2        0        2        0        0
42172 linux-objects-nvidia-470-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42173 linux-objects-nvidia-470-server-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42174 linux-objects-nvidia-535-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42175 linux-objects-nvidia-535-open-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42176 linux-objects-nvidia-535-server-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42177 linux-objects-nvidia-535-server-open-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42178 linux-objects-nvidia-550-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42179 linux-objects-nvidia-550-open-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42180 linux-objects-nvidia-550-server-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42181 linux-objects-nvidia-550-server-open-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42182 linux-objects-nvidia-565-server-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42183 linux-objects-nvidia-565-server-open-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42184 linux-show-player                  	       2        0        2        0        0
42185 linux-signatures-nvidia-6.8.0-1021-nvidia-lowlatency	       2        0        0        0        2
42186 linux-source-3.18.91-vs2.3.7.5-beng	       2        0        0        0        2
42187 linux-source-4.16                  	       2        0        0        0        2
42188 linux-source-5.15                  	       2        0        0        0        2
42189 linux-source-6.5                   	       2        0        0        0        2
42190 linux-source-vserver-3.18-beng     	       2        0        0        0        2
42191 linux-support-5.10.0-11            	       2        0        2        0        0
42192 linux-support-6.1.0-12             	       2        0        2        0        0
42193 linux-support-6.1.0-23             	       2        0        2        0        0
42194 linux-support-6.1.0-25             	       2        0        2        0        0
42195 linux-support-6.10.11+bpo          	       2        0        2        0        0
42196 linux-support-6.11.10+bpo          	       2        0        2        0        0
42197 linux-support-6.4.0-0.deb12.2      	       2        0        2        0        0
42198 linux-tools-3.16                   	       2        0        2        0        0
42199 linux-tools-common                 	       2        0        2        0        0
42200 linux-uvc-tools                    	       2        0        2        0        0
42201 linux-xanmod-lts-x64v3             	       2        0        0        0        2
42202 linux-xanmod-rt-x64v3              	       2        0        0        0        2
42203 linuxbrew-wrapper                  	       2        0        2        0        0
42204 linuxcnc-doc-en                    	       2        0        0        0        2
42205 linuxcnc-uspace                    	       2        0        2        0        0
42206 linuxqq                            	       2        0        0        0        2
42207 linuxsampler-lv2                   	       2        0        2        0        0
42208 liquidsoap-mode                    	       2        0        2        0        0
42209 lisgd                              	       2        0        2        0        0
42210 littlewizard                       	       2        0        2        0        0
42211 littlewizard-data                  	       2        0        0        0        2
42212 live-clone                         	       2        0        2        0        0
42213 live-task-localisation-desktop     	       2        0        0        0        2
42214 live-task-non-free-firmware-server 	       2        0        0        0        2
42215 livescript                         	       2        0        2        0        0
42216 livestreamer                       	       2        0        2        0        0
42217 liwc                               	       2        0        2        0        0
42218 ll-scope                           	       2        0        2        0        0
42219 lld-17                             	       2        0        2        0        0
42220 lld-18                             	       2        0        2        0        0
42221 lldb-11                            	       2        0        2        0        0
42222 llgal                              	       2        0        2        0        0
42223 llm                                	       2        0        0        2        0
42224 llvm-13-doc                        	       2        0        0        0        2
42225 llvm-19-doc                        	       2        0        0        0        2
42226 llvm-20                            	       2        0        2        0        0
42227 llvm-20-dev                        	       2        0        2        0        0
42228 llvm-20-linker-tools               	       2        0        2        0        0
42229 llvm-20-runtime                    	       2        0        2        0        0
42230 llvm-20-tools                      	       2        0        2        0        0
42231 llvm-3.0                           	       2        0        2        0        0
42232 llvm-3.0-dev                       	       2        0        2        0        0
42233 llvm-3.0-runtime                   	       2        0        2        0        0
42234 llvm-3.5-doc                       	       2        0        2        0        0
42235 llvm-3.8-doc                       	       2        0        0        0        2
42236 llvm-8-dev                         	       2        0        2        0        0
42237 llvm-9-doc                         	       2        0        0        0        2
42238 llvm-spirv                         	       2        0        2        0        0
42239 llvm-spirv-15                      	       2        0        2        0        0
42240 load-dirs-common                   	       2        0        0        0        2
42241 logdigest                          	       2        1        1        0        0
42242 logstalgia                         	       2        0        2        0        0
42243 londonlaw                          	       2        0        2        0        0
42244 looptools                          	       2        0        2        0        0
42245 loqui                              	       2        0        2        0        0
42246 lostirc                            	       2        0        2        0        0
42247 loupe                              	       2        0        1        1        0
42248 lsat                               	       2        0        2        0        0
42249 lsh-client                         	       2        0        2        0        0
42250 lsh-utils                          	       2        0        2        0        0
42251 lsiutil                            	       2        0        2        0        0
42252 lsix                               	       2        0        2        0        0
42253 lsp-plugins-data                   	       2        0        0        0        2
42254 ltpanel                            	       2        0        2        0        0
42255 lua-busted                         	       2        0        2        0        0
42256 lua-cgi                            	       2        0        0        0        2
42257 lua-cliargs                        	       2        0        0        0        2
42258 lua-copas                          	       2        0        0        0        2
42259 lua-cyrussasl                      	       2        0        0        0        2
42260 lua-dbi-mysql                      	       2        0        0        0        2
42261 lua-dkjson                         	       2        0        0        0        2
42262 lua-inifile                        	       2        0        0        0        2
42263 lua-ldoc                           	       2        0        2        0        0
42264 lua-lpeg-dev                       	       2        0        2        0        0
42265 lua-luassert                       	       2        0        0        0        2
42266 lua-md5                            	       2        0        0        0        2
42267 lua-mediator                       	       2        0        0        0        2
42268 lua-readline-dev                   	       2        0        2        0        0
42269 lua-resty-core                     	       2        0        0        0        2
42270 lua-resty-lrucache                 	       2        0        0        0        2
42271 lua-say                            	       2        0        0        0        2
42272 lua-sql-mysql                      	       2        0        0        0        2
42273 lua-sql-mysql-dev                  	       2        0        2        0        0
42274 lua-system                         	       2        0        0        0        2
42275 lua-term                           	       2        0        0        0        2
42276 lua-unit                           	       2        0        0        0        2
42277 lua-yaml                           	       2        0        0        0        2
42278 lua-zip                            	       2        0        0        0        2
42279 luadoc                             	       2        0        2        0        0
42280 lunarg-gfxreconstruct              	       2        0        2        0        0
42281 lunarg-via                         	       2        0        2        0        0
42282 lunarg-vkconfig                    	       2        0        2        0        0
42283 lunarg-vulkan-layers               	       2        0        0        0        2
42284 luppp                              	       2        0        2        0        0
42285 luvcview                           	       2        0        2        0        0
42286 lxappearance-dbg                   	       2        0        2        0        0
42287 lxc-dev                            	       2        0        2        0        0
42288 lxd-tools                          	       2        0        2        0        0
42289 lxde-settings-daemon-dbgsym        	       2        0        2        0        0
42290 lxlauncher-dbg                     	       2        0        2        0        0
42291 lxpanel-dbgsym                     	       2        0        2        0        0
42292 lxpanel-dev                        	       2        0        2        0        0
42293 lxrandr-dbg                        	       2        0        2        0        0
42294 lxsession-edit-dbgsym              	       2        0        2        0        0
42295 lxtask-dbgsym                      	       2        0        2        0        0
42296 lxterminal-dbgsym                  	       2        0        2        0        0
42297 lynx-cur-wrapper                   	       2        0        0        0        2
42298 lyrionmusicserver                  	       2        1        1        0        0
42299 lysdr                              	       2        0        2        0        0
42300 m-tx                               	       2        0        1        0        1
42301 mac                                	       2        0        1        0        1
42302 macaulay2-common                   	       2        0        0        0        2
42303 macs                               	       2        0        2        0        0
42304 madison-lite                       	       2        0        2        0        0
42305 magics++                           	       2        0        2        0        0
42306 magit                              	       2        0        0        0        2
42307 mailcrypt                          	       2        0        2        0        0
42308 mailman                            	       2        1        1        0        0
42309 mailman3                           	       2        1        1        0        0
42310 mailman3-full                      	       2        0        0        0        2
42311 mailman3-web                       	       2        0        2        0        0
42312 mailsync                           	       2        0        2        0        0
42313 mailtextbody                       	       2        0        2        0        0
42314 mailutils-mda                      	       2        1        1        0        0
42315 maint-guide-de                     	       2        0        0        0        2
42316 makehrtf                           	       2        0        2        0        0
42317 makehuman                          	       2        1        1        0        0
42318 makehuman-data                     	       2        0        0        0        2
42319 makehuman-doc                      	       2        0        0        0        2
42320 makepp                             	       2        0        2        0        0
42321 mangler                            	       2        0        2        0        0
42322 manpages-ru-dev                    	       2        0        0        0        2
42323 manticore-columnar-lib             	       2        0        1        0        1
42324 manticore-repo                     	       2        0        2        0        0
42325 mapproxy                           	       2        0        2        0        0
42326 maradns                            	       2        0        2        0        0
42327 maradns-deadwood                   	       2        0        2        0        0
42328 maradns-zoneserver                 	       2        0        2        0        0
42329 mariadb-plugin-gssapi-server       	       2        0        2        0        0
42330 massif-visualizer                  	       2        0        1        1        0
42331 matchbox                           	       2        0        0        0        2
42332 matchbox-desktop                   	       2        0        2        0        0
42333 mate-media-pulse                   	       2        0        2        0        0
42334 mate-settings-daemon-pulse         	       2        0        2        0        0
42335 matroxset                          	       2        1        1        0        0
42336 mbrola-de1                         	       2        0        0        0        2
42337 mbrola-de2                         	       2        0        0        0        2
42338 mbrola-de3                         	       2        0        0        0        2
42339 mbrola-de4                         	       2        0        0        0        2
42340 mbrola-de5                         	       2        0        0        0        2
42341 mbrola-de6                         	       2        0        0        0        2
42342 mbrola-de7                         	       2        0        0        0        2
42343 mbrola-de8                         	       2        0        0        0        2
42344 mbrola-es3                         	       2        0        0        0        2
42345 mbrola-es4                         	       2        0        0        0        2
42346 mbrola-fr1                         	       2        0        0        0        2
42347 mbrola-fr6                         	       2        0        0        0        2
42348 mbrola-mx1                         	       2        0        0        0        2
42349 mbrola-mx2                         	       2        0        0        0        2
42350 mbt                                	       2        0        2        0        0
42351 mbtserver                          	       2        0        2        0        0
42352 mcl                                	       2        0        2        0        0
42353 mcli                               	       2        0        2        0        0
42354 mcollective-common                 	       2        0        2        0        0
42355 mcollective-doc                    	       2        0        2        0        0
42356 mcpp                               	       2        0        2        0        0
42357 mcron                              	       2        0        2        0        0
42358 md5deep                            	       2        0        0        0        2
42359 med-imaging                        	       2        0        2        0        0
42360 mediaconch                         	       2        0        2        0        0
42361 mediaconch-gui                     	       2        0        2        0        0
42362 mediawiki2latex                    	       2        0        2        0        0
42363 memo                               	       2        0        2        0        0
42364 mendeleydesktop                    	       2        0        2        0        0
42365 merecat                            	       2        0        2        0        0
42366 merge3                             	       2        0        2        0        0
42367 mergebox                           	       2        0        2        0        0
42368 meryl                              	       2        0        2        0        0
42369 mesa-amdgpu-multimedia             	       2        0        0        0        2
42370 mescc-tools                        	       2        0        2        0        0
42371 meshio-tools                       	       2        0        2        0        0
42372 metastore                          	       2        2        0        0        0
42373 metis                              	       2        1        1        0        0
42374 mfc235ccupswrapper                 	       2        0        0        0        2
42375 mfc235clpr                         	       2        0        2        0        0
42376 mfc9332cdwcupswrapper              	       2        0        0        0        2
42377 mfc9332cdwlpr                      	       2        1        1        0        0
42378 mfcj825dwcupswrapper               	       2        0        0        0        2
42379 mfcj825dwlpr                       	       2        0        2        0        0
42380 mfcj870dwcupswrapper               	       2        0        0        0        2
42381 mfcj870dwlpr                       	       2        0        2        0        0
42382 mfcl2700dwcupswrapper              	       2        0        0        0        2
42383 mfcl2700dwlpr                      	       2        0        1        0        1
42384 mfcl3770cdwpdrv                    	       2        0        2        0        0
42385 mgetty-docs                        	       2        0        2        0        0
42386 mgetty-pvftools                    	       2        0        2        0        0
42387 mgt                                	       2        0        2        0        0
42388 mh-e                               	       2        0        2        0        0
42389 mia-tools                          	       2        0        2        0        0
42390 mia-tools-doc                      	       2        0        0        0        2
42391 mia-viewit                         	       2        0        2        0        0
42392 mialmpick                          	       2        0        2        0        0
42393 migraphx                           	       2        0        2        0        0
42394 migraphx-dev                       	       2        0        2        0        0
42395 mime-types-editor                  	       2        0        2        0        0
42396 mimms                              	       2        0        2        0        0
42397 minc-tools                         	       2        0        2        0        0
42398 mindi-busybox                      	       2        0        2        0        0
42399 minetest-mod-basic-robot-csm       	       2        0        0        0        2
42400 minetest-mod-meshport              	       2        0        0        0        2
42401 minetest-mod-player-3d-armor       	       2        0        0        0        2
42402 ming-fonts-dejavu                  	       2        0        0        0        2
42403 ming-fonts-opensymbol              	       2        0        0        0        2
42404 mingw32                            	       2        0        2        0        0
42405 mingw32-binutils                   	       2        0        2        0        0
42406 mingw32-runtime                    	       2        0        2        0        0
42407 mini-dinstall                      	       2        0        2        0        0
42408 mini-httpd                         	       2        1        1        0        0
42409 mininet                            	       2        0        2        0        0
42410 minizinc                           	       2        0        1        1        0
42411 mint-backgrounds-tricia            	       2        0        0        0        2
42412 mint-backgrounds-vanessa           	       2        0        0        0        2
42413 mint-backgrounds-wilma             	       2        0        0        0        2
42414 mint-common                        	       2        0        2        0        0
42415 mint-cursor-themes                 	       2        0        0        0        2
42416 mint-l-icons                       	       2        0        0        0        2
42417 mint-l-theme                       	       2        0        0        0        2
42418 mint-themes-legacy                 	       2        0        0        0        2
42419 mint-translations                  	       2        0        0        0        2
42420 mintpy                             	       2        0        2        0        0
42421 mintsources                        	       2        0        2        0        0
42422 mir-platform-graphics-x            	       2        0        0        0        2
42423 mir-platform-graphics-x20          	       2        0        0        0        2
42424 mir-platform-input-evdev8          	       2        0        0        0        2
42425 miredo                             	       2        0        2        0        0
42426 missfits                           	       2        0        2        0        0
42427 mitaka-jessie-archive-keyring      	       2        0        0        0        2
42428 mitools                            	       2        0        2        0        0
42429 mivisionx                          	       2        0        2        0        0
42430 mixer.app                          	       2        0        2        0        0
42431 mkalias                            	       2        0        2        0        0
42432 mkdepend                           	       2        0        2        0        0
42433 mkdocs-literate-nav                	       2        0        2        0        0
42434 mkisofs                            	       2        0        2        0        0
42435 mklibs-copy                        	       2        0        2        0        0
42436 mkrboot                            	       2        0        2        0        0
42437 mkusb                              	       2        0        2        0        0
42438 mkusb-common                       	       2        0        2        0        0
42439 mkusb-nox                          	       2        0        2        0        0
42440 mle                                	       2        0        2        0        0
42441 mlink                              	       2        0        2        0        0
42442 mlterm-im-m17nlib                  	       2        0        0        0        2
42443 mlterm-im-uim                      	       2        0        0        0        2
42444 mlv-smile                          	       2        0        2        0        0
42445 mmark                              	       2        0        2        0        0
42446 mobazha-desktop                    	       2        0        1        0        1
42447 mobian-archive-keyring             	       2        0        0        0        2
42448 modelio-open-source5.4             	       2        0        1        0        1
42449 modemu                             	       2        0        2        0        0
42450 modrinth-app                       	       2        0        2        0        0
42451 moebinv-doc                        	       2        0        0        0        2
42452 molly-brown                        	       2        0        2        0        0
42453 mon-contrib                        	       2        0        2        0        0
42454 monero-tests                       	       2        1        1        0        0
42455 mongodb-compass                    	       2        0        2        0        0
42456 mono-2.0-gac                       	       2        0        2        0        0
42457 mono-2.0-service                   	       2        0        2        0        0
42458 mono-dmcs                          	       2        0        0        0        2
42459 mono-source                        	       2        0        0        0        2
42460 mono-tools-gui                     	       2        0        2        0        0
42461 mono-upnp-bin                      	       2        0        2        0        0
42462 mono-vbnc                          	       2        0        2        0        0
42463 monodoc-mono-upnp-manual           	       2        0        2        0        0
42464 monodoc-nunit-manual               	       2        0        2        0        0
42465 monotone-extras                    	       2        0        2        0        0
42466 montage                            	       2        0        2        0        0
42467 monteverdi                         	       2        0        2        0        0
42468 mopidy-doc                         	       2        0        0        0        2
42469 mopidy-internetarchive             	       2        0        2        0        0
42470 mopidy-mpd                         	       2        0        2        0        0
42471 mount-dbgsym                       	       2        0        2        0        0
42472 mountall                           	       2        0        2        0        0
42473 mousetrap                          	       2        0        1        1        0
42474 mozilla-plugin-gnash               	       2        0        0        0        2
42475 mp4tools                           	       2        0        2        0        0
42476 mp4v2-tools                        	       2        0        2        0        0
42477 mpdris2                            	       2        0        2        0        0
42478 mpdscribble                        	       2        0        2        0        0
42479 mplayerthumbs                      	       2        0        2        0        0
42480 mplayerthumbs-trinity              	       2        0        2        0        0
42481 mpollux-digisign-client-for-dvv    	       2        0        2        0        0
42482 mrtrix3                            	       2        0        2        0        0
42483 ms-sys                             	       2        0        2        0        0
42484 msp430-libc                        	       2        0        2        0        0
42485 msrtool                            	       2        1        1        0        0
42486 msttcorefonts                      	       2        0        0        0        2
42487 mtail                              	       2        1        1        0        0
42488 mtpfs                              	       2        0        2        0        0
42489 mu-cite                            	       2        0        2        0        0
42490 mueller7accent-dict                	       2        0        0        0        2
42491 mujs                               	       2        0        2        0        0
42492 multiload-ng                       	       2        0        2        0        0
42493 multimedia-animation               	       2        0        0        0        2
42494 multimedia-csound                  	       2        0        0        0        2
42495 multimedia-firewire                	       2        0        0        0        2
42496 multimedia-players                 	       2        0        0        0        2
42497 multisystem                        	       2        0        2        0        0
42498 multitime                          	       2        0        2        0        0
42499 multiwatch                         	       2        0        2        0        0
42500 munipack                           	       2        0        0        0        2
42501 munipack-cli                       	       2        0        2        0        0
42502 munipack-core                      	       2        0        0        0        2
42503 munipack-doc                       	       2        0        0        0        2
42504 munipack-gui                       	       2        0        2        0        0
42505 musixtex                           	       2        0        1        0        1
42506 mussh                              	       2        0        2        0        0
42507 mwaw2epub                          	       2        0        2        0        0
42508 mwc                                	       2        0        2        0        0
42509 mx-boot-options                    	       2        0        1        1        0
42510 mx19-archive-keyring               	       2        0        0        0        2
42511 myhdl-cosimulation                 	       2        0        0        0        2
42512 mynotex                            	       2        0        0        0        2
42513 mypy-doc                           	       2        0        0        0        2
42514 myspell-de-at                      	       2        0        0        0        2
42515 myspell-de-de                      	       2        0        0        0        2
42516 myspell-de-de-oldspell             	       2        0        0        0        2
42517 myspell-fo                         	       2        0        2        0        0
42518 myspell-fr-gut                     	       2        0        0        0        2
42519 myspell-gv                         	       2        0        0        0        2
42520 myspell-it                         	       2        0        0        0        2
42521 myspell-lv                         	       2        0        0        0        2
42522 myspell-nl                         	       2        0        0        0        2
42523 myspell-pt-pt                      	       2        0        0        0        2
42524 mysql-connector-j                  	       2        0        0        0        2
42525 mysql-navigator                    	       2        0        2        0        0
42526 mysql-server-core-5.6              	       2        0        2        0        0
42527 mysql-workbench-community          	       2        0        2        0        0
42528 mytharchive                        	       2        1        1        0        0
42529 mytharchive-data                   	       2        1        1        0        0
42530 mythes-ne                          	       2        0        0        0        2
42531 mythes-pt-pt                       	       2        0        0        0        2
42532 mythweb                            	       2        2        0        0        0
42533 mzclient                           	       2        1        1        0        0
42534 nagios3-doc                        	       2        0        0        0        2
42535 nagios4                            	       2        0        0        0        2
42536 nagios4-cgi                        	       2        1        1        0        0
42537 nagios4-common                     	       2        0        2        0        0
42538 nagios4-core                       	       2        1        1        0        0
42539 nailgun                            	       2        0        2        0        0
42540 nama                               	       2        1        1        0        0
42541 namazu2                            	       2        0        2        0        0
42542 namazu2-common                     	       2        0        0        0        2
42543 namazu2-index-tools                	       2        0        2        0        0
42544 natlog                             	       2        0        2        0        0
42545 natpmp-utils                       	       2        0        0        0        2
42546 nautic                             	       2        0        2        0        0
42547 nbc                                	       2        1        1        0        0
42548 ncbi-cn3d                          	       2        0        2        0        0
42549 ncbi-tools-x11                     	       2        0        2        0        0
42550 ndiswrapper-utils-1.9              	       2        0        0        0        2
42551 neat                               	       2        0        2        0        0
42552 nebula                             	       2        0        2        0        0
42553 neo4j                              	       2        1        1        0        0
42554 nescc                              	       2        0        2        0        0
42555 netbird                            	       2        1        1        0        0
42556 netmate                            	       2        0        2        0        0
42557 netperfmeter                       	       2        0        2        0        0
42558 netperfmeter-plotting              	       2        0        2        0        0
42559 netrek-client-cow                  	       2        0        2        0        0
42560 netscript-ipfilter                 	       2        0        2        0        0
42561 netwag-doc                         	       2        0        0        0        2
42562 networkd-dispatcher                	       2        0        2        0        0
42563 neurodebian-popularity-contest     	       2        0        0        0        2
42564 neuron                             	       2        0        1        1        0
42565 new-session-manager                	       2        0        2        0        0
42566 newbiedoc                          	       2        0        2        0        0
42567 nextpnr-gowin-chipdb               	       2        0        0        0        2
42568 nextpnr-ice40-qt                   	       2        0        2        0        0
42569 ngrok                              	       2        1        0        1        0
42570 nikwi                              	       2        0        2        0        0
42571 nikwi-data                         	       2        0        0        0        2
42572 nim-doc                            	       2        0        0        0        2
42573 nitrocli                           	       2        0        2        0        0
42574 nncp                               	       2        1        1        0        0
42575 node-abstract-leveldown            	       2        0        0        0        2
42576 node-ansi-color-table              	       2        0        0        0        2
42577 node-arg                           	       2        0        0        0        2
42578 node-arr-flatten                   	       2        0        0        0        2
42579 node-assertion-error               	       2        0        0        0        2
42580 node-axios                         	       2        0        2        0        0
42581 node-buffer-crc32                  	       2        0        0        0        2
42582 node-check-error                   	       2        0        0        0        2
42583 node-clarinet                      	       2        0        0        0        2
42584 node-clean-yaml-object             	       2        0        0        0        2
42585 node-clone-buffer                  	       2        0        0        0        2
42586 node-clone-stats                   	       2        0        0        0        2
42587 node-cloneable-readable            	       2        0        0        0        2
42588 node-cookies                       	       2        0        0        0        2
42589 node-crc                           	       2        0        1        0        1
42590 node-create-require                	       2        0        0        0        2
42591 node-dateformat                    	       2        0        0        0        2
42592 node-deep-eql                      	       2        0        0        0        2
42593 node-diacritics                    	       2        0        0        0        2
42594 node-duplexer                      	       2        0        1        0        1
42595 node-eventemitter2                 	       2        0        0        0        2
42596 node-extend-shallow                	       2        0        0        0        2
42597 node-fd-slicer                     	       2        0        1        0        1
42598 node-findit2                       	       2        0        0        0        2
42599 node-fs-extra                      	       2        0        2        0        0
42600 node-fstream                       	       2        0        1        0        1
42601 node-fstream-ignore                	       2        0        0        0        2
42602 node-fuzzysort                     	       2        0        0        2        0
42603 node-get-func-name                 	       2        0        0        0        2
42604 node-getobject                     	       2        0        0        0        2
42605 node-glogg                         	       2        0        0        0        2
42606 node-graphlibrary                  	       2        0        2        0        0
42607 node-grunt-cli                     	       2        1        1        0        0
42608 node-grunt-known-options           	       2        0        0        0        2
42609 node-grunt-legacy-log              	       2        0        0        0        2
42610 node-grunt-legacy-log-utils        	       2        0        0        0        2
42611 node-grunt-legacy-util             	       2        0        0        0        2
42612 node-gulplog                       	       2        0        0        0        2
42613 node-hooker                        	       2        0        0        0        2
42614 node-iconv                         	       2        0        0        0        2
42615 node-js-sdsl                       	       2        0        0        0        2
42616 node-keese                         	       2        0        0        0        2
42617 node-keygrip                       	       2        0        0        0        2
42618 node-klaw                          	       2        0        0        0        2
42619 node-lastfm                        	       2        0        2        0        0
42620 node-load-json-file                	       2        0        0        0        2
42621 node-make-error                    	       2        0        0        0        2
42622 node-mermaid                       	       2        0        0        0        2
42623 node-mess                          	       2        0        1        0        1
42624 node-mj-context-menu               	       2        0        0        0        2
42625 node-multiparty                    	       2        0        0        0        2
42626 node-music-library-index           	       2        0        0        0        2
42627 node-nan                           	       2        0        2        0        0
42628 node-ncp                           	       2        0        2        0        0
42629 node-pathval                       	       2        0        0        0        2
42630 node-pend                          	       2        0        0        0        2
42631 node-pg                            	       2        0        2        0        0
42632 node-pre-gyp                       	       2        0        2        0        0
42633 node-proxy-from-env                	       2        0        0        0        2
42634 node-random-bytes                  	       2        0        1        0        1
42635 node-remove-trailing-separator     	       2        0        0        0        2
42636 node-replace-ext                   	       2        0        0        0        2
42637 node-rx                            	       2        0        1        0        1
42638 node-sax                           	       2        0        0        0        2
42639 node-sparkles                      	       2        0        0        0        2
42640 node-split                         	       2        0        0        0        2
42641 node-sqlite3                       	       2        0        0        0        2
42642 node-stack-trace                   	       2        0        0        0        2
42643 node-stealthy-require              	       2        0        0        0        2
42644 node-transformers                  	       2        0        0        0        2
42645 node-ua-parser-js                  	       2        0        0        0        2
42646 node-uglify                        	       2        0        2        0        0
42647 node-uid-safe                      	       2        0        1        0        1
42648 node-underscore.string             	       2        0        0        0        2
42649 node-vinyl                         	       2        0        0        0        2
42650 node-yauzl                         	       2        0        0        0        2
42651 node-yazl                          	       2        0        0        0        2
42652 node-ytdl-core                     	       2        0        0        0        2
42653 noderig                            	       2        1        1        0        0
42654 noiz2sa                            	       2        0        2        0        0
42655 noiz2sa-data                       	       2        0        2        0        0
42656 nomnom                             	       2        0        2        0        0
42657 non-mixer                          	       2        0        2        0        0
42658 non-sequencer                      	       2        0        2        0        0
42659 non-session-manager                	       2        0        0        0        2
42660 normaliz-doc                       	       2        0        0        0        2
42661 notepadqq-common                   	       2        0        2        0        0
42662 nova-common                        	       2        0        2        0        0
42663 nova-consoleproxy                  	       2        0        2        0        0
42664 nox                                	       2        0        2        0        0
42665 npm2deb                            	       2        0        2        0        0
42666 nq                                 	       2        0        2        0        0
42667 nsight-systems-2023.4.4            	       2        0        2        0        0
42668 nsight-systems-2024.6.2            	       2        0        1        1        0
42669 nsntrace                           	       2        0        2        0        0
42670 nss-updatedb                       	       2        0        2        0        0
42671 ntfsdoc                            	       2        0        0        0        2
42672 ntldd                              	       2        0        2        0        0
42673 ntop                               	       2        0        2        0        0
42674 ntrack-module-rtnetlink-0          	       2        0        2        0        0
42675 numdiff                            	       2        0        2        0        0
42676 nuntius                            	       2        0        2        0        0
42677 nuspell                            	       2        0        2        0        0
42678 nuttcp                             	       2        0        2        0        0
42679 nvidia-firmware-535-535.183.01     	       2        0        2        0        0
42680 nvidia-firmware-535-server-535.216.03	       2        0        2        0        0
42681 nvidia-firmware-550-550.120        	       2        0        2        0        0
42682 nvidia-firmware-550-server-550.127.08	       2        0        2        0        0
42683 nvidia-firmware-565-server-565.57.01	       2        0        2        0        0
42684 nvidia-fs-dkms                     	       2        1        1        0        0
42685 nvidia-legacy-340xx-driver-libs-i386	       2        0        0        0        2
42686 nvidia-legacy-390xx-driver-libs-nonglvnd	       2        0        0        0        2
42687 nvidia-legacy-390xx-nonglvnd-vulkan-icd	       2        0        0        0        2
42688 nvidia-nonglvnd-vulkan-common      	       2        0        0        0        2
42689 nvidia-open-560                    	       2        0        0        0        2
42690 nvidia-prime                       	       2        0        2        0        0
42691 nvidia-prime-applet                	       2        0        2        0        0
42692 nvidia-settings-tesla-450          	       2        0        2        0        0
42693 nvidia-tesla-450-alternative       	       2        0        0        0        2
42694 nvidia-tesla-450-driver            	       2        0        0        0        2
42695 nvidia-tesla-450-driver-bin        	       2        0        2        0        0
42696 nvidia-tesla-450-driver-libs       	       2        0        0        0        2
42697 nvidia-tesla-450-egl-icd           	       2        0        0        0        2
42698 nvidia-tesla-450-kernel-dkms       	       2        0        2        0        0
42699 nvidia-tesla-450-kernel-support    	       2        0        0        0        2
42700 nvidia-tesla-450-smi               	       2        0        2        0        0
42701 nvidia-tesla-450-vdpau-driver      	       2        0        0        0        2
42702 nvidia-tesla-450-vulkan-icd        	       2        0        0        0        2
42703 nvidia-tesla-alternative           	       2        0        0        0        2
42704 nvidia-tesla-legacy-check          	       2        0        0        0        2
42705 nvtv                               	       2        1        1        0        0
42706 nxclient                           	       2        0        2        0        0
42707 obfsproxy                          	       2        0        2        0        0
42708 obfuscate                          	       2        0        2        0        0
42709 obs-downstream-keyer               	       2        0        0        0        2
42710 obs-ndi                            	       2        0        1        0        1
42711 ocaml-book-en                      	       2        0        0        0        2
42712 ocaml-mode                         	       2        0        0        0        2
42713 ocenaudio                          	       2        0        2        0        0
42714 ocl-icd-libopencl1-amdgpu-pro      	       2        0        0        0        2
42715 ocqt5152-libqt5core5a              	       2        0        2        0        0
42716 ocqt5152-libqt5dbus5               	       2        0        2        0        0
42717 ocqt5152-libqt5gui5                	       2        0        2        0        0
42718 ocqt5152-libqt5keychain1           	       2        0        2        0        0
42719 ocqt5152-libqt5network5            	       2        0        2        0        0
42720 ocqt5152-libqt5sql5                	       2        0        0        0        2
42721 ocqt5152-libqt5sql5-sqlite         	       2        0        0        0        2
42722 ocqt5152-libqt5widgets5            	       2        0        2        0        0
42723 ocqt5152-qtsvg5                    	       2        0        2        0        0
42724 ocqt5152-qttranslations5           	       2        0        2        0        0
42725 ocqt5152-qtwayland5                	       2        0        0        0        2
42726 octave-audio                       	       2        0        0        0        2
42727 octave-mapping                     	       2        0        0        0        2
42728 octave-missing-functions           	       2        0        0        0        2
42729 octave-splines                     	       2        0        0        0        2
42730 octave-strings                     	       2        0        0        0        2
42731 octave-symbolic                    	       2        0        0        0        2
42732 octave-zmat                        	       2        0        0        0        2
42733 octave3.2-common                   	       2        0        0        0        2
42734 octicons                           	       2        0        0        0        2
42735 octomap-tools                      	       2        0        2        0        0
42736 octovis                            	       2        0        2        0        0
42737 odadrawingsexplorer                	       2        0        2        0        0
42738 odil                               	       2        0        2        0        0
42739 odin                               	       2        0        2        0        0
42740 odr-dabmod                         	       2        0        2        0        0
42741 odr-dabmux                         	       2        0        2        0        0
42742 odr-padenc                         	       2        0        2        0        0
42743 odroid-base                        	       2        0        2        0        0
42744 ofono-scripts                      	       2        0        0        0        2
42745 ohcount                            	       2        0        2        0        0
42746 olvwm                              	       2        0        2        0        0
42747 omake                              	       2        0        2        0        0
42748 omake-doc                          	       2        0        0        0        2
42749 onedriver                          	       2        1        1        0        0
42750 onionbalance                       	       2        0        2        0        0
42751 onionprobe                         	       2        0        2        0        0
42752 oolite                             	       2        0        2        0        0
42753 oolitestarter                      	       2        0        2        0        0
42754 open-font-design-toolkit           	       2        0        0        0        2
42755 open-roms                          	       2        0        0        0        2
42756 open-vm-tools-dkms                 	       2        0        2        0        0
42757 openais                            	       2        0        2        0        0
42758 openapi-specification              	       2        0        0        0        2
42759 openbabel-gui                      	       2        0        2        0        0
42760 openboard-fonts-nonfree            	       2        0        0        0        2
42761 openboardview                      	       2        0        2        0        0
42762 openbox-kde-session                	       2        0        1        1        0
42763 openbox-themes                     	       2        0        0        0        2
42764 openchrome-tool                    	       2        0        2        0        0
42765 opencl-clhpp-headers-doc           	       2        0        0        0        2
42766 openclipart2                       	       2        0        0        0        2
42767 openclipart2-svg                   	       2        0        0        0        2
42768 openctm-tools                      	       2        1        1        0        0
42769 opendbx-utils                      	       2        0        2        0        0
42770 openfoam                           	       2        0        2        0        0
42771 openfoam-examples                  	       2        0        0        0        2
42772 openhab-addons                     	       2        0        0        0        2
42773 openjdk-11-jre-dcevm               	       2        0        0        0        2
42774 openjdk-11-jre-zero                	       2        0        0        0        2
42775 openjdk-15-jdk                     	       2        0        0        0        2
42776 openjdk-15-jre                     	       2        0        0        0        2
42777 openjdk-16-jre                     	       2        0        0        0        2
42778 openjdk-16-jre-headless            	       2        0        2        0        0
42779 openjdk-18-jre                     	       2        0        0        0        2
42780 openjdk-18-jre-headless            	       2        0        2        0        0
42781 openjdk-22-jdk-headless            	       2        0        0        0        2
42782 openjdk-22-jre                     	       2        0        0        0        2
42783 openjdk-22-jre-headless            	       2        0        2        0        0
42784 openjdk-23-jdk                     	       2        0        1        0        1
42785 openjdk-23-jdk-headless            	       2        0        0        0        2
42786 openjdk-23-jre                     	       2        0        0        0        2
42787 openjdk-23-jre-headless            	       2        0        2        0        0
42788 openjdk-24-doc                     	       2        0        0        0        2
42789 openjdk-25-jre                     	       2        0        0        0        2
42790 openjdk-25-jre-headless            	       2        0        1        1        0
42791 openjdk-8-demo                     	       2        0        0        0        2
42792 openjdk-8-source                   	       2        0        2        0        0
42793 openjdk-9-jre                      	       2        0        0        0        2
42794 openni2-doc                        	       2        0        0        0        2
42795 openoffice-brand-en-gb             	       2        0        0        0        2
42796 openoffice-brand-es                	       2        0        0        0        2
42797 openoffice-en-gb                   	       2        0        0        0        2
42798 openoffice-en-gb-base              	       2        0        0        0        2
42799 openoffice-en-gb-calc              	       2        0        0        0        2
42800 openoffice-en-gb-draw              	       2        0        0        0        2
42801 openoffice-en-gb-help              	       2        0        0        0        2
42802 openoffice-en-gb-impress           	       2        0        0        0        2
42803 openoffice-en-gb-math              	       2        0        0        0        2
42804 openoffice-en-gb-res               	       2        0        0        0        2
42805 openoffice-en-gb-writer            	       2        0        0        0        2
42806 openoffice-es                      	       2        0        0        0        2
42807 openoffice-es-base                 	       2        0        0        0        2
42808 openoffice-es-calc                 	       2        0        0        0        2
42809 openoffice-es-draw                 	       2        0        0        0        2
42810 openoffice-es-help                 	       2        0        0        0        2
42811 openoffice-es-impress              	       2        0        0        0        2
42812 openoffice-es-math                 	       2        0        0        0        2
42813 openoffice-es-res                  	       2        0        0        0        2
42814 openoffice-es-writer               	       2        0        0        0        2
42815 openoffice.org-help-en-gb          	       2        0        1        0        1
42816 openoffice.org-hyphenation-en-us   	       2        0        0        0        2
42817 openoffice.org-l10n-de             	       2        0        0        0        2
42818 openrazer-daemon                   	       2        1        1        0        0
42819 openrefine                         	       2        0        2        0        0
42820 openssn                            	       2        0        2        0        0
42821 openssn-data                       	       2        0        0        0        2
42822 openstack-pkg-tools                	       2        0        2        0        0
42823 openstreetmap-map-icons-classic    	       2        0        0        0        2
42824 openstreetmap-map-icons-square     	       2        0        0        0        2
42825 opentyrian                         	       2        0        2        0        0
42826 openvas                            	       2        1        0        0        1
42827 openvas-scanner                    	       2        1        1        0        0
42828 openwince-jtag                     	       2        0        2        0        0
42829 opera                              	       2        0        2        0        0
42830 oracle-j2sdk1.7                    	       2        0        2        0        0
42831 orange                             	       2        0        2        0        0
42832 orbital-eunuchs-sniper             	       2        0        2        0        0
42833 orbital-eunuchs-sniper-data        	       2        0        0        0        2
42834 org-roam-doc                       	       2        0        0        0        2
42835 orthanc                            	       2        1        1        0        0
42836 orthanc-wsi                        	       2        0        2        0        0
42837 os-brick-common                    	       2        0        0        0        2
42838 osmos                              	       2        0        2        0        0
42839 ospics                             	       2        0        0        0        2
42840 osquery                            	       2        0        2        0        0
42841 oss4-dev                           	       2        0        2        0        0
42842 otb-bin                            	       2        0        2        0        0
42843 otb-bin-qt                         	       2        0        2        0        0
42844 otb-i18n                           	       2        0        0        0        2
42845 otb-qgis                           	       2        0        2        0        0
42846 otf-stix                           	       2        0        0        0        2
42847 ovh-rtm-binaries                   	       2        1        1        0        0
42848 ovh-rtm-metrics-toolkit            	       2        0        0        0        2
42849 owncloud-client-cmd                	       2        0        2        0        0
42850 owncloud-client-l10n               	       2        0        0        0        2
42851 owncloud-files                     	       2        0        1        0        1
42852 oxref                              	       2        0        2        0        0
42853 oz                                 	       2        0        2        0        0
42854 pachi                              	       2        1        1        0        0
42855 pachi-data                         	       2        0        0        0        2
42856 pagemon                            	       2        0        2        0        0
42857 pakcs                              	       2        0        2        0        0
42858 pal2nal                            	       2        0        2        0        0
42859 paml                               	       2        0        2        0        0
42860 pampi                              	       2        0        2        0        0
42861 pango1.0-tests                     	       2        0        1        0        1
42862 pangoterm                          	       2        0        2        0        0
42863 pantum                             	       2        1        1        0        0
42864 papers                             	       2        1        1        0        0
42865 papers-common                      	       2        0        0        0        2
42866 paperwork-gtk-l10n-es              	       2        0        0        0        2
42867 paperwork-gtk-l10n-fr              	       2        0        0        0        2
42868 paperwork-shell                    	       2        0        2        0        0
42869 paraclu                            	       2        0        2        0        0
42870 parmetis-doc                       	       2        0        0        0        2
42871 parsec                             	       2        0        2        0        0
42872 pasdoc                             	       2        0        2        0        0
42873 pass-extension-tail                	       2        0        2        0        0
42874 pass-extension-tomb                	       2        0        2        0        0
42875 patat                              	       2        0        2        0        0
42876 paulstretch                        	       2        0        2        0        0
42877 pavuk                              	       2        0        2        0        0
42878 pcb-rnd-import-geo                 	       2        0        2        0        0
42879 pcp-gui                            	       2        0        2        0        0
42880 pd                                 	       2        1        1        0        0
42881 pd-3dp                             	       2        0        2        0        0
42882 pd-arraysize                       	       2        0        2        0        0
42883 pd-bsaylor                         	       2        0        2        0        0
42884 pd-chaos                           	       2        0        2        0        0
42885 pd-cmos                            	       2        0        2        0        0
42886 pd-creb                            	       2        0        2        0        0
42887 pd-cxc                             	       2        0        2        0        0
42888 pd-earplug                         	       2        0        2        0        0
42889 pd-ekext                           	       2        0        2        0        0
42890 pd-ext13                           	       2        0        2        0        0
42891 pd-fftease                         	       2        0        2        0        0
42892 pd-flite                           	       2        0        2        0        0
42893 pd-hexloader                       	       2        0        2        0        0
42894 pd-iemambi                         	       2        0        2        0        0
42895 pd-iemguts                         	       2        0        2        0        0
42896 pd-iemmatrix                       	       2        0        2        0        0
42897 pd-jsusfx                          	       2        0        2        0        0
42898 pd-log                             	       2        0        2        0        0
42899 pd-lua                             	       2        0        2        0        0
42900 pd-lyonpotpourri                   	       2        0        2        0        0
42901 pd-markex                          	       2        0        2        0        0
42902 pd-mediasettings                   	       2        0        2        0        0
42903 pd-mjlib                           	       2        0        2        0        0
42904 pd-motex                           	       2        0        2        0        0
42905 pd-mrpeach-net                     	       2        0        2        0        0
42906 pd-nusmuk                          	       2        0        2        0        0
42907 pd-pdogg                           	       2        0        2        0        0
42908 pd-pdp                             	       2        0        2        0        0
42909 pd-pdstring                        	       2        0        2        0        0
42910 pd-plugin                          	       2        0        2        0        0
42911 pd-pmpd                            	       2        0        2        0        0
42912 pd-pool                            	       2        0        2        0        0
42913 pd-purest-json                     	       2        0        2        0        0
42914 pd-readanysf                       	       2        0        2        0        0
42915 pd-scaf                            	       2        0        2        0        0
42916 pd-sigpack                         	       2        0        2        0        0
42917 pd-slip                            	       2        0        2        0        0
42918 pd-smlib                           	       2        0        2        0        0
42919 pd-tclpd                           	       2        0        2        0        0
42920 pd-unauthorized                    	       2        0        2        0        0
42921 pd-vbap                            	       2        0        2        0        0
42922 pd-wiimote                         	       2        0        2        0        0
42923 pd-windowing                       	       2        0        2        0        0
42924 pd-xbee                            	       2        0        2        0        0
42925 pd-xsample                         	       2        0        2        0        0
42926 pdns-backend-pgsql                 	       2        0        1        0        1
42927 pdns-backend-sqlite3               	       2        1        0        0        1
42928 pdns-backend-tinydns               	       2        0        0        0        2
42929 pebble                             	       2        0        2        0        0
42930 peco                               	       2        0        2        0        0
42931 peg                                	       2        0        2        0        0
42932 pegsolitaire                       	       2        0        2        0        0
42933 pentobi                            	       2        0        2        0        0
42934 perccli                            	       2        0        0        0        2
42935 perceptualdiff                     	       2        0        2        0        0
42936 percona-release                    	       2        0        2        0        0
42937 performous-composer                	       2        0        2        0        0
42938 perl-doc-html                      	       2        0        0        0        2
42939 perltidier                         	       2        1        1        0        0
42940 pesign                             	       2        0        2        0        0
42941 petri-foo                          	       2        0        2        0        0
42942 pexec                              	       2        0        2        0        0
42943 pftools                            	       2        0        2        0        0
42944 pgadmin4                           	       2        0        0        0        2
42945 pgpool2                            	       2        2        0        0        0
42946 phoenixtsi-cloud                   	       2        0        2        0        0
42947 photoflow                          	       2        0        2        0        0
42948 php-bacon-qr-code                  	       2        0        2        0        0
42949 php-console-commandline            	       2        0        2        0        0
42950 php-dasprid-enum                   	       2        0        2        0        0
42951 php-doc                            	       2        0        0        0        2
42952 php-doctrine-annotations           	       2        0        2        0        0
42953 php-doctrine-inflector             	       2        0        2        0        0
42954 php-dompdf-svg-lib                 	       2        0        2        0        0
42955 php-ds                             	       2        1        0        0        1
42956 php-elisp                          	       2        0        1        0        1
42957 php-file                           	       2        1        1        0        0
42958 php-fxsl                           	       2        0        2        0        0
42959 php-horde-css-parser               	       2        0        2        0        0
42960 php-image-text                     	       2        0        2        0        0
42961 php-markdown                       	       2        0        2        0        0
42962 php-net-dime                       	       2        1        1        0        0
42963 php-net-dns2                       	       2        0        2        0        0
42964 php-net-idna2                      	       2        0        2        0        0
42965 php-phpdbg                         	       2        0        2        0        0
42966 php-phpdocumentor-reflection-common	       2        0        2        0        0
42967 php-phpdocumentor-reflection-docblock	       2        0        2        0        0
42968 php-phpdocumentor-type-resolver    	       2        0        2        0        0
42969 php-phpspec-prophecy               	       2        0        2        0        0
42970 php-pimple                         	       2        0        2        0        0
42971 php-psr-link                       	       2        0        1        1        0
42972 php-recode                         	       2        0        0        0        2
42973 php-sabre-dav                      	       2        0        2        0        0
42974 php-sabre-vobject                  	       2        0        2        0        0
42975 php-swiftmailer                    	       2        0        2        0        0
42976 php-symfony-error-handler          	       2        0        2        0        0
42977 php-symfony-http-foundation        	       2        0        2        0        0
42978 php-symfony-http-kernel            	       2        0        2        0        0
42979 php-symfony-mailer                 	       2        0        2        0        0
42980 php-symfony-property-access        	       2        0        2        0        0
42981 php-symfony-serializer             	       2        0        2        0        0
42982 php-symfony-translation            	       2        0        2        0        0
42983 php-symfony-translation-contracts  	       2        0        2        0        0
42984 php-symfony-var-dumper             	       2        0        2        0        0
42985 php-tideways                       	       2        1        0        0        1
42986 php-tijsverkoyen-css-to-inline-styles	       2        0        2        0        0
42987 php-token-stream                   	       2        0        2        0        0
42988 php-twig-doc                       	       2        0        0        0        2
42989 php-twig-extensions                	       2        0        2        0        0
42990 php-xml-serializer                 	       2        1        1        0        0
42991 php5-mysqlnd                       	       2        0        2        0        0
42992 php5-recode                        	       2        1        1        0        0
42993 php5-xcache                        	       2        0        2        0        0
42994 php5-xmlrpc                        	       2        0        2        0        0
42995 php5.6-bz2                         	       2        1        1        0        0
42996 php7.0-bcmath                      	       2        2        0        0        0
42997 php7.0-dev                         	       2        0        2        0        0
42998 php7.0-phpdbg                      	       2        0        2        0        0
42999 php7.1                             	       2        0        0        0        2
43000 php7.1-apcu                        	       2        1        1        0        0
43001 php7.1-cgi                         	       2        0        2        0        0
43002 php7.1-curl                        	       2        0        2        0        0
43003 php7.1-fpm                         	       2        0        2        0        0
43004 php7.1-phpdbg                      	       2        0        2        0        0
43005 php7.1-zip                         	       2        1        1        0        0
43006 php7.2                             	       2        0        0        0        2
43007 php7.2-apcu                        	       2        1        1        0        0
43008 php7.2-cgi                         	       2        0        2        0        0
43009 php7.2-imap                        	       2        0        2        0        0
43010 php7.2-intl                        	       2        0        2        0        0
43011 php7.2-pspell                      	       2        0        2        0        0
43012 php7.2-recode                      	       2        0        2        0        0
43013 php7.2-sqlite3                     	       2        0        2        0        0
43014 php7.2-xmlrpc                      	       2        0        2        0        0
43015 php7.2-xsl                         	       2        0        0        0        2
43016 php7.3-enchant                     	       2        2        0        0        0
43017 php7.3-igbinary                    	       2        0        2        0        0
43018 php7.3-redis                       	       2        1        1        0        0
43019 php7.4-memcached                   	       2        0        2        0        0
43020 php7.4-msgpack                     	       2        0        2        0        0
43021 php8.0-dev                         	       2        0        2        0        0
43022 php8.0-pgsql                       	       2        1        1        0        0
43023 php8.1-ldap                        	       2        0        2        0        0
43024 php8.1-memcache                    	       2        1        1        0        0
43025 php8.1-memcached                   	       2        1        1        0        0
43026 php8.1-msgpack                     	       2        1        1        0        0
43027 php8.1-redis                       	       2        0        2        0        0
43028 php8.1-yaml                        	       2        1        1        0        0
43029 php8.2-enchant                     	       2        0        2        0        0
43030 php8.2-odbc                        	       2        0        2        0        0
43031 php8.3-igbinary                    	       2        1        1        0        0
43032 php8.3-memcache                    	       2        0        2        0        0
43033 php8.3-redis                       	       2        1        1        0        0
43034 php8.3-soap                        	       2        1        1        0        0
43035 php8.3-xdebug                      	       2        0        1        1        0
43036 php8.4-cgi                         	       2        0        1        1        0
43037 php8.4-dev                         	       2        0        0        2        0
43038 php8.4-mcrypt                      	       2        1        1        0        0
43039 php8.4-memcache                    	       2        1        1        0        0
43040 php8.4-pgsql                       	       2        1        0        1        0
43041 php8.4-sqlite3                     	       2        1        0        1        0
43042 php8.4-tidy                        	       2        2        0        0        0
43043 php8.4-uuid                        	       2        2        0        0        0
43044 php8.4-xmlrpc                      	       2        2        0        0        0
43045 php8.4-yaml                        	       2        1        1        0        0
43046 physlock                           	       2        0        1        1        0
43047 pianobar                           	       2        0        2        0        0
43048 picolibc-arm-none-eabi             	       2        0        0        0        2
43049 picon-domains                      	       2        0        2        0        0
43050 picon-misc                         	       2        0        2        0        0
43051 picon-unknown                      	       2        0        0        0        2
43052 picon-users                        	       2        0        0        0        2
43053 pidgin-mpris                       	       2        0        2        0        0
43054 pidgin-mra-dbg                     	       2        1        1        0        0
43055 pidgin-nateon-dbg                  	       2        1        1        0        0
43056 pigpio-tools                       	       2        0        2        0        0
43057 pike7.8-core                       	       2        0        2        0        0
43058 pike7.8-doc                        	       2        0        0        0        2
43059 pike7.8-image                      	       2        0        2        0        0
43060 pike7.8-manual                     	       2        0        0        0        2
43061 pike7.8-reference                  	       2        0        0        0        2
43062 pink-pony                          	       2        0        2        0        0
43063 pink-pony-data                     	       2        0        0        0        2
43064 pipette-stt                        	       2        0        2        0        0
43065 pius                               	       2        1        1        0        0
43066 pixelize                           	       2        0        2        0        0
43067 pixelmed-apps                      	       2        0        2        0        0
43068 pkcs11-data                        	       2        0        2        0        0
43069 pkg                                	       2        0        2        0        0
43070 pktools-dev                        	       2        0        2        0        0
43071 pkwalify                           	       2        0        2        0        0
43072 plasma-bigscreen                   	       2        0        2        0        0
43073 plasma-nano                        	       2        0        0        0        2
43074 plasma-scriptengine-python         	       2        0        2        0        0
43075 plasma-scriptengines               	       2        0        0        0        2
43076 plasma-settings                    	       2        1        1        0        0
43077 plastimatch                        	       2        0        2        0        0
43078 playit                             	       2        0        1        1        0
43079 playitslowly                       	       2        0        2        0        0
43080 plink                              	       2        0        2        0        0
43081 plover                             	       2        0        2        0        0
43082 plowshare                          	       2        0        2        0        0
43083 plplot-doc                         	       2        0        0        0        2
43084 plplot-tcl-dev                     	       2        0        2        0        0
43085 plymouth-theme-hamara              	       2        0        0        0        2
43086 pmacct                             	       2        1        1        0        0
43087 pmars                              	       2        0        2        0        0
43088 pmtools                            	       2        0        2        0        0
43089 pmx                                	       2        0        1        0        1
43090 pnc                                	       2        0        2        0        0
43091 po4a-build-deps                    	       2        0        0        0        2
43092 poke                               	       2        0        0        2        0
43093 poke-elf                           	       2        0        0        0        2
43094 policyrcd-script-zg2               	       2        1        1        0        0
43095 polychromatic                      	       2        0        0        0        2
43096 polychromatic-cli                  	       2        0        2        0        0
43097 polychromatic-common               	       2        1        1        0        0
43098 polychromatic-controller           	       2        0        2        0        0
43099 polychromatic-tray-applet          	       2        1        1        0        0
43100 polyml                             	       2        0        2        0        0
43101 polyml-modules                     	       2        0        0        0        2
43102 pommed                             	       2        0        2        0        0
43103 popcorn-time                       	       2        0        2        0        0
43104 portproton                         	       2        0        2        0        0
43105 postgresql-14-postgis-3            	       2        0        2        0        0
43106 postgresql-14-postgis-3-scripts    	       2        0        0        0        2
43107 postgresql-17-postgis-3            	       2        0        2        0        0
43108 postgresql-17-postgis-3-scripts    	       2        0        0        0        2
43109 postgresql-8.4                     	       2        1        1        0        0
43110 postgresql-9.3                     	       2        0        2        0        0
43111 postgresql-9.6-postgis-2.3         	       2        0        2        0        0
43112 postgresql-9.6-postgis-2.3-scripts 	       2        0        0        0        2
43113 postgresql-client-8.4              	       2        0        2        0        0
43114 postgresql-client-9.3              	       2        0        2        0        0
43115 postgresql-contrib-9.1             	       2        0        2        0        0
43116 postgresql-pgsphere                	       2        0        2        0        0
43117 postgresql-pltcl-9.1               	       2        0        2        0        0
43118 postgresql-q3c                     	       2        0        2        0        0
43119 postgresql-server-dev-12           	       2        0        2        0        0
43120 powerdebug                         	       2        0        2        0        0
43121 powerdevil-dev                     	       2        0        0        0        2
43122 powerline-go                       	       2        0        0        2        0
43123 powerman                           	       2        0        2        0        0
43124 ppthtml                            	       2        1        1        0        0
43125 prads                              	       2        0        2        0        0
43126 praw-doc                           	       2        0        0        0        2
43127 prayer                             	       2        1        1        0        0
43128 prayer-accountd                    	       2        1        1        0        0
43129 primus-vk                          	       2        0        2        0        0
43130 primus-vk-nvidia                   	       2        0        0        0        2
43131 printfilters-ppd                   	       2        0        2        0        0
43132 printmyfonts-stt                   	       2        0        2        0        0
43133 printop                            	       2        0        2        0        0
43134 profile-sync-daemon                	       2        0        2        0        0
43135 proftpd-mod-geoip                  	       2        0        2        0        0
43136 proftpd-mod-ldap                   	       2        0        2        0        0
43137 proftpd-mod-pgsql                  	       2        0        2        0        0
43138 proj                               	       2        0        0        0        2
43139 proll                              	       2        0        0        0        2
43140 prometheus-postgres-exporter       	       2        0        2        0        0
43141 propaganda-debian                  	       2        0        0        0        2
43142 protobuf-compiler-grpc-java-plugin 	       2        0        2        0        0
43143 protonvpn-gui                      	       2        0        2        0        0
43144 protracker                         	       2        0        0        0        2
43145 protrekkr                          	       2        0        2        0        0
43146 psautohint                         	       2        0        2        0        0
43147 psfex                              	       2        0        2        0        0
43148 psi3                               	       2        0        2        0        0
43149 psignifit                          	       2        0        2        0        0
43150 psl                                	       2        0        2        0        0
43151 pub2odg                            	       2        0        2        0        0
43152 publican                           	       2        0        2        0        0
43153 publican-debian                    	       2        0        0        0        2
43154 publii                             	       2        0        2        0        0
43155 pup                                	       2        0        2        0        0
43156 puppet-lint                        	       2        0        2        0        0
43157 purify                             	       2        0        2        0        0
43158 purple-xmpp-http-upload            	       2        1        0        0        1
43159 py-cpuinfo                         	       2        0        2        0        0
43160 pybtex                             	       2        0        2        0        0
43161 pychecker                          	       2        1        1        0        0
43162 pycode-browser                     	       2        0        2        0        0
43163 pydoctor                           	       2        0        2        0        0
43164 pymca-data                         	       2        0        0        0        2
43165 pyotherside-tests                  	       2        0        0        0        2
43166 pypi2deb                           	       2        0        2        0        0
43167 pypy-doc                           	       2        0        0        0        2
43168 pypy-lib-testsuite                 	       2        0        2        0        0
43169 pypy-setuptools                    	       2        0        2        0        0
43170 pypy-six                           	       2        0        2        0        0
43171 pyqi                               	       2        0        2        0        0
43172 pyqt-builder-doc                   	       2        0        0        0        2
43173 pyqt5chart-dev                     	       2        0        2        0        0
43174 pyqt6-charts-dev                   	       2        0        2        0        0
43175 pyqt6-examples                     	       2        0        0        0        2
43176 pyracerz                           	       2        0        2        0        0
43177 pysdl2-doc                         	       2        0        0        0        2
43178 pysycache                          	       2        0        2        0        0
43179 pysycache-buttons-ice              	       2        0        0        0        2
43180 pysycache-click-dinosaurs          	       2        0        0        0        2
43181 pysycache-dblclick-appleandpear    	       2        0        0        0        2
43182 pysycache-i18n                     	       2        0        0        0        2
43183 pysycache-images                   	       2        0        0        0        2
43184 pysycache-move-animals             	       2        0        0        0        2
43185 pysycache-puzzle-cartoons          	       2        0        0        0        2
43186 pysycache-sounds                   	       2        0        0        0        2
43187 pytdeextensions-trinity            	       2        0        2        0        0
43188 python-acme-doc                    	       2        0        0        0        2
43189 python-aiml                        	       2        1        1        0        0
43190 python-aptdaemon                   	       2        0        2        0        0
43191 python-aptdaemon.gtk3widgets       	       2        0        2        0        0
43192 python-autobahn                    	       2        0        2        0        0
43193 python-biopython-doc               	       2        0        0        0        2
43194 python-black-doc                   	       2        0        0        0        2
43195 python-bottleneck-doc              	       2        0        0        0        2
43196 python-brlapi                      	       2        0        2        0        0
43197 python-cbor                        	       2        0        2        0        0
43198 python-cephfs                      	       2        0        2        0        0
43199 python-cerealizer                  	       2        0        2        0        0
43200 python-certbot-apache-doc          	       2        0        0        0        2
43201 python-cheetah-doc                 	       2        0        0        0        2
43202 python-croniter                    	       2        1        1        0        0
43203 python-crypto-doc                  	       2        0        0        0        2
43204 python-debtcollector               	       2        0        2        0        0
43205 python-dicom                       	       2        0        0        0        2
43206 python-distutils-extra             	       2        0        2        0        0
43207 python-django                      	       2        0        2        0        0
43208 python-docopt                      	       2        0        2        0        0
43209 python-egenix-mx-base-dev          	       2        0        2        0        0
43210 python-egenix-mxbeebase            	       2        0        2        0        0
43211 python-egenix-mxbeebase-doc        	       2        0        0        0        2
43212 python-egenix-mxproxy              	       2        0        2        0        0
43213 python-egenix-mxproxy-doc          	       2        0        0        0        2
43214 python-egenix-mxqueue              	       2        0        2        0        0
43215 python-egenix-mxqueue-doc          	       2        0        0        0        2
43216 python-egenix-mxstack              	       2        0        2        0        0
43217 python-egenix-mxstack-doc          	       2        0        0        0        2
43218 python-egenix-mxuid                	       2        0        2        0        0
43219 python-egenix-mxuid-doc            	       2        0        0        0        2
43220 python-egenix-mxurl                	       2        0        2        0        0
43221 python-egenix-mxurl-doc            	       2        0        0        0        2
43222 python-electrum                    	       2        0        2        0        0
43223 python-elementtree                 	       2        0        0        0        2
43224 python-elib.intl                   	       2        1        1        0        0
43225 python-enum34-doc                  	       2        0        0        0        2
43226 python-epsilon                     	       2        0        2        0        0
43227 python-excelerator                 	       2        0        2        0        0
43228 python-fastimport                  	       2        0        2        0        0
43229 python-fisx-common                 	       2        0        0        0        2
43230 python-gamin                       	       2        1        1        0        0
43231 python-gdata-doc                   	       2        0        0        0        2
43232 python-git                         	       2        0        2        0        0
43233 python-gitlab-doc                  	       2        0        0        0        2
43234 python-gmpy2                       	       2        0        2        0        0
43235 python-gnomekeyring                	       2        0        2        0        0
43236 python-gnucash                     	       2        0        2        0        0
43237 python-gobject-2-dbg               	       2        0        2        0        0
43238 python-googleapi                   	       2        0        2        0        0
43239 python-greenlet-dev                	       2        0        2        0        0
43240 python-greenlet-doc                	       2        0        0        0        2
43241 python-gst-1.0                     	       2        0        2        0        0
43242 python-gtkspell                    	       2        0        2        0        0
43243 python-icalendar                   	       2        0        2        0        0
43244 python-id3                         	       2        0        2        0        0
43245 python-imaging-doc-pdf             	       2        0        0        0        2
43246 python-imaging-tk                  	       2        0        0        0        2
43247 python-inotifyx                    	       2        1        1        0        0
43248 python-ipykernel                   	       2        0        2        0        0
43249 python-irc                         	       2        0        2        0        0
43250 python-isodate                     	       2        0        2        0        0
43251 python-jedi                        	       2        0        2        0        0
43252 python-joblib                      	       2        1        1        0        0
43253 python-josepy                      	       2        0        2        0        0
43254 python-jsonrpclib                  	       2        0        2        0        0
43255 python-junitxml                    	       2        0        2        0        0
43256 python-jupyter-client              	       2        0        2        0        0
43257 python-jupyter-client-doc          	       2        0        0        0        2
43258 python-jupyter-console-doc         	       2        0        0        0        2
43259 python-jupyter-core                	       2        0        2        0        0
43260 python-kaa-imlib2                  	       2        1        1        0        0
43261 python-levenshtein                 	       2        0        2        0        0
43262 python-linecache2                  	       2        0        2        0        0
43263 python-louie                       	       2        0        2        0        0
43264 python-louis                       	       2        0        2        0        0
43265 python-lxml-dbg                    	       2        0        2        0        0
43266 python-meld3                       	       2        1        1        0        0
43267 python-mock-doc                    	       2        0        0        0        2
43268 python-moinmoin                    	       2        1        1        0        0
43269 python-mpi4py                      	       2        0        2        0        0
43270 python-musicbrainzngs-doc          	       2        0        0        0        2
43271 python-nbxmpp                      	       2        0        2        0        0
43272 python-neovim                      	       2        0        2        0        0
43273 python-nevow                       	       2        0        2        0        0
43274 python-notebook-doc                	       2        0        0        0        2
43275 python-novnc                       	       2        0        2        0        0
43276 python-numpy-dbg                   	       2        0        2        0        0
43277 python-oauth2client                	       2        0        2        0        0
43278 python-openstackclient-doc         	       2        0        0        0        2
43279 python-oslo.config                 	       2        0        2        0        0
43280 python-oslo.i18n                   	       2        0        2        0        0
43281 python-owslib                      	       2        0        2        0        0
43282 python-parted-doc                  	       2        0        0        0        2
43283 python-pastedeploy                 	       2        0        2        0        0
43284 python-pbkdf2                      	       2        0        2        0        0
43285 python-pdfminer                    	       2        1        1        0        0
43286 python-pep8                        	       2        0        2        0        0
43287 python-pkgconfig                   	       2        0        2        0        0
43288 python-prettytable                 	       2        0        2        0        0
43289 python-pyaudio                     	       2        0        2        0        0
43290 python-pycuda-doc                  	       2        0        0        0        2
43291 python-pydicom                     	       2        0        2        0        0
43292 python-pydotplus                   	       2        0        2        0        0
43293 python-pyface                      	       2        0        2        0        0
43294 python-pygraphviz-doc              	       2        0        0        0        2
43295 python-pylibmc                     	       2        0        2        0        0
43296 python-pymongo-doc                 	       2        0        0        0        2
43297 python-pyodbc                      	       2        0        2        0        0
43298 python-pyptlib                     	       2        0        2        0        0
43299 python-pyqt5.qwt-doc               	       2        0        0        0        2
43300 python-pyresample-test             	       2        0        0        0        2
43301 python-pyside2.qtopengl            	       2        0        2        0        0
43302 python-pyside2.qtsvg               	       2        0        2        0        0
43303 python-pyspatialite                	       2        0        2        0        0
43304 python-pytest-doc                  	       2        0        0        0        2
43305 python-pywt-doc                    	       2        0        0        0        2
43306 python-pyxmpp                      	       2        1        1        0        0
43307 python-q-text-as-data              	       2        0        2        0        0
43308 python-qgis                        	       2        0        2        0        0
43309 python-qrencode                    	       2        0        2        0        0
43310 python-rabbyt                      	       2        0        2        0        0
43311 python-rados                       	       2        0        2        0        0
43312 python-rbd                         	       2        0        2        0        0
43313 python-rdflib                      	       2        0        2        0        0
43314 python-redis                       	       2        1        1        0        0
43315 python-requests-toolbelt           	       2        0        2        0        0
43316 python-requests-toolbelt-doc       	       2        0        0        0        2
43317 python-rfc3986                     	       2        0        2        0        0
43318 python-rope                        	       2        0        2        0        0
43319 python-ropemode                    	       2        0        2        0        0
43320 python-rpy2                        	       2        0        2        0        0
43321 python-rsa                         	       2        0        2        0        0
43322 python-rsvg                        	       2        0        2        0        0
43323 python-selenium                    	       2        0        2        0        0
43324 python-sendfile                    	       2        0        2        0        0
43325 python-sepolgen                    	       2        0        2        0        0
43326 python-setools                     	       2        0        2        0        0
43327 python-shapely                     	       2        0        2        0        0
43328 python-simpletal                   	       2        0        1        0        1
43329 python-simpy3-doc                  	       2        0        0        0        2
43330 python-sip-dbg                     	       2        0        2        0        0
43331 python-sip-dev                     	       2        0        2        0        0
43332 python-six-doc                     	       2        0        0        0        2
43333 python-sklearn-doc                 	       2        0        0        0        2
43334 python-slowaes                     	       2        0        2        0        0
43335 python-smartpm                     	       2        1        1        0        0
43336 python-smbus                       	       2        1        1        0        0
43337 python-snappy                      	       2        0        2        0        0
43338 python-socksipy                    	       2        0        2        0        0
43339 python-sortedcontainers            	       2        1        1        0        0
43340 python-soya                        	       2        0        2        0        0
43341 python-sphinx-feature-classification-doc	       2        0        0        0        2
43342 python-sqlparse                    	       2        0        2        0        0
43343 python-statsmodels                 	       2        0        1        0        1
43344 python-statsmodels-lib             	       2        0        2        0        0
43345 python-subunit                     	       2        0        2        0        0
43346 python-tagpy                       	       2        0        2        0        0
43347 python-testtools-doc               	       2        0        0        0        2
43348 python-tinycss2-doc                	       2        0        0        0        2
43349 python-toolz-doc                   	       2        0        0        0        2
43350 python-tqdm                        	       2        0        2        0        0
43351 python-traceback2                  	       2        0        2        0        0
43352 python-traitsui                    	       2        0        2        0        0
43353 python-twisted-web2                	       2        0        2        0        0
43354 python-twodict                     	       2        0        2        0        0
43355 python-txaio                       	       2        0        2        0        0
43356 python-ufl-doc                     	       2        0        0        0        2
43357 python-unbound                     	       2        1        1        0        0
43358 python-unicodecsv                  	       2        1        1        0        0
43359 python-unittest2                   	       2        0        2        0        0
43360 python-uritemplate                 	       2        0        2        0        0
43361 python-utmp                        	       2        0        2        0        0
43362 python-vte                         	       2        0        0        0        2
43363 python-vtk6                        	       2        0        2        0        0
43364 python-waitress                    	       2        0        2        0        0
43365 python-websockify                  	       2        0        2        0        0
43366 python-webtest                     	       2        0        2        0        0
43367 python-xappy                       	       2        1        1        0        0
43368 python-xlsxwriter                  	       2        0        2        0        0
43369 python-xvfbwrapper                 	       2        0        2        0        0
43370 python2.7-examples                 	       2        0        0        0        2
43371 python3-accessible-pygments        	       2        0        2        0        0
43372 python3-acora                      	       2        0        2        0        0
43373 python3-actionlib                  	       2        0        2        0        0
43374 python3-actionlib-msgs             	       2        0        2        0        0
43375 python3-admesh                     	       2        0        2        0        0
43376 python3-afdko                      	       2        0        2        0        0
43377 python3-aiosasl                    	       2        0        2        0        0
43378 python3-ament-cmake-test           	       2        0        2        0        0
43379 python3-ament-package              	       2        0        2        0        0
43380 python3-aplpy                      	       2        0        2        0        0
43381 python3-asdf                       	       2        0        2        0        0
43382 python3-asdf-astropy               	       2        0        2        0        0
43383 python3-asdf-coordinates-schemas   	       2        0        2        0        0
43384 python3-asdf-standard              	       2        0        2        0        0
43385 python3-asdf-transform-schemas     	       2        0        2        0        0
43386 python3-asdf-wcs-schemas           	       2        0        2        0        0
43387 python3-astlib                     	       2        0        2        0        0
43388 python3-astroml                    	       2        0        2        0        0
43389 python3-astroplan                  	       2        0        2        0        0
43390 python3-astropy-affiliated         	       2        0        0        0        2
43391 python3-astropy-sphinx-theme       	       2        0        2        0        0
43392 python3-astroquery                 	       2        0        2        0        0
43393 python3-azure-cli-testsdk          	       2        0        2        0        0
43394 python3-azure-functions-devops-build	       2        0        2        0        0
43395 python3-bareos                     	       2        1        1        0        0
43396 python3-bashate                    	       2        0        2        0        0
43397 python3-bitcoinlib                 	       2        0        2        0        0
43398 python3-braceexpand                	       2        0        2        0        0
43399 python3-breathe                    	       2        0        2        0        0
43400 python3-breezy.tests               	       2        0        2        0        0
43401 python3-btchip                     	       2        1        1        0        0
43402 python3-bugzilla                   	       2        0        2        0        0
43403 python3-bz2file                    	       2        0        2        0        0
43404 python3-caldav                     	       2        0        2        0        0
43405 python3-casa-formats-io            	       2        0        2        0        0
43406 python3-cdo                        	       2        0        2        0        0
43407 python3-cdsapi                     	       2        0        2        0        0
43408 python3-cinderclient               	       2        0        2        0        0
43409 python3-clang                      	       2        0        0        0        2
43410 python3-clang-19                   	       2        0        0        2        0
43411 python3-compyle                    	       2        0        2        0        0
43412 python3-crayons                    	       2        0        2        0        0
43413 python3-crontab                    	       2        0        2        0        0
43414 python3-csscompressor              	       2        0        2        0        0
43415 python3-cyarray                    	       2        0        1        1        0
43416 python3-cysignals-bare             	       2        0        1        1        0
43417 python3-datrie                     	       2        0        2        0        0
43418 python3-dbus-next                  	       2        0        1        1        0
43419 python3-deap                       	       2        0        1        1        0
43420 python3-demjson                    	       2        1        1        0        0
43421 python3-descartes                  	       2        0        2        0        0
43422 python3-dict2xml                   	       2        0        2        0        0
43423 python3-dicttoxml                  	       2        0        2        0        0
43424 python3-django-allauth             	       2        1        1        0        0
43425 python3-django-appconf             	       2        0        2        0        0
43426 python3-django-compressor          	       2        0        2        0        0
43427 python3-django-extensions          	       2        1        1        0        0
43428 python3-django-filters             	       2        0        2        0        0
43429 python3-django-gravatar2           	       2        0        2        0        0
43430 python3-django-guardian            	       2        0        2        0        0
43431 python3-django-haystack            	       2        0        2        0        0
43432 python3-django-hyperkitty          	       2        0        2        0        0
43433 python3-django-mailman3            	       2        0        2        0        0
43434 python3-django-picklefield         	       2        0        2        0        0
43435 python3-django-postorius           	       2        0        2        0        0
43436 python3-django-q                   	       2        0        2        0        0
43437 python3-djangorestframework        	       2        1        1        0        0
43438 python3-dkim                       	       2        0        2        0        0
43439 python3-dmidecode                  	       2        0        2        0        0
43440 python3-dotmap                     	       2        0        2        0        0
43441 python3-eccodes                    	       2        0        2        0        0
43442 python3-editor                     	       2        0        2        0        0
43443 python3-emcee                      	       2        0        2        0        0
43444 python3-exabgp                     	       2        0        2        0        0
43445 python3-extruct                    	       2        0        2        0        0
43446 python3-fabulous                   	       2        0        2        0        0
43447 python3-falcon                     	       2        0        2        0        0
43448 python3-findpython                 	       2        0        1        1        0
43449 python3-fisx                       	       2        0        2        0        0
43450 python3-flasgger                   	       2        0        2        0        0
43451 python3-flufl.bounce               	       2        0        2        0        0
43452 python3-flufl.i18n                 	       2        1        1        0        0
43453 python3-flufl.lock                 	       2        1        1        0        0
43454 python3-fontmake                   	       2        0        2        0        0
43455 python3-fontparts                  	       2        0        2        0        0
43456 python3-fpyutils                   	       2        0        2        0        0
43457 python3-ftdi1                      	       2        0        2        0        0
43458 python3-gencpp                     	       2        0        2        0        0
43459 python3-genlisp                    	       2        0        2        0        0
43460 python3-geometry-msgs              	       2        0        2        0        0
43461 python3-geotiepoints               	       2        0        2        0        0
43462 python3-ghostscript                	       2        0        2        0        0
43463 python3-glanceclient               	       2        0        2        0        0
43464 python3-glymur                     	       2        0        2        0        0
43465 python3-glyphslib                  	       2        0        2        0        0
43466 python3-grib                       	       2        0        2        0        0
43467 python3-grpc-tools                 	       2        0        2        0        0
43468 python3-guizero                    	       2        0        2        0        0
43469 python3-gwcs                       	       2        0        2        0        0
43470 python3-harmony                    	       2        0        2        0        0
43471 python3-html-text                  	       2        0        2        0        0
43472 python3-hupper                     	       2        0        2        0        0
43473 python3-imexam                     	       2        0        2        0        0
43474 python3-imgviz                     	       2        0        2        0        0
43475 python3-internetarchive            	       2        0        1        1        0
43476 python3-irc                        	       2        0        2        0        0
43477 python3-jae                        	       2        0        2        0        0
43478 python3-jenkins                    	       2        0        2        0        0
43479 python3-jira                       	       2        0        2        0        0
43480 python3-jpylyzer                   	       2        0        2        0        0
43481 python3-json-tricks                	       2        0        2        0        0
43482 python3-jsonpath-rw                	       2        0        2        0        0
43483 python3-jstyleson                  	       2        0        2        0        0
43484 python3-jupyter-events             	       2        2        0        0        0
43485 python3-jupyter-server-mathjax     	       2        1        1        0        0
43486 python3-jupyter-server-terminals   	       2        1        1        0        0
43487 python3-kea-connector              	       2        0        2        0        0
43488 python3-keras-applications         	       2        0        2        0        0
43489 python3-keras-preprocessing        	       2        0        2        0        0
43490 python3-kitchen                    	       2        0        2        0        0
43491 python3-l20n                       	       2        0        2        0        0
43492 python3-lazr.config                	       2        1        1        0        0
43493 python3-lazr.delegates             	       2        1        1        0        0
43494 python3-lazy-loader                	       2        0        2        0        0
43495 python3-lesscpy                    	       2        0        2        0        0
43496 python3-libproxy                   	       2        0        2        0        0
43497 python3-librecaptcha               	       2        0        2        0        0
43498 python3-libsvm                     	       2        0        2        0        0
43499 python3-lldb-11                    	       2        0        0        0        2
43500 python3-logfury                    	       2        0        2        0        0
43501 python3-loguru                     	       2        0        2        0        0
43502 python3-mailman-hyperkitty         	       2        0        2        0        0
43503 python3-mailmanclient              	       2        0        2        0        0
43504 python3-mapproxy                   	       2        1        1        0        0
43505 python3-markdown2                  	       2        0        2        0        0
43506 python3-mastodon                   	       2        0        2        0        0
43507 python3-md-toc                     	       2        0        2        0        0
43508 python3-mdit-py-plugins            	       2        0        2        0        0
43509 python3-mdp                        	       2        0        2        0        0
43510 python3-meshio                     	       2        0        2        0        0
43511 python3-meshtastic                 	       2        0        2        0        0
43512 python3-mf2py                      	       2        0        2        0        0
43513 python3-mintpy                     	       2        0        2        0        0
43514 python3-mitogen                    	       2        0        2        0        0
43515 python3-mockldap                   	       2        0        2        0        0
43516 python3-montage-wrapper            	       2        0        2        0        0
43517 python3-move-base-msgs             	       2        0        2        0        0
43518 python3-mpv                        	       2        0        2        0        0
43519 python3-multi-key-dict             	       2        0        2        0        0
43520 python3-multiprocess               	       2        0        2        0        0
43521 python3-mupdf                      	       2        0        1        1        0
43522 python3-murmurhash                 	       2        0        2        0        0
43523 python3-mutatormath                	       2        0        2        0        0
43524 python3-myhdl                      	       2        0        2        0        0
43525 python3-myst-parser                	       2        0        2        0        0
43526 python3-ndcube                     	       2        0        2        0        0
43527 python3-ndg-httpsclient            	       2        1        1        0        0
43528 python3-networkmanager             	       2        0        2        0        0
43529 python3-nmea2                      	       2        0        2        0        0
43530 python3-notcurses                  	       2        0        2        0        0
43531 python3-nox                        	       2        0        2        0        0
43532 python3-nss                        	       2        0        2        0        0
43533 python3-odil                       	       2        0        2        0        0
43534 python3-onnx                       	       2        0        2        0        0
43535 python3-openai                     	       2        0        0        2        0
43536 python3-opencv-apps                	       2        0        2        0        0
43537 python3-openrazer                  	       2        0        1        1        0
43538 python3-openstackclient            	       2        0        2        0        0
43539 python3-openstep-plist             	       2        0        1        1        0
43540 python3-openturns                  	       2        0        2        0        0
43541 python3-orderedattrdict            	       2        0        2        0        0
43542 python3-os-resource-classes        	       2        0        2        0        0
43543 python3-os-traits                  	       2        0        2        0        0
43544 python3-os-vif                     	       2        0        2        0        0
43545 python3-oslo.privsep               	       2        0        2        0        0
43546 python3-oslo.reports               	       2        0        2        0        0
43547 python3-oslo.rootwrap              	       2        0        2        0        0
43548 python3-oslo.versionedobjects      	       2        0        2        0        0
43549 python3-osmapi                     	       2        0        2        0        0
43550 python3-osprofiler                 	       2        0        2        0        0
43551 python3-overpass                   	       2        0        2        0        0
43552 python3-overpy                     	       2        0        2        0        0
43553 python3-overrides                  	       2        1        1        0        0
43554 python3-ovsdbapp                   	       2        0        2        0        0
43555 python3-pako                       	       2        0        2        0        0
43556 python3-parallax                   	       2        0        2        0        0
43557 python3-path                       	       2        0        2        0        0
43558 python3-pcapy                      	       2        0        2        0        0
43559 python3-pcbasic-doc                	       2        0        0        0        2
43560 python3-pdfrw                      	       2        0        2        0        0
43561 python3-pdm                        	       2        0        1        1        0
43562 python3-pdm-pep517                 	       2        0        1        1        0
43563 python3-pg8000                     	       2        0        2        0        0
43564 python3-pgpy                       	       2        0        2        0        0
43565 python3-pgpy-doc                   	       2        0        0        0        2
43566 python3-plaster                    	       2        0        2        0        0
43567 python3-plaster-pastedeploy        	       2        0        2        0        0
43568 python3-pluginbase                 	       2        0        2        0        0
43569 python3-plyvel                     	       2        0        2        0        0
43570 python3-pocketsphinx               	       2        0        2        0        0
43571 python3-praw                       	       2        0        2        0        0
43572 python3-prawcore                   	       2        0        2        0        0
43573 python3-proselint                  	       2        0        2        0        0
43574 python3-proton-keyring-linux-secretservice	       2        0        2        0        0
43575 python3-proton-vpn-killswitch-network-manager	       2        0        2        0        0
43576 python3-proton-vpn-logger          	       2        0        2        0        0
43577 python3-proton-vpn-network-manager-openvpn	       2        0        2        0        0
43578 python3-pulp                       	       2        0        2        0        0
43579 python3-pyaps3                     	       2        0        2        0        0
43580 python3-pyavm                      	       2        0        2        0        0
43581 python3-pydl                       	       2        0        2        0        0
43582 python3-pyfftw                     	       2        0        2        0        0
43583 python3-pyfzf                      	       2        0        1        1        0
43584 python3-pygac                      	       2        0        2        0        0
43585 python3-pyginac                    	       2        1        0        1        0
43586 python3-pyginac-dbgsym             	       2        1        0        1        0
43587 python3-pyhamtools                 	       2        0        2        0        0
43588 python3-pykeepass                  	       2        0        2        0        0
43589 python3-pyls                       	       2        0        2        0        0
43590 python3-pyls-black                 	       2        0        2        0        0
43591 python3-pyls-jsonrpc               	       2        0        2        0        0
43592 python3-pymoebinv                  	       2        1        0        1        0
43593 python3-pymoebinv-dbgsym           	       2        1        0        1        0
43594 python3-pympler                    	       2        1        1        0        0
43595 python3-pymupdf                    	       2        0        1        1        0
43596 python3-pynlpl                     	       2        0        2        0        0
43597 python3-pynput                     	       2        0        2        0        0
43598 python3-pyorbital                  	       2        0        2        0        0
43599 python3-pyqt4.qtopengl             	       2        0        2        0        0
43600 python3-pyqt5-dbg                  	       2        0        2        0        0
43601 python3-pyqt5.qtbluetooth          	       2        0        2        0        0
43602 python3-pyqt5.qtnfc                	       2        0        2        0        0
43603 python3-pyqt6.qtnfc                	       2        0        1        1        0
43604 python3-pyramid                    	       2        0        2        0        0
43605 python3-pyrdfa                     	       2        0        2        0        0
43606 python3-pyregion                   	       2        0        2        0        0
43607 python3-pyresample                 	       2        0        2        0        0
43608 python3-pyside2.qt3dextras         	       2        0        2        0        0
43609 python3-pyside2.qt3dinput          	       2        0        2        0        0
43610 python3-pyside2.qtmultimedia       	       2        0        2        0        0
43611 python3-pyside2.qtmultimediawidgets	       2        0        2        0        0
43612 python3-pyside2.qtquickwidgets     	       2        0        2        0        0
43613 python3-pyside6.qthelp             	       2        1        0        1        0
43614 python3-pyside6.qtprintsupport     	       2        1        0        1        0
43615 python3-pysnmp4-mibs               	       2        0        2        0        0
43616 python3-pysolid                    	       2        0        2        0        0
43617 python3-pyspectral                 	       2        0        2        0        0
43618 python3-pyspnego                   	       2        0        0        2        0
43619 python3-pysubs2                    	       2        0        2        0        0
43620 python3-pytest-cython              	       2        0        2        0        0
43621 python3-pytest-runner              	       2        0        2        0        0
43622 python3-python-qt-binding          	       2        0        2        0        0
43623 python3-python-zxcvbn-rs-py        	       2        0        2        0        0
43624 python3-pyuca                      	       2        0        2        0        0
43625 python3-pyvo                       	       2        0        2        0        0
43626 python3-pywps                      	       2        0        2        0        0
43627 python3-qrcodegen                  	       2        0        2        0        0
43628 python3-qtpy-pyqt5                 	       2        0        0        0        2
43629 python3-radio-beam                 	       2        0        2        0        0
43630 python3-ratelimiter                	       2        0        2        0        0
43631 python3-rcssmin                    	       2        1        1        0        0
43632 python3-rdflib-jsonld              	       2        0        2        0        0
43633 python3-recipe-scrapers            	       2        0        2        0        0
43634 python3-recurring-ical-events      	       2        0        2        0        0
43635 python3-relatorio                  	       2        0        2        0        0
43636 python3-requirement-parser         	       2        0        2        0        0
43637 python3-restructuredtext-lint      	       2        0        2        0        0
43638 python3-rioxarray                  	       2        0        2        0        0
43639 python3-robot-detection            	       2        0        2        0        0
43640 python3-roscpp-msg                 	       2        0        2        0        0
43641 python3-rosgraph                   	       2        0        2        0        0
43642 python3-rosgraph-msgs              	       2        0        2        0        0
43643 python3-roslib                     	       2        0        2        0        0
43644 python3-rospkg                     	       2        0        2        0        0
43645 python3-rospy                      	       2        0        2        0        0
43646 python3-rosunit                    	       2        0        2        0        0
43647 python3-rq                         	       2        0        2        0        0
43648 python3-screed                     	       2        0        2        0        0
43649 python3-scruffy                    	       2        0        2        0        0
43650 python3-searpc                     	       2        0        2        0        0
43651 python3-sensor-msgs                	       2        0        2        0        0
43652 python3-setoolsgui                 	       2        0        2        0        0
43653 python3-sfml                       	       2        0        2        0        0
43654 python3-sgp4                       	       2        0        2        0        0
43655 python3-showinfilemanager          	       2        0        2        0        0
43656 python3-sigmavirus24-urltemplate   	       2        0        0        0        2
43657 python3-simpy3                     	       2        0        2        0        0
43658 python3-skyfield                   	       2        0        2        0        0
43659 python3-slip                       	       2        0        2        0        0
43660 python3-slip-dbus                  	       2        0        2        0        0
43661 python3-slixmpp                    	       2        0        2        0        0
43662 python3-slixmpp-lib                	       2        0        2        0        0
43663 python3-spectral-cube              	       2        0        2        0        0
43664 python3-specutils                  	       2        0        2        0        0
43665 python3-sphinx-a4doc               	       2        0        2        0        0
43666 python3-sphinx-argparse            	       2        0        2        0        0
43667 python3-sphinx-astropy             	       2        0        2        0        0
43668 python3-sphinx-autobuild           	       2        0        2        0        0
43669 python3-sphinx-autodoc-typehints   	       2        0        2        0        0
43670 python3-sphinx-automodapi          	       2        0        2        0        0
43671 python3-sphinx-autorun             	       2        0        2        0        0
43672 python3-sphinx-celery              	       2        0        2        0        0
43673 python3-sphinx-feature-classification	       2        0        2        0        0
43674 python3-sphinx-paramlinks          	       2        0        2        0        0
43675 python3-sphinx-prompt              	       2        0        0        0        2
43676 python3-sphinx-rst-builder         	       2        0        2        0        0
43677 python3-sphinx-tabs                	       2        0        2        0        0
43678 python3-sphinx-testing             	       2        0        2        0        0
43679 python3-sphinxbase                 	       2        0        2        0        0
43680 python3-sphinxcontrib-asyncio      	       2        0        2        0        0
43681 python3-sphinxcontrib.blockdiag    	       2        1        1        0        0
43682 python3-sphinxcontrib.httpdomain   	       2        0        2        0        0
43683 python3-sphinxcontrib.nwdiag       	       2        1        1        0        0
43684 python3-sphinxcontrib.programoutput	       2        0        2        0        0
43685 python3-sphinxcontrib.qthelp       	       2        1        1        0        0
43686 python3-sphinxcontrib.restbuilder  	       2        1        1        0        0
43687 python3-sphinxcontrib.seqdiag      	       2        1        1        0        0
43688 python3-sphinxcontrib.spelling     	       2        0        2        0        0
43689 python3-sphinxcontrib.svg2pdfconverter	       2        1        1        0        0
43690 python3-sphinxtesters              	       2        0        2        0        0
43691 python3-sqlalchemy-i18n            	       2        0        2        0        0
43692 python3-sqlite-migrate             	       2        0        0        2        0
43693 python3-srt                        	       2        0        2        0        0
43694 python3-subversion                 	       2        0        2        0        0
43695 python3-svgelements                	       2        0        2        0        0
43696 python3-svgwrite                   	       2        0        2        0        0
43697 python3-text-unidecode             	       2        0        2        0        0
43698 python3-tf                         	       2        0        2        0        0
43699 python3-tf2                        	       2        0        2        0        0
43700 python3-tf2-msgs                   	       2        0        2        0        0
43701 python3-tf2-ros                    	       2        0        2        0        0
43702 python3-thefuzz                    	       2        0        2        0        0
43703 python3-tlpui                      	       2        0        2        0        0
43704 python3-translationstring          	       2        0        2        0        0
43705 python3-trollsift                  	       2        0        2        0        0
43706 python3-typedload                  	       2        0        2        0        0
43707 python3-ubuntutools                	       2        0        2        0        0
43708 python3-udatetime                  	       2        0        2        0        0
43709 python3-ufl                        	       2        0        2        0        0
43710 python3-ufoprocessor               	       2        0        2        0        0
43711 python3-ulid                       	       2        0        2        0        0
43712 python3-unearth                    	       2        0        1        1        0
43713 python3-vagrant                    	       2        0        2        0        0
43714 python3-validators                 	       2        0        2        0        0
43715 python3-validictory                	       2        0        2        0        0
43716 python3-vcstools                   	       2        0        2        0        0
43717 python3-venusian                   	       2        0        2        0        0
43718 python3-veusz                      	       2        0        2        0        0
43719 python3-veusz.helpers              	       2        0        2        0        0
43720 python3-vigra                      	       2        0        2        0        0
43721 python3-virtualsmartcard           	       2        0        2        0        0
43722 python3-vmdkstream                 	       2        0        2        0        0
43723 python3-vsts-cd-manager            	       2        0        2        0        0
43724 python3-warlock                    	       2        0        2        0        0
43725 python3-webvtt                     	       2        0        2        0        0
43726 python3-wget                       	       2        0        2        0        0
43727 python3-whatthepatch               	       2        0        2        0        0
43728 python3-x-wr-timezone              	       2        0        2        0        0
43729 python3-xarray-sentinel            	       2        0        2        0        0
43730 python3-xdo                        	       2        0        2        0        0
43731 python3-xkit                       	       2        0        2        0        0
43732 python3-xmodem                     	       2        0        2        0        0
43733 python3-zombie-telnetlib           	       2        0        2        0        0
43734 python3-zope.configuration         	       2        1        1        0        0
43735 python3-zope.deprecation           	       2        0        2        0        0
43736 python3-zope.i18nmessageid         	       2        1        1        0        0
43737 python3-zope.schema                	       2        1        1        0        0
43738 python3-zstd                       	       2        0        2        0        0
43739 python3-zxcvbn                     	       2        0        2        0        0
43740 python3.13-dbg                     	       2        0        1        1        0
43741 python3.13-full                    	       2        0        0        0        2
43742 python3.9-full                     	       2        0        0        0        2
43743 pytrainer                          	       2        0        2        0        0
43744 pywps                              	       2        0        0        0        2
43745 pywps-wsgi                         	       2        0        0        0        2
43746 pyxplot-doc                        	       2        0        0        0        2
43747 q4os-api                           	       2        0        2        0        0
43748 q4os-base                          	       2        0        2        0        0
43749 q4os-deps                          	       2        0        0        0        2
43750 q4os-desktop                       	       2        0        0        0        2
43751 q4os-fonts-noto                    	       2        0        0        0        2
43752 q4os-i18n                          	       2        0        1        0        1
43753 q4os-keyrings                      	       2        0        0        0        2
43754 q4os-setup                         	       2        0        2        0        0
43755 q4os-sw-profiler                   	       2        0        2        0        0
43756 q4os-sw-profiler-data              	       2        0        0        0        2
43757 q4os-swcentre                      	       2        0        2        0        0
43758 q4os-swcentre-data                 	       2        0        0        0        2
43759 q4os-welcome-common                	       2        0        0        0        2
43760 qbittorrent-dbg                    	       2        0        2        0        0
43761 qbs-dev                            	       2        0        2        0        0
43762 qbs-doc                            	       2        0        0        0        2
43763 qca-qt5-2-utils                    	       2        0        2        0        0
43764 qcad                               	       2        0        0        0        2
43765 qemuctl                            	       2        1        1        0        0
43766 qgis-server                        	       2        0        0        0        2
43767 qgis-server-bin                    	       2        0        2        0        0
43768 qgis-server-common                 	       2        0        0        0        2
43769 qgis-server-dummy                  	       2        0        2        0        0
43770 qgis-server-landingpage            	       2        0        2        0        0
43771 qgis-server-wcs                    	       2        0        2        0        0
43772 qgis-server-wfs                    	       2        0        2        0        0
43773 qgis-server-wfs3                   	       2        0        2        0        0
43774 qgis-server-wms                    	       2        0        2        0        0
43775 qgis-server-wmts                   	       2        0        2        0        0
43776 qml-module-org-kde-qqc2breezestyle 	       2        0        0        0        2
43777 qml-module-qt-labs-location        	       2        0        0        0        2
43778 qml-module-qt-labs-sharedimage     	       2        0        0        0        2
43779 qml-module-qt-labs-wavefrontmesh   	       2        0        0        0        2
43780 qml-module-qt-websockets           	       2        0        0        0        2
43781 qml-module-qtaudioengine           	       2        0        0        0        2
43782 qml-module-qtbluetooth             	       2        0        0        0        2
43783 qml6-module-org-kde-kquickimageeditor	       2        0        0        0        2
43784 qml6-module-org-kde-layershell     	       2        0        0        0        2
43785 qml6-module-qt3d-animation         	       2        0        0        0        2
43786 qml6-module-qt3d-core              	       2        0        0        0        2
43787 qml6-module-qt3d-extras            	       2        0        0        0        2
43788 qml6-module-qt3d-input             	       2        0        0        0        2
43789 qml6-module-qt3d-logic             	       2        0        0        0        2
43790 qml6-module-qt3d-render            	       2        0        0        0        2
43791 qml6-module-qtdatavisualization    	       2        0        0        0        2
43792 qml6-module-qtquick3d-physics      	       2        0        0        0        2
43793 qml6-module-qtremoteobjects        	       2        0        0        0        2
43794 qml6-module-qtscxml                	       2        0        0        0        2
43795 qml6-module-qttexttospeech         	       2        0        0        0        2
43796 qmlscene-qt6                       	       2        0        1        1        0
43797 qmpdclient                         	       2        0        2        0        0
43798 qnetstatview                       	       2        0        2        0        0
43799 qownnotes                          	       2        0        2        0        0
43800 qperf                              	       2        0        2        0        0
43801 qqwing                             	       2        0        2        0        0
43802 qrazercfg-applet                   	       2        0        2        0        0
43803 qrterminal                         	       2        0        2        0        0
43804 qsapecng                           	       2        0        2        0        0
43805 qspeakers                          	       2        0        2        0        0
43806 qt3-dev-tools                      	       2        0        2        0        0
43807 qt3-doc                            	       2        0        0        0        2
43808 qt4-demos                          	       2        0        2        0        0
43809 qt6-base-doc                       	       2        0        0        0        2
43810 qt6-base-doc-dev                   	       2        0        0        0        2
43811 qt6-base-doc-html                  	       2        0        0        0        2
43812 qt6-base-examples                  	       2        0        0        0        2
43813 qt6-lottie-dev                     	       2        0        0        2        0
43814 qt6-quicktimeline-dev              	       2        0        0        2        0
43815 qt6-sensors-dev                    	       2        0        0        2        0
43816 qt6-speech-dev                     	       2        0        1        1        0
43817 qt6-websockets-dev                 	       2        0        0        2        0
43818 qtcurve-trinity                    	       2        0        0        0        2
43819 qtdeclarative4-kqtquickcharts-1    	       2        0        2        0        0
43820 qtemu                              	       2        0        2        0        0
43821 qterm                              	       2        1        1        0        0
43822 qtfeedback5-dev                    	       2        0        2        0        0
43823 qtgamepad5-examples                	       2        0        0        0        2
43824 qtlocation5-examples               	       2        0        0        0        2
43825 qtmir-desktop                      	       2        0        0        0        2
43826 qtpdf5-dev                         	       2        0        2        0        0
43827 qtpim5-dev                         	       2        0        2        0        0
43828 qtquickcontrols5-examples          	       2        0        0        0        2
43829 qtremoteobjects5-examples          	       2        0        0        0        2
43830 qtscript5-examples                 	       2        0        0        0        2
43831 qtscxml5-examples                  	       2        0        0        0        2
43832 qtserialbus5-examples              	       2        0        0        0        2
43833 qtspeech5-examples                 	       2        0        0        0        2
43834 qtsvg5-examples                    	       2        0        0        0        2
43835 qttinysa                           	       2        0        2        0        0
43836 qtvirtualkeyboard5-examples        	       2        0        0        0        2
43837 qtwayland5-examples                	       2        0        0        0        2
43838 qtwebchannel5-examples             	       2        0        0        0        2
43839 qtwebsockets5-examples             	       2        0        0        0        2
43840 qtwebview5-examples                	       2        0        0        0        2
43841 qtxmlpatterns5-examples            	       2        0        0        0        2
43842 quagga                             	       2        0        0        0        2
43843 quagga-bgpd                        	       2        0        2        0        0
43844 quagga-isisd                       	       2        0        2        0        0
43845 quagga-ospf6d                      	       2        0        2        0        0
43846 quagga-pimd                        	       2        0        2        0        0
43847 quagga-ripd                        	       2        0        2        0        0
43848 quagga-ripngd                      	       2        0        2        0        0
43849 quake-armagon                      	       2        0        0        0        2
43850 quake-dissolution                  	       2        0        0        0        2
43851 quake2-full-data                   	       2        0        0        0        2
43852 quake2-music                       	       2        0        0        0        2
43853 quake3-demo-data                   	       2        0        2        0        0
43854 qucs-s                             	       2        0        2        0        0
43855 quickgui                           	       2        0        0        0        2
43856 quickplot                          	       2        0        2        0        0
43857 quilt-el                           	       2        1        1        0        0
43858 qutebrowser-qtwebkit               	       2        0        0        0        2
43859 qvge                               	       2        0        2        0        0
43860 qvidcap                            	       2        0        2        0        0
43861 qxp2odg                            	       2        0        2        0        0
43862 r-cran-ade4                        	       2        0        2        0        0
43863 r-cran-argparse                    	       2        0        2        0        0
43864 r-cran-bayesm                      	       2        0        2        0        0
43865 r-cran-cubature                    	       2        1        1        0        0
43866 r-cran-ecodist                     	       2        1        1        0        0
43867 r-cran-fassets                     	       2        1        1        0        0
43868 r-cran-fastcluster                 	       2        0        1        1        0
43869 r-cran-fcopulae                    	       2        1        1        0        0
43870 r-cran-findpython                  	       2        0        2        0        0
43871 r-cran-fmultivar                   	       2        1        1        0        0
43872 r-cran-fnonlinear                  	       2        1        1        0        0
43873 r-cran-fportfolio                  	       2        1        1        0        0
43874 r-cran-getopt                      	       2        0        2        0        0
43875 r-cran-getoptlong                  	       2        0        2        0        0
43876 r-cran-ggdendro                    	       2        0        2        0        0
43877 r-cran-gmodels                     	       2        0        2        0        0
43878 r-cran-gnm                         	       2        0        2        0        0
43879 r-cran-gwidgets                    	       2        0        2        0        0
43880 r-cran-gwidgetstcltk               	       2        0        2        0        0
43881 r-cran-hwriter                     	       2        0        2        0        0
43882 r-cran-iso                         	       2        0        2        0        0
43883 r-cran-maptree                     	       2        0        2        0        0
43884 r-cran-mnp                         	       2        0        2        0        0
43885 r-cran-mvnormtest                  	       2        1        1        0        0
43886 r-cran-nortest                     	       2        0        2        0        0
43887 r-cran-pixmap                      	       2        0        2        0        0
43888 r-cran-pkgmaker                    	       2        0        2        0        0
43889 r-cran-psychotree                  	       2        0        2        0        0
43890 r-cran-qvcalc                      	       2        0        2        0        0
43891 r-cran-ratelimitr                  	       2        0        2        0        0
43892 r-cran-rcmdr                       	       2        0        2        0        0
43893 r-cran-rcmdrmisc                   	       2        0        2        0        0
43894 r-cran-rglpk                       	       2        1        1        0        0
43895 r-cran-rgtk2                       	       2        0        2        0        0
43896 r-cran-rjags                       	       2        0        2        0        0
43897 r-cran-rmariadb                    	       2        0        2        0        0
43898 r-cran-rneos                       	       2        1        1        0        0
43899 r-cran-rsymphony                   	       2        1        1        0        0
43900 r-cran-simplermarkdown             	       2        0        2        0        0
43901 r-cran-snow                        	       2        0        2        0        0
43902 r-cran-stablelearner               	       2        0        2        0        0
43903 r-cran-stringdist                  	       2        0        2        0        0
43904 r-cran-tgp                         	       2        0        2        0        0
43905 r-cran-wikidataqueryservicer       	       2        0        2        0        0
43906 r-cran-wikidatar                   	       2        0        2        0        0
43907 r-cran-wikipedir                   	       2        0        2        0        0
43908 rabbitvcs-gedit                    	       2        0        2        0        0
43909 rabbitvcs-thunar                   	       2        0        0        0        2
43910 radioclk                           	       2        0        2        0        0
43911 radium-compressor                  	       2        0        2        0        0
43912 rambo-k                            	       2        0        2        0        0
43913 raspberrypi-kernel                 	       2        1        0        0        1
43914 raspi-config                       	       2        0        2        0        0
43915 raster3d                           	       2        0        2        0        0
43916 ratfor                             	       2        0        2        0        0
43917 rav1e                              	       2        0        2        0        0
43918 rawtran-doc                        	       2        0        0        0        2
43919 rdtool-elisp                       	       2        0        2        0        0
43920 readpe                             	       2        0        2        0        0
43921 rear-doc                           	       2        0        0        0        2
43922 rebar3                             	       2        0        1        1        0
43923 recon-ng                           	       2        0        2        0        0
43924 recover                            	       2        0        2        0        0
43925 redis-sentinel                     	       2        0        2        0        0
43926 redmine-mysql                      	       2        0        0        0        2
43927 rekor                              	       2        0        1        1        0
43928 remaster-iso                       	       2        0        2        0        0
43929 remmina-dev                        	       2        0        2        0        0
43930 remmina-plugin-nx                  	       2        1        0        0        1
43931 remote-logon-config-agent          	       2        0        2        0        0
43932 remote-logon-service               	       2        0        0        0        2
43933 remotetrx                          	       2        0        2        0        0
43934 reniced                            	       2        0        2        0        0
43935 repetier-host                      	       2        0        2        0        0
43936 rhvoice                            	       2        1        1        0        0
43937 rhvoice-russian                    	       2        0        0        0        2
43938 ricochet                           	       2        0        2        0        0
43939 rien-certbot-manualdns-alwaysdata  	       2        0        2        0        0
43940 rien-host                          	       2        0        1        1        0
43941 rien-mx                            	       2        0        2        0        0
43942 rien-webmail                       	       2        0        0        0        2
43943 rinetd                             	       2        1        1        0        0
43944 ring                               	       2        0        1        0        1
43945 ring-daemon                        	       2        0        1        0        1
43946 rmagic                             	       2        1        1        0        0
43947 rmligs-german                      	       2        0        2        0        0
43948 rockdodger                         	       2        0        2        0        0
43949 rocm-clang-ocl                     	       2        0        2        0        0
43950 rocm-validation-suite              	       2        0        2        0        0
43951 rocprofiler-dev                    	       2        0        1        0        1
43952 roffit                             	       2        0        2        0        0
43953 rollup                             	       2        0        2        0        0
43954 ros-message-generation             	       2        0        0        0        2
43955 rosbash                            	       2        0        2        0        0
43956 roslisp                            	       2        0        0        0        2
43957 rosnix-firewall                    	       2        0        0        0        2
43958 roundcube-skin-classic             	       2        0        0        0        2
43959 roundcube-skin-larry               	       2        0        0        0        2
43960 route-rnd                          	       2        0        2        0        0
43961 rox-archive                        	       2        0        2        0        0
43962 rox-edit                           	       2        0        2        0        0
43963 rox-lib2                           	       2        0        2        0        0
43964 rox-mime-jon                       	       2        0        0        0        2
43965 rox-wallpaper                      	       2        0        2        0        0
43966 rplay-client                       	       2        0        2        0        0
43967 rpm2html                           	       2        0        2        0        0
43968 rpp                                	       2        0        0        0        2
43969 rs                                 	       2        0        2        0        0
43970 rsbackup-graph                     	       2        1        1        0        0
43971 rsgain                             	       2        0        2        0        0
43972 rsh-redone-client                  	       2        0        2        0        0
43973 rsh-redone-server                  	       2        0        2        0        0
43974 rsplib-doc                         	       2        0        0        0        2
43975 rsplib-fgp-cfgfiles                	       2        0        0        0        2
43976 rsplib-tools                       	       2        0        2        0        0
43977 rssguard                           	       2        0        2        0        0
43978 rstat-client                       	       2        1        1        0        0
43979 rsyncrypto                         	       2        0        2        0        0
43980 rsyslog-openssl                    	       2        0        0        0        2
43981 rt-tests                           	       2        0        2        0        0
43982 rtags                              	       2        0        2        0        0
43983 rtl-ais                            	       2        0        2        0        0
43984 rtl88x2bu-dkms                     	       2        0        2        0        0
43985 rtv                                	       2        0        2        0        0
43986 rtw89-dkms                         	       2        0        1        1        0
43987 rubberband-lv2                     	       2        0        2        0        0
43988 rubi-deb                           	       2        0        0        0        2
43989 ruby-archive-zip                   	       2        0        2        0        0
43990 ruby-asciidoctor-pdf               	       2        0        1        1        0
43991 ruby-avl-tree                      	       2        0        1        0        1
43992 ruby-bson                          	       2        0        1        0        1
43993 ruby-commonmarker                  	       2        0        0        0        2
43994 ruby-dataobjects                   	       2        0        2        0        0
43995 ruby-dataobjects-postgres          	       2        0        2        0        0
43996 ruby-dataobjects-sqlite3           	       2        0        2        0        0
43997 ruby-dbd-pg                        	       2        0        2        0        0
43998 ruby-dbi                           	       2        0        2        0        0
43999 ruby-deprecated                    	       2        0        2        0        0
44000 ruby-docile                        	       2        0        2        0        0
44001 ruby-enum                          	       2        0        2        0        0
44002 ruby-extlib                        	       2        0        2        0        0
44003 ruby-fakefs                        	       2        0        2        0        0
44004 ruby-faraday-net-http              	       2        0        2        0        0
44005 ruby-fast-xs                       	       2        0        2        0        0
44006 ruby-ffi-libarchive                	       2        0        2        0        0
44007 ruby-flexmock                      	       2        0        2        0        0
44008 ruby-git                           	       2        0        2        0        0
44009 ruby-hikidoc                       	       2        0        2        0        0
44010 ruby-hpricot                       	       2        0        2        0        0
44011 ruby-hyperestraier                 	       2        0        2        0        0
44012 ruby-innate                        	       2        0        2        0        0
44013 ruby-io-like                       	       2        0        2        0        0
44014 ruby-libxml                        	       2        1        0        0        1
44015 ruby-magic                         	       2        0        2        0        0
44016 ruby-maruku                        	       2        0        2        0        0
44017 ruby-mixlib-log                    	       2        0        2        0        0
44018 ruby-mongo                         	       2        0        1        1        0
44019 ruby-mp3tag                        	       2        0        2        0        0
44020 ruby-mysql                         	       2        0        2        0        0
44021 ruby-narray-miss                   	       2        0        2        0        0
44022 ruby-net-ssh-multi                 	       2        0        2        0        0
44023 ruby-notify                        	       2        0        2        0        0
44024 ruby-numerizer                     	       2        0        2        0        0
44025 ruby-odbc                          	       2        0        2        0        0
44026 ruby-packet                        	       2        0        2        0        0
44027 ruby-parslet                       	       2        0        2        0        0
44028 ruby-password                      	       2        0        1        0        1
44029 ruby-pastel                        	       2        0        2        0        0
44030 ruby-pdf-inspector                 	       2        0        2        0        0
44031 ruby-phonon                        	       2        0        2        0        0
44032 ruby-poppler                       	       2        0        2        0        0
44033 ruby-prawn-icon                    	       2        0        1        1        0
44034 ruby-prawn-svg                     	       2        0        1        1        0
44035 ruby-prawn-templates               	       2        0        1        1        0
44036 ruby-redis                         	       2        0        2        0        0
44037 ruby-rmagick-doc                   	       2        0        0        0        2
44038 ruby-rpam-ruby19                   	       2        0        2        0        0
44039 ruby-rt                            	       2        0        2        0        0
44040 ruby-rubymail                      	       2        0        2        0        0
44041 ruby-sanitize                      	       2        0        2        0        0
44042 ruby-scanf                         	       2        0        0        0        2
44043 ruby-sequel-pg                     	       2        0        2        0        0
44044 ruby-simplecov                     	       2        0        2        0        0
44045 ruby-simplecov-html                	       2        0        2        0        0
44046 ruby-sinatra-contrib               	       2        0        2        0        0
44047 ruby-slim                          	       2        0        2        0        0
44048 ruby-stringex                      	       2        1        1        0        0
44049 ruby-svg-graph                     	       2        0        2        0        0
44050 ruby-task-list                     	       2        0        2        0        0
44051 ruby-termios                       	       2        0        1        0        1
44052 ruby-tty-color                     	       2        0        2        0        0
44053 ruby-uuidtools                     	       2        0        2        0        0
44054 ruby-wirble                        	       2        0        2        0        0
44055 ruby1.8-dev                        	       2        0        2        0        0
44056 ruby1.9.1-examples                 	       2        0        0        0        2
44057 ruby2.2                            	       2        0        2        0        0
44058 ruby2.3-doc                        	       2        0        2        0        0
44059 runescape-launcher                 	       2        0        2        0        0
44060 runsc                              	       2        0        2        0        0
44061 rusers                             	       2        1        1        0        0
44062 rustup                             	       2        0        2        0        0
44063 rwall                              	       2        1        1        0        0
44064 rxvt-unicode-lite                  	       2        0        0        0        2
44065 rygel-ruih                         	       2        0        0        0        2
44066 s3270                              	       2        0        2        0        0
44067 s3dvt                              	       2        0        2        0        0
44068 s4cmd                              	       2        0        2        0        0
44069 s51dude                            	       2        0        1        1        0
44070 sa-exim                            	       2        0        2        0        0
44071 sac                                	       2        0        2        0        0
44072 safecat                            	       2        0        2        0        0
44073 sagemath-common                    	       2        0        2        0        0
44074 sagemath-database-cremona-elliptic-curves	       2        0        0        0        2
44075 sagemath-doc-en                    	       2        0        0        0        2
44076 sagetex-doc                        	       2        0        0        0        2
44077 sail-codecs                        	       2        0        0        0        2
44078 sanitizer                          	       2        1        0        1        0
44079 satellite-gtk                      	       2        0        2        0        0
44080 sbc-tools                          	       2        0        2        0        0
44081 sbt                                	       2        0        2        0        0
44082 sbuild-qemu                        	       2        0        2        0        0
44083 sbws                               	       2        0        2        0        0
44084 scala-doc                          	       2        0        0        0        2
44085 scamp                              	       2        0        2        0        0
44086 scangearmp-common                  	       2        0        2        0        0
44087 scenebuilder                       	       2        0        1        0        1
44088 sch-rnd                            	       2        0        0        0        2
44089 sch-rnd-core                       	       2        0        2        0        0
44090 sch-rnd-doc                        	       2        0        0        0        2
44091 sch-rnd-export-extra               	       2        0        2        0        0
44092 sch-rnd-export-vector              	       2        0        2        0        0
44093 sch-rnd-io-alien                   	       2        0        2        0        0
44094 sch-rnd-lib-gui                    	       2        0        2        0        0
44095 scheme9                            	       2        0        2        0        0
44096 schildichat-desktop                	       2        0        0        0        2
44097 scid-rating-data                   	       2        0        0        0        2
44098 scid-spell-data                    	       2        0        0        0        2
44099 science-electronics                	       2        0        1        0        1
44100 science-imageanalysis              	       2        0        2        0        0
44101 science-imageanalysis-dev          	       2        0        2        0        0
44102 science-machine-learning           	       2        0        2        0        0
44103 scilab-ann                         	       2        0        2        0        0
44104 scim-dev-doc                       	       2        0        0        0        2
44105 scim-modules-table                 	       2        1        1        0        0
44106 scim-tables-ja                     	       2        0        0        0        2
44107 scmxx                              	       2        0        2        0        0
44108 scram                              	       2        0        2        0        0
44109 scratch-desktop                    	       2        0        2        0        0
44110 scratchbox-libs                    	       2        0        2        0        0
44111 scratchbox-toolchain-host-gcc      	       2        0        2        0        0
44112 scrollz                            	       2        0        2        0        0
44113 sctk                               	       2        0        2        0        0
44114 sdf-doc                            	       2        0        0        0        2
44115 sdformat-sdf                       	       2        0        0        0        2
44116 sdlbasic                           	       2        0        2        0        0
44117 sdlbrt                             	       2        0        2        0        0
44118 seafile-cli                        	       2        0        2        0        0
44119 seamonkey                          	       2        0        2        0        0
44120 search-ccsb                        	       2        0        2        0        0
44121 secrecy                            	       2        0        2        0        0
44122 secrets                            	       2        0        2        0        0
44123 seedtools                          	       2        0        2        0        0
44124 seergdb                            	       2        0        1        1        0
44125 self-service-password              	       2        1        1        0        0
44126 selinux-policy-mls                 	       2        0        0        0        2
44127 semi                               	       2        0        2        0        0
44128 sensord                            	       2        2        0        0        0
44129 sentineldl                         	       2        0        2        0        0
44130 seqtk                              	       2        0        2        0        0
44131 session-desktop                    	       2        0        2        0        0
44132 setools-gui                        	       2        1        1        0        0
44133 seyon                              	       2        0        2        0        0
44134 sfarkxtc                           	       2        0        2        0        0
44135 sfeed                              	       2        0        1        1        0
44136 sfizz                              	       2        0        2        0        0
44137 sformat                            	       2        0        2        0        0
44138 sgml-spell-checker                 	       2        0        2        0        0
44139 sgmltools-lite                     	       2        0        2        0        0
44140 shaderc                            	       2        0        2        0        0
44141 shelr                              	       2        0        2        0        0
44142 shiboken2-doc                      	       2        0        0        0        2
44143 shim-helpers-amd64-signed-template 	       2        0        0        0        2
44144 shim-helpers-i386-signed           	       2        0        2        0        0
44145 shiro-plugins                      	       2        0        2        0        0
44146 siduction-archive-keyring          	       2        0        0        0        2
44147 siege                              	       2        0        2        0        0
44148 signapk                            	       2        0        2        0        0
44149 signon-ui-qt                       	       2        0        1        1        0
44150 silicon-sword                      	       2        0        1        1        0
44151 sim4db                             	       2        0        2        0        0
44152 simpleburn                         	       2        0        2        0        0
44153 singular-ui-emacs                  	       2        1        1        0        0
44154 sinntp                             	       2        0        2        0        0
44155 sip-tools                          	       2        0        2        0        0
44156 sisu                               	       2        0        2        0        0
44157 sisu-pdf                           	       2        0        2        0        0
44158 sisu-postgresql                    	       2        0        2        0        0
44159 sisu-sqlite                        	       2        0        2        0        0
44160 skyview                            	       2        0        2        0        0
44161 skyview-java                       	       2        0        0        0        2
44162 slade                              	       2        0        2        0        0
44163 slang-cfitsio                      	       2        0        0        0        2
44164 slang-expat                        	       2        0        2        0        0
44165 slang-gdbm                         	       2        0        2        0        0
44166 slang-sqlite                       	       2        0        0        0        2
44167 slang-wildcard                     	       2        0        2        0        0
44168 slang1                             	       2        0        0        0        2
44169 slashtime                          	       2        0        2        0        0
44170 slingshot                          	       2        0        2        0        0
44171 slony1-2-bin                       	       2        0        2        0        0
44172 slurm-wlm                          	       2        0        0        0        2
44173 slurmctld                          	       2        0        2        0        0
44174 slurmd                             	       2        0        2        0        0
44175 smarty-gettext                     	       2        1        1        0        0
44176 smarty4                            	       2        1        1        0        0
44177 smb2www                            	       2        0        1        1        0
44178 smcroute                           	       2        0        2        0        0
44179 smemcap                            	       2        0        2        0        0
44180 smf-utils                          	       2        0        2        0        0
44181 smlnj                              	       2        0        2        0        0
44182 smlnj-runtime                      	       2        0        2        0        0
44183 smpeg-gtv                          	       2        0        2        0        0
44184 smpq                               	       2        0        2        0        0
44185 smsclient                          	       2        0        2        0        0
44186 smtp-in                            	       2        2        0        0        0
44187 snappymail                         	       2        0        2        0        0
44188 sniffnet                           	       2        0        2        0        0
44189 snis                               	       2        1        1        0        0
44190 snmpsim                            	       2        0        2        0        0
44191 snooper                            	       2        1        1        0        0
44192 snooze                             	       2        0        2        0        0
44193 snowballz                          	       2        0        2        0        0
44194 sofia-sip-doc                      	       2        0        0        0        2
44195 softmaker-freeoffice-2018          	       2        0        2        0        0
44196 solaar-gnome3                      	       2        0        0        0        2
44197 solid-pop3d                        	       2        1        1        0        0
44198 songrec                            	       2        0        2        0        0
44199 soundkonverter-trinity             	       2        0        2        0        0
44200 soundscaperenderer                 	       2        0        2        0        0
44201 soundscaperenderer-nox             	       2        0        2        0        0
44202 spacearyarya                       	       2        0        2        0        0
44203 spark                              	       2        0        2        0        0
44204 spchcat                            	       2        0        2        0        0
44205 spdx-licenses                      	       2        0        0        0        2
44206 spectral                           	       2        0        0        0        2
44207 speech-dispatcher-rhvoice          	       2        0        1        0        1
44208 spew                               	       2        0        2        0        0
44209 spin                               	       2        0        2        0        0
44210 spinner                            	       2        1        1        0        0
44211 spirv-cross-dev                    	       2        0        2        0        0
44212 spotify-client-gnome-support       	       2        0        0        0        2
44213 spout                              	       2        0        2        0        0
44214 sqitch                             	       2        1        1        0        0
44215 sql-ledger                         	       2        0        2        0        0
44216 sqlformat                          	       2        0        2        0        0
44217 sqlite-modern-cpp-dev              	       2        0        2        0        0
44218 sqlsmith                           	       2        0        2        0        0
44219 squareness                         	       2        0        0        0        2
44220 squid-deb-proxy-client             	       2        0        0        0        2
44221 squidtaild                         	       2        0        2        0        0
44222 squirrel3                          	       2        0        2        0        0
44223 srtp-utils                         	       2        0        2        0        0
44224 srvadmin-base                      	       2        0        0        0        2
44225 srvadmin-cm                        	       2        1        1        0        0
44226 srvadmin-deng                      	       2        1        1        0        0
44227 srvadmin-hapi                      	       2        1        1        0        0
44228 srvadmin-isvc                      	       2        1        1        0        0
44229 srvadmin-jre                       	       2        1        1        0        0
44230 srvadmin-marvell                   	       2        0        1        0        1
44231 srvadmin-nvme                      	       2        0        0        0        2
44232 srvadmin-omacore                   	       2        1        1        0        0
44233 srvadmin-omacs                     	       2        0        0        0        2
44234 srvadmin-omaksmig                  	       2        0        0        0        2
44235 srvadmin-omcommon                  	       2        1        1        0        0
44236 srvadmin-omilcore                  	       2        1        1        0        0
44237 srvadmin-ominst                    	       2        1        1        0        0
44238 srvadmin-oslog                     	       2        0        0        0        2
44239 srvadmin-rac-components            	       2        0        0        0        2
44240 srvadmin-racdrsc                   	       2        0        0        0        2
44241 srvadmin-smcommon                  	       2        1        1        0        0
44242 srvadmin-storage                   	       2        1        1        0        0
44243 srvadmin-storelib                  	       2        0        1        0        1
44244 srvadmin-storelib-sysfs            	       2        1        1        0        0
44245 srvadmin-tomcat                    	       2        1        1        0        0
44246 srvadmin-xmlsup                    	       2        0        0        0        2
44247 ssshtest                           	       2        0        2        0        0
44248 st-stm32cubeide-1.16.1             	       2        0        2        0        0
44249 stacks                             	       2        0        2        0        0
44250 standardskriver                    	       2        0        2        0        0
44251 star                               	       2        0        2        0        0
44252 statnews                           	       2        0        2        0        0
44253 stealth-doc                        	       2        0        0        0        2
44254 stegdetect                         	       2        0        2        0        0
44255 stegseek                           	       2        1        1        0        0
44256 stone                              	       2        0        2        0        0
44257 stopmotion                         	       2        0        2        0        0
44258 storj                              	       2        0        2        0        0
44259 stressapptest                      	       2        0        2        0        0
44260 strip-nondeterminism               	       2        0        2        0        0
44261 stun                               	       2        0        2        0        0
44262 subtitleripper                     	       2        0        2        0        0
44263 subtle                             	       2        0        2        0        0
44264 sugar-themes                       	       2        0        0        0        2
44265 sumo                               	       2        0        2        0        0
44266 sun-java5-bin                      	       2        0        2        0        0
44267 sun-java5-jre                      	       2        0        0        0        2
44268 superiotool                        	       2        1        1        0        0
44269 surge                              	       2        0        2        0        0
44270 survex                             	       2        0        2        0        0
44271 survex-aven                        	       2        0        2        0        0
44272 susv2                              	       2        0        0        0        2
44273 svnkit                             	       2        0        2        0        0
44274 svxlink-calibration-tools          	       2        0        2        0        0
44275 svxlink-gpio                       	       2        0        2        0        0
44276 svxlink-server                     	       2        0        2        0        0
44277 svxreflector                       	       2        0        2        0        0
44278 swarm                              	       2        0        2        0        0
44279 swarp                              	       2        0        2        0        0
44280 swfmill                            	       2        0        2        0        0
44281 swi-prolog-bdb                     	       2        0        2        0        0
44282 swi-prolog-odbc                    	       2        0        2        0        0
44283 swig-pocketsphinx                  	       2        0        0        0        2
44284 swig3.0-doc                        	       2        0        0        0        2
44285 swig3.0-examples                   	       2        0        2        0        0
44286 sword-comm-scofield                	       2        0        0        0        2
44287 sword-dict-naves                   	       2        0        0        0        2
44288 sxmo-utils                         	       2        0        2        0        0
44289 symbol-desktop-wallet              	       2        0        0        0        2
44290 sympa                              	       2        0        2        0        0
44291 synaptics-repository-keyring       	       2        0        0        0        2
44292 synergy                            	       2        1        1        0        0
44293 sysadmin-guide                     	       2        0        0        0        2
44294 sysklogd                           	       2        1        1        0        0
44295 syslinux-themes-debian             	       2        0        0        0        2
44296 syslinux-themes-debian-squeeze     	       2        0        0        0        2
44297 syslog-ng-mod-geoip                	       2        0        2        0        0
44298 syslog-ng-mod-json                 	       2        1        1        0        0
44299 syslogout                          	       2        0        0        0        2
44300 sysrqd                             	       2        1        1        0        0
44301 system-config-lvm                  	       2        1        1        0        0
44302 systemd                            	       2        0        1        0        1
44303 systemd-bootchart                  	       2        0        2        0        0
44304 systemd-ukify                      	       2        0        1        1        0
44305 systray-mdstat                     	       2        1        1        0        0
44306 t1-thai-arundina                   	       2        0        0        0        2
44307 t1-thai-tlwg                       	       2        0        0        0        2
44308 t1lib-bin                          	       2        0        2        0        0
44309 t38modem                           	       2        0        2        0        0
44310 t3highlight                        	       2        0        2        0        0
44311 tabby-terminal                     	       2        0        2        0        0
44312 tachidesk-sorayomi                 	       2        0        2        0        0
44313 tagainijisho-dic-ru                	       2        0        0        0        2
44314 tango-common                       	       2        0        0        0        2
44315 tap-lv2                            	       2        0        2        0        0
44316 tapps2                             	       2        0        2        0        0
44317 tarsnap                            	       2        0        2        0        0
44318 tarsnap-archive-keyring            	       2        0        0        0        2
44319 task-albanian-desktop              	       2        0        0        0        2
44320 task-amharic                       	       2        0        0        0        2
44321 task-asturian                      	       2        0        0        0        2
44322 task-basque-desktop                	       2        0        0        0        2
44323 task-bengali                       	       2        0        0        0        2
44324 task-bosnian                       	       2        0        0        0        2
44325 task-bulgarian-desktop             	       2        0        0        0        2
44326 task-chinese-s-kde-desktop         	       2        0        0        0        2
44327 task-croatian-desktop              	       2        0        0        0        2
44328 task-czech-kde-desktop             	       2        0        0        0        2
44329 task-dutch-kde-desktop             	       2        0        0        0        2
44330 task-estonian-desktop              	       2        0        0        0        2
44331 task-greek-kde-desktop             	       2        0        0        0        2
44332 task-gujarati                      	       2        0        0        0        2
44333 task-hebrew                        	       2        0        0        0        2
44334 task-hebrew-desktop                	       2        0        0        0        2
44335 task-hindi                         	       2        0        0        0        2
44336 task-hungarian-kde-desktop         	       2        0        0        0        2
44337 task-icelandic                     	       2        0        0        0        2
44338 task-irish                         	       2        0        0        0        2
44339 task-kazakh                        	       2        0        0        0        2
44340 task-khmer                         	       2        0        0        0        2
44341 task-kurdish                       	       2        0        0        0        2
44342 task-latvian                       	       2        0        0        0        2
44343 task-lithuanian                    	       2        0        0        0        2
44344 task-macedonian                    	       2        0        0        0        2
44345 task-malayalam                     	       2        0        0        0        2
44346 task-marathi                       	       2        0        0        0        2
44347 task-northern-sami                 	       2        0        0        0        2
44348 task-persian                       	       2        0        0        0        2
44349 task-portuguese                    	       2        0        0        0        2
44350 task-punjabi                       	       2        0        0        0        2
44351 task-serbian                       	       2        0        0        0        2
44352 task-slovak-desktop                	       2        0        0        0        2
44353 task-slovenian                     	       2        0        0        0        2
44354 task-tagalog                       	       2        0        0        0        2
44355 task-tamil                         	       2        0        0        0        2
44356 task-telugu                        	       2        0        0        0        2
44357 task-thai                          	       2        0        0        0        2
44358 task-welsh                         	       2        0        0        0        2
44359 tatan                              	       2        0        2        0        0
44360 tayga                              	       2        0        2        0        0
44361 tcl-combat                         	       2        0        2        0        0
44362 tcl-hamlib                         	       2        0        0        0        2
44363 tcl-itcl4-doc                      	       2        0        0        0        2
44364 tcl-snack-doc                      	       2        0        0        0        2
44365 tcl-trf-doc                        	       2        0        0        0        2
44366 tcl-xmlrpc                         	       2        0        0        0        2
44367 tcl3270                            	       2        0        2        0        0
44368 tcl8.4                             	       2        0        2        0        0
44369 tcl8.4-doc                         	       2        0        0        0        2
44370 tcl8.6-tdbc-postgres               	       2        0        2        0        0
44371 tcl9.0-doc                         	       2        0        0        0        2
44372 tclgeoip                           	       2        0        2        0        0
44373 tcpflow-nox                        	       2        0        2        0        0
44374 tcpreen                            	       2        0        2        0        0
44375 tcpslice                           	       2        0        2        0        0
44376 tcs                                	       2        1        1        0        0
44377 tde-guidance-trinity               	       2        0        2        0        0
44378 tde-i18n-cs-trinity                	       2        0        0        0        2
44379 tde-i18n-pl-trinity                	       2        0        1        0        1
44380 tde-style-baghira-trinity          	       2        0        2        0        0
44381 tde-style-ia-ora-trinity           	       2        0        2        0        0
44382 tde-style-lipstik-trinity          	       2        0        2        0        0
44383 tde-style-q4oststyle02-trinity     	       2        0        2        0        0
44384 tdeaccessibility-trinity-doc-html  	       2        0        0        0        2
44385 tdeaddons-trinity-doc-html         	       2        0        0        0        2
44386 tdeadmin-trinity-doc-html          	       2        0        0        0        2
44387 tdebase-trinity-doc-html           	       2        0        0        0        2
44388 tdebluez-trinity                   	       2        0        2        0        0
44389 tdecachegrind-trinity              	       2        0        2        0        0
44390 tdeedu-trinity-doc-html            	       2        0        0        0        2
44391 tdegraphics-trinity-doc-html       	       2        0        0        0        2
44392 tdeio-apt-trinity                  	       2        0        2        0        0
44393 tdeio-ftps-trinity                 	       2        0        2        0        0
44394 tdeio-gopher-trinity               	       2        0        2        0        0
44395 tdeio-locate-trinity               	       2        0        2        0        0
44396 tdeio-umountwrapper-trinity        	       2        0        2        0        0
44397 tdelibs-trinity-dbg                	       2        0        2        0        0
44398 tdelibs14-trinity-doc              	       2        0        0        0        2
44399 tdemultimedia-trinity-doc-html     	       2        0        0        0        2
44400 tdenetwork-trinity-doc-html        	       2        0        0        0        2
44401 tdescreensaver-xsavers-extra-trinity	       2        0        0        0        2
44402 tdesdk-tdefile-plugins-trinity     	       2        0        2        0        0
44403 tdesdk-trinity-doc-html            	       2        0        0        0        2
44404 teams-insiders                     	       2        0        2        0        0
44405 teamviewer-host                    	       2        0        2        0        0
44406 telegram-send                      	       2        0        2        0        0
44407 tellico-data-trinity               	       2        0        0        0        2
44408 tellico-scripts-trinity            	       2        0        0        0        2
44409 tellico-trinity                    	       2        0        2        0        0
44410 tempest-for-eliza                  	       2        0        2        0        0
44411 temurin-21-jre                     	       2        0        2        0        0
44412 temurin-22-jdk                     	       2        0        2        0        0
44413 temurin-8-jdk                      	       2        0        2        0        0
44414 tenmado                            	       2        0        2        0        0
44415 termscp                            	       2        0        2        0        0
44416 tesseract-ocr-slk-frak             	       2        0        0        0        2
44417 testdisk-dbg                       	       2        0        2        0        0
44418 tetex-base                         	       2        0        0        0        2
44419 tetex-brev                         	       2        0        0        0        2
44420 tetgen                             	       2        0        2        0        0
44421 texlive-doc-de                     	       2        0        0        0        2
44422 texlive-doc-en                     	       2        0        0        0        2
44423 texlive-doc-zh                     	       2        0        0        0        2
44424 texlive-lang-armenian              	       2        0        0        0        2
44425 texlive-lang-hebrew                	       2        0        0        0        2
44426 texlive-lang-latvian               	       2        0        0        0        2
44427 texlive-lang-lithuanian            	       2        0        0        0        2
44428 texlive-lang-tibetan               	       2        0        0        0        2
44429 texlive-local                      	       2        0        0        0        2
44430 texmacs-common                     	       2        0        0        0        2
44431 texpower                           	       2        0        0        0        2
44432 texpower-examples                  	       2        0        0        0        2
44433 tf-tools                           	       2        0        2        0        0
44434 tf2-tools                          	       2        0        2        0        0
44435 tgt                                	       2        1        1        0        0
44436 thailatex                          	       2        0        0        0        2
44437 the-doc                            	       2        0        0        0        2
44438 thrust                             	       2        0        2        0        0
44439 thunderbird-l10n-da                	       2        0        2        0        0
44440 thunderbird-l10n-et                	       2        0        2        0        0
44441 thunderbird-l10n-ja                	       2        0        2        0        0
44442 thunderbird-l10n-ro                	       2        0        2        0        0
44443 thunderbird-l10n-tr                	       2        0        2        0        0
44444 thunderbird-l10n-zh-tw             	       2        0        2        0        0
44445 tic80                              	       2        0        2        0        0
44446 ticker                             	       2        0        2        0        0
44447 tidal-hifi                         	       2        0        0        0        2
44448 timbl                              	       2        0        2        0        0
44449 timblserver                        	       2        0        2        0        0
44450 timemon.app                        	       2        0        2        0        0
44451 tinyca                             	       2        0        2        0        0
44452 tinydns                            	       2        1        1        0        0
44453 tinymce2                           	       2        0        0        0        2
44454 tinyscheme                         	       2        0        2        0        0
44455 tinysparql                         	       2        0        0        2        0
44456 tix-dev                            	       2        0        2        0        0
44457 tk-itk4-doc                        	       2        0        0        0        2
44458 tk2                                	       2        0        2        0        0
44459 tk5                                	       2        0        2        0        0
44460 tk9.0-doc                          	       2        0        0        0        2
44461 tkabber-plugins                    	       2        0        0        0        2
44462 tkcalendar                         	       2        0        2        0        0
44463 tksvg                              	       2        0        0        0        2
44464 tktreectrl                         	       2        0        2        0        0
44465 tmd710-tncsetup                    	       2        0        2        0        0
44466 tmdbv3api                          	       2        0        2        0        0
44467 todo.txt-base                      	       2        0        2        0        0
44468 todo.txt-gtd                       	       2        0        2        0        0
44469 todour                             	       2        0        2        0        0
44470 tofu                               	       2        1        1        0        0
44471 tokei                              	       2        0        1        1        0
44472 tokentool                          	       2        0        2        0        0
44473 tokyocabinet-doc                   	       2        0        0        0        2
44474 tomcat-jakartaee-migration         	       2        0        2        0        0
44475 topline                            	       2        0        2        0        0
44476 toppler                            	       2        0        2        0        0
44477 topydo                             	       2        1        1        0        0
44478 tora                               	       2        0        2        0        0
44479 torchlight                         	       2        0        0        0        2
44480 tortoisehg-caja                    	       2        0        0        0        2
44481 touchegg                           	       2        0        2        0        0
44482 tpm-tools-pkcs11                   	       2        0        2        0        0
44483 tqt-x11-free-dbg                   	       2        0        2        0        0
44484 tqt3-designer                      	       2        0        2        0        0
44485 tqt3-designer-plugins              	       2        0        0        0        2
44486 tqt3-doc                           	       2        0        0        0        2
44487 tqt3-qtconfig                      	       2        0        2        0        0
44488 traceproto                         	       2        0        2        0        0
44489 traceroute-nanog                   	       2        0        0        0        2
44490 trafficserver                      	       2        0        2        0        0
44491 transcend                          	       2        0        2        0        0
44492 translate                          	       2        0        2        0        0
44493 translatelocally                   	       2        1        1        0        0
44494 traverso                           	       2        0        1        1        0
44495 tree-sitter-cli                    	       2        0        2        0        0
44496 treeview                           	       2        0        2        0        0
44497 triehash                           	       2        0        2        0        0
44498 trinity-apt-archive                	       2        0        0        0        2
44499 trufont                            	       2        0        2        0        0
44500 trydiffoscope                      	       2        0        2        0        0
44501 ts-node                            	       2        0        2        0        0
44502 ttaenc                             	       2        0        2        0        0
44503 ttf-alee                           	       2        0        0        0        2
44504 ttf-arphic-bkai00mp                	       2        0        0        0        2
44505 ttf-arphic-gbsn00lp                	       2        0        0        0        2
44506 ttf-arphic-gkai00mp                	       2        0        0        0        2
44507 ttf-arphic-uming                   	       2        0        0        0        2
44508 ttf-bpg-georgian-fonts             	       2        0        0        0        2
44509 ttf-breip                          	       2        0        0        0        2
44510 ttf-century-catalogue              	       2        0        0        0        2
44511 ttf-dzongkha                       	       2        0        0        0        2
44512 ttf-ecolier-court                  	       2        0        0        0        2
44513 ttf-ecolier-lignes-court           	       2        0        0        0        2
44514 ttf-evertype-conakry               	       2        0        0        0        2
44515 ttf-f500                           	       2        0        0        0        2
44516 ttf-fifthhorseman-dkg-handwriting  	       2        0        0        0        2
44517 ttf-freefarsi                      	       2        0        0        0        2
44518 ttf-gfs-artemisia                  	       2        0        0        0        2
44519 ttf-hanazono                       	       2        0        0        0        2
44520 ttf-ipafont-jisx0208               	       2        0        0        0        2
44521 ttf-kiloji                         	       2        0        0        0        2
44522 ttf-kochi-mincho-naga10            	       2        0        0        0        2
44523 ttf-levien-typoscript              	       2        0        0        0        2
44524 ttf-manchufont                     	       2        0        0        0        2
44525 ttf-mona                           	       2        0        0        0        2
44526 ttf-mph-2b-damase                  	       2        0        0        0        2
44527 ttf-okolaks                        	       2        0        0        0        2
44528 ttf-radisnoir                      	       2        0        0        0        2
44529 ttf-rufscript                      	       2        0        0        0        2
44530 ttf-sazanami-gothic                	       2        0        0        0        2
44531 ttf-sil-abyssinica                 	       2        0        0        0        2
44532 ttf-sil-sophia-nubian              	       2        0        0        0        2
44533 ttf-sil-zaghawa-beria              	       2        0        0        0        2
44534 ttf-tamil-fonts                    	       2        0        0        0        2
44535 ttf-telugu-fonts                   	       2        0        0        0        2
44536 ttf-thai-arundina                  	       2        0        0        0        2
44537 ttf-thai-tlwg                      	       2        0        0        0        2
44538 ttf-umefont                        	       2        0        0        0        2
44539 ttf-unikurdweb                     	       2        0        0        0        2
44540 ttf-vlgothic                       	       2        0        0        0        2
44541 ttmkfdir                           	       2        1        1        0        0
44542 tty-record                         	       2        0        0        2        0
44543 ttygif                             	       2        0        2        0        0
44544 tuareg-mode                        	       2        0        0        0        2
44545 tuba                               	       2        1        1        0        0
44546 tuigreet                           	       2        0        2        0        0
44547 tulip                              	       2        0        2        0        0
44548 tuned-gtk                          	       2        0        2        0        0
44549 tuned-utils                        	       2        0        2        0        0
44550 tuned-utils-systemtap              	       2        0        2        0        0
44551 turbovnc                           	       2        0        2        0        0
44552 tuxboot                            	       2        0        2        0        0
44553 tuxcmd-modules                     	       2        0        2        0        0
44554 tuxedo-keyboard                    	       2        0        2        0        0
44555 tuxpaint-dev                       	       2        0        1        1        0
44556 tvheadend-data                     	       2        0        0        0        2
44557 twatch                             	       2        0        2        0        0
44558 twin-style-fahrenheit-trinity      	       2        0        2        0        0
44559 twin-style-machbunt-trinity        	       2        0        2        0        0
44560 twin-style-suse2-trinity           	       2        0        2        0        0
44561 twin-x11                           	       2        0        2        0        0
44562 twms                               	       2        1        1        0        0
44563 tyrian-data                        	       2        0        0        0        2
44564 tzdiff                             	       2        0        2        0        0
44565 u-boot                             	       2        1        0        0        1
44566 u3-tool                            	       2        1        1        0        0
44567 u8loc                              	       2        0        2        0        0
44568 uboot-envtools                     	       2        0        0        0        2
44569 ubridge                            	       2        0        2        0        0
44570 ubuntu-drivers-common              	       2        0        2        0        0
44571 ubuntu-packaging-guide             	       2        0        0        0        2
44572 ubuntu-packaging-guide-html        	       2        0        0        0        2
44573 ucarp                              	       2        0        2        0        0
44574 uclibc-source                      	       2        0        0        0        2
44575 udav                               	       2        0        2        0        0
44576 ude                                	       2        0        0        0        2
44577 udo                                	       2        0        2        0        0
44578 udo-doc-en                         	       2        0        0        0        2
44579 udpcast                            	       2        0        2        0        0
44580 ufo-core-doc                       	       2        0        0        0        2
44581 ufo-filters-doc                    	       2        0        0        0        2
44582 uftp                               	       2        0        2        0        0
44583 uglifyjs                           	       2        0        2        0        0
44584 ukui-control-center                	       2        0        2        0        0
44585 ukui-wallpapers                    	       2        0        0        0        2
44586 ulauncher                          	       2        0        2        0        0
44587 ultracopier                        	       2        1        1        0        0
44588 umview                             	       2        0        2        0        0
44589 umview-mod-umfusefat               	       2        0        2        0        0
44590 unaccent                           	       2        1        1        0        0
44591 unagi                              	       2        0        2        0        0
44592 unburden-home-dir                  	       2        0        2        0        0
44593 unburden-home-dir-doc              	       2        0        0        0        2
44594 undistract-me                      	       2        0        0        0        2
44595 unison-2.51+4.13.1                 	       2        0        2        0        0
44596 unison-2.51+4.13.1-gtk             	       2        0        2        0        0
44597 unison2.32.52                      	       2        0        2        0        0
44598 unzoo                              	       2        0        2        0        0
44599 upnp-router-control                	       2        1        1        0        0
44600 upplay                             	       2        0        2        0        0
44601 upse123                            	       2        0        2        0        0
44602 urjtag                             	       2        0        2        0        0
44603 urlwatch                           	       2        0        2        0        0
44604 uruk                               	       2        0        2        0        0
44605 urweb-doc                          	       2        0        0        0        2
44606 urweb-mode                         	       2        0        2        0        0
44607 usb-pack-efi                       	       2        0        2        0        0
44608 usbimager                          	       2        0        2        0        0
44609 usbprog                            	       2        0        2        0        0
44610 userv                              	       2        0        2        0        0
44611 usvg                               	       2        0        1        1        0
44612 utf8gen                            	       2        0        2        0        0
44613 util-linux-dbgsym                  	       2        0        2        0        0
44614 util-linux-extra-dbgsym            	       2        0        2        0        0
44615 uuu                                	       2        0        2        0        0
44616 uwsc                               	       2        1        1        0        0
44617 v-sim                              	       2        0        2        0        0
44618 v-sim-common                       	       2        0        0        0        2
44619 va-amdgpu-driver-all               	       2        0        0        0        2
44620 valac-0.34-vapi                    	       2        0        0        0        2
44621 varicad                            	       2        0        1        0        1
44622 varicad2022-en                     	       2        0        1        0        1
44623 varna                              	       2        0        2        0        0
44624 vault                              	       2        0        2        0        0
44625 vbackup                            	       2        0        2        0        0
44626 vblade                             	       2        0        2        0        0
44627 vcdtools                           	       2        0        2        0        0
44628 vdr-dev                            	       2        0        2        0        0
44629 vdr-plugin-femon                   	       2        1        1        0        0
44630 vdr-plugin-xineliboutput           	       2        0        2        0        0
44631 vera                               	       2        0        0        0        2
44632 verbiste-gtk                       	       2        0        2        0        0
44633 veromix                            	       2        0        2        0        0
44634 veromix-common                     	       2        0        0        0        2
44635 vhba-dkms                          	       2        0        2        0        0
44636 viagee                             	       2        0        2        0        0
44637 video-downloader                   	       2        1        1        0        0
44638 vim-command-t                      	       2        0        0        0        2
44639 vim-haproxy                        	       2        0        0        0        2
44640 vim-lesstif                        	       2        0        0        0        2
44641 vim-rails                          	       2        0        0        0        2
44642 vim-subtitles                      	       2        0        0        0        2
44643 vim-vimerl                         	       2        0        0        0        2
44644 vim-vimoutliner                    	       2        0        2        0        0
44645 vim-vimwiki                        	       2        0        0        0        2
44646 virt-v2v                           	       2        0        2        0        0
44647 virtaal                            	       2        0        2        0        0
44648 virtualbox-6.0                     	       2        0        2        0        0
44649 virtuoso-opensource-7              	       2        0        1        0        1
44650 vital                              	       2        0        2        0        0
44651 vite                               	       2        0        2        0        0
44652 vk                                 	       2        0        2        0        0
44653 vkmark                             	       2        0        2        0        0
44654 vm                                 	       2        0        2        0        0
44655 vmdk-stream-converter              	       2        0        0        0        2
44656 vmm-doc                            	       2        0        0        0        2
44657 vnc-common                         	       2        0        2        0        0
44658 voltron                            	       2        0        2        0        0
44659 vor                                	       2        0        2        0        0
44660 voro++                             	       2        0        2        0        0
44661 voro++-dev                         	       2        0        0        0        2
44662 vpcs                               	       2        0        2        0        0
44663 vrfy                               	       2        1        1        0        0
44664 vsearch                            	       2        0        2        0        0
44665 vsmartcard-vpcd                    	       2        0        2        0        0
44666 vsmartcard-vpicc                   	       2        0        2        0        0
44667 vtk-dicom-tools                    	       2        0        2        0        0
44668 vtprint                            	       2        0        2        0        0
44669 vulkan-sdk                         	       2        0        0        0        2
44670 vulture                            	       2        0        2        0        0
44671 vvmd                               	       2        0        2        0        0
44672 vvmplayer                          	       2        0        2        0        0
44673 w3c-markup-validator               	       2        0        0        0        2
44674 wadc                               	       2        0        2        0        0
44675 waffle-utils                       	       2        0        2        0        0
44676 wafw00f                            	       2        0        2        0        0
44677 wallstreet                         	       2        0        2        0        0
44678 warmux-servers                     	       2        0        2        0        0
44679 warp-terminal                      	       2        0        0        0        2
44680 warsaw                             	       2        0        2        0        0
44681 wasistlos                          	       2        0        0        2        0
44682 wassabee                           	       2        0        2        0        0
44683 watchcatd                          	       2        2        0        0        0
44684 watchman                           	       2        0        2        0        0
44685 waterfox-g-kpe                     	       2        0        2        0        0
44686 waterfox-kde                       	       2        0        2        0        0
44687 wayout                             	       2        0        2        0        0
44688 weathermap4rrd                     	       2        0        2        0        0
44689 webext-allow-html-temp             	       2        1        1        0        0
44690 webext-debianbuttons               	       2        0        0        0        2
44691 webmagick                          	       2        0        2        0        0
44692 webmin-security-updates            	       2        0        0        0        2
44693 webmin-virtual-server              	       2        0        2        0        0
44694 webmin-virtual-server-mobile       	       2        0        0        0        2
44695 websockify-common                  	       2        0        0        0        2
44696 webvtt                             	       2        0        2        0        0
44697 weewx                              	       2        2        0        0        0
44698 weightwatcher                      	       2        0        2        0        0
44699 welcome2l                          	       2        1        1        0        0
44700 wesnoth-core                       	       2        0        0        0        2
44701 wezterm                            	       2        0        2        0        0
44702 wgalician-minimos                  	       2        0        2        0        0
44703 whereami                           	       2        0        2        0        0
44704 whitakers-words                    	       2        0        1        1        0
44705 whitakers-words-data               	       2        0        0        0        2
44706 whitedune-docs                     	       2        0        2        0        0
44707 whizzytex                          	       2        0        2        0        0
44708 widemargin                         	       2        0        2        0        0
44709 wiki2beamer                        	       2        0        2        0        0
44710 win-iconv-mingw-w64-dev            	       2        0        2        0        0
44711 win31games-oregon-trail            	       2        0        0        0        2
44712 window-size                        	       2        0        2        0        0
44713 wine-devel-dbg                     	       2        0        1        1        0
44714 wine64-dev-tools                   	       2        0        0        0        2
44715 winpdb                             	       2        1        1        0        0
44716 wire                               	       2        0        2        0        0
44717 wireshark-dev                      	       2        0        2        0        0
44718 wise                               	       2        0        2        0        0
44719 wise-data                          	       2        0        0        0        2
44720 wks2ods                            	       2        1        1        0        0
44721 wl                                 	       2        0        2        0        0
44722 wlrctl                             	       2        0        0        2        0
44723 wmakerconf-data                    	       2        0        0        0        2
44724 wmdate                             	       2        0        2        0        0
44725 wmfire                             	       2        0        2        0        0
44726 wmfrog                             	       2        0        2        0        0
44727 wmlongrun                          	       2        0        2        0        0
44728 wmmisc                             	       2        0        2        0        0
44729 wmmount                            	       2        0        2        0        0
44730 wmpuzzle                           	       2        0        2        0        0
44731 wmrack                             	       2        0        2        0        0
44732 wmressel                           	       2        0        2        0        0
44733 wmxres                             	       2        0        2        0        0
44734 woeusb                             	       2        0        2        0        0
44735 wordgrinder-x11                    	       2        0        2        0        0
44736 wordpress-theme-twentynineteen     	       2        0        2        0        0
44737 wordpress-theme-twentytwenty       	       2        0        2        0        0
44738 worldofgoo                         	       2        0        2        0        0
44739 wpd2epub                           	       2        0        2        0        0
44740 wrapperfactory.app                 	       2        1        1        0        0
44741 wreport-common                     	       2        0        0        0        2
44742 wsclean-dev                        	       2        0        2        0        0
44743 wx2.6-i18n                         	       2        0        0        0        2
44744 wx3.2-examples                     	       2        0        2        0        0
44745 wxsmith-headers                    	       2        0        2        0        0
44746 wxsqlite3-doc                      	       2        0        0        0        2
44747 x-tile                             	       2        0        2        0        0
44748 x11proto-trap-dev                  	       2        0        2        0        0
44749 x11proto-xf86misc-dev              	       2        0        2        0        0
44750 x13as                              	       2        1        1        0        0
44751 x4d-icons                          	       2        0        0        0        2
44752 xanmod-repository                  	       2        0        0        0        2
44753 xapers                             	       2        0        2        0        0
44754 xapps-doc                          	       2        0        0        0        2
44755 xaralx                             	       2        0        2        0        0
44756 xaralx-svg                         	       2        0        2        0        0
44757 xc                                 	       2        0        2        0        0
44758 xc3sprog                           	       2        0        2        0        0
44759 xcb-util-build-deps                	       2        0        0        0        2
44760 xcruise                            	       2        0        2        0        0
44761 xcwd                               	       2        0        2        0        0
44762 xdg-desktop-portal-dev             	       2        0        0        0        2
44763 xdg-desktop-portal-hyprland        	       2        0        0        2        0
44764 xdmx                               	       2        0        2        0        0
44765 xdmx-tools                         	       2        0        2        0        0
44766 xemacs21-nomule                    	       2        0        2        0        0
44767 xemacs21-supportel                 	       2        0        0        0        2
44768 xen-hypervisor-4.14-amd64          	       2        0        2        0        0
44769 xen-linux-system-3.16.0-11-amd64   	       2        0        0        0        2
44770 xen-utils-4.14                     	       2        1        1        0        0
44771 xengine                            	       2        0        2        0        0
44772 xephem                             	       2        0        2        0        0
44773 xeroxprtdrv                        	       2        0        2        0        0
44774 xfce4-appfinder-dbgsym             	       2        0        2        0        0
44775 xfce4-cpufreq-plugin-dbgsym        	       2        0        2        0        0
44776 xfce4-docklike-plugin              	       2        0        1        0        1
44777 xfce4-mcs-manager                  	       2        0        2        0        0
44778 xfce4-panel-dbgsym                 	       2        0        2        0        0
44779 xfce4-sensors-plugin-dbgsym        	       2        0        2        0        0
44780 xfce4-settings-dbgsym              	       2        0        2        0        0
44781 xfce4-terminal-dbgsym              	       2        0        2        0        0
44782 xfconf-gsettings-backend           	       2        2        0        0        0
44783 xfonts-cmex-big5p                  	       2        0        0        0        2
44784 xfonts-knickers                    	       2        0        0        0        2
44785 xineliboutput-sxfe                 	       2        0        2        0        0
44786 xiterm+thai                        	       2        0        2        0        0
44787 xjdic                              	       2        0        2        0        0
44788 xlassie                            	       2        0        2        0        0
44789 xlibmesa-gl                        	       2        0        0        0        2
44790 xlibs-data                         	       2        0        0        0        2
44791 xmakemol-gl                        	       2        0        2        0        0
44792 xmlformat-doc                      	       2        0        0        0        2
44793 xmlformat-perl                     	       2        0        2        0        0
44794 xmlformat-ruby                     	       2        0        2        0        0
44795 xmlrpc-api-utils                   	       2        0        2        0        0
44796 xmotd                              	       2        0        2        0        0
44797 xmp-common                         	       2        0        0        0        2
44798 xneur                              	       2        1        1        0        0
44799 xotcl                              	       2        0        2        0        0
44800 xotcl-dev                          	       2        0        2        0        0
44801 xotcl-shells                       	       2        0        2        0        0
44802 xplot                              	       2        0        2        0        0
44803 xpn                                	       2        0        2        0        0
44804 xprint-common                      	       2        0        0        0        2
44805 xrootd-client-plugins              	       2        0        0        0        2
44806 xrootd-plugins                     	       2        0        0        0        2
44807 xscavenger                         	       2        0        2        0        0
44808 xsdcxx                             	       2        0        2        0        0
44809 xsddiagram                         	       2        0        2        0        0
44810 xserver-xorg-core-dbgsym           	       2        0        1        1        0
44811 xserver-xorg-input-elographics     	       2        0        2        0        0
44812 xserver-xorg-video-glide           	       2        0        2        0        0
44813 xserver-xorg-video-nvidia-tesla-450	       2        0        2        0        0
44814 xspecs                             	       2        0        0        0        2
44815 xssproxy                           	       2        0        2        0        0
44816 xtensor-dev                        	       2        0        0        0        2
44817 xtide-coastline                    	       2        0        0        0        2
44818 xtomp-cat                          	       2        1        1        0        0
44819 xul-ext-certificatepatrol          	       2        0        0        0        2
44820 xul-ext-cookie-monster             	       2        0        0        0        2
44821 xul-ext-cookieculler               	       2        0        0        0        2
44822 xul-ext-gnome-keyring              	       2        0        0        0        2
44823 xul-ext-tabmixplus                 	       2        0        0        0        2
44824 xul-ext-useragentswitcher          	       2        0        0        0        2
44825 xul-ext-video-without-flash        	       2        0        0        0        2
44826 xvidenc                            	       2        0        1        0        1
44827 xview-clients                      	       2        0        2        0        0
44828 xview-examples                     	       2        0        2        0        0
44829 xviewg                             	       2        0        2        0        0
44830 xvile                              	       2        0        2        0        0
44831 xvncviewer                         	       2        0        2        0        0
44832 xvt                                	       2        0        2        0        0
44833 xwiimote                           	       2        0        2        0        0
44834 xword                              	       2        0        2        0        0
44835 yaglom                             	       2        1        0        1        0
44836 yaglom-dbgsym                      	       2        1        0        1        0
44837 yandex-browser-beta                	       2        0        2        0        0
44838 yank                               	       2        0        2        0        0
44839 yap                                	       2        0        2        0        0
44840 yaru-cinnamon-theme-gtk            	       2        0        0        0        2
44841 yaru-cinnamon-theme-icon           	       2        0        0        0        2
44842 yaru-theme-gnome-shell             	       2        0        0        0        2
44843 yaru-theme-unity                   	       2        0        0        0        2
44844 yasnippet                          	       2        0        0        0        2
44845 yasw                               	       2        0        2        0        0
44846 yatex                              	       2        0        2        0        0
44847 yatm                               	       2        1        1        0        0
44848 yersinia                           	       2        0        2        0        0
44849 yforth                             	       2        0        2        0        0
44850 ymuse                              	       2        0        2        0        0
44851 yokadi                             	       2        0        2        0        0
44852 yorick-cubeview                    	       2        0        2        0        0
44853 yorick-dev                         	       2        0        2        0        0
44854 yorick-doc                         	       2        0        2        0        0
44855 yorick-full                        	       2        0        0        0        2
44856 yorick-gyoto                       	       2        0        2        0        0
44857 yorick-hdf5                        	       2        0        2        0        0
44858 yorick-svipc                       	       2        0        2        0        0
44859 yosys-abc                          	       2        0        2        0        0
44860 youtube-dlg                        	       2        0        2        0        0
44861 youtube-downloader                 	       2        0        0        0        2
44862 youtube-viewer                     	       2        1        1        0        0
44863 yubihsm-pkcs11                     	       2        1        0        0        1
44864 yuview                             	       2        0        2        0        0
44865 yydecode                           	       2        0        2        0        0
44866 z88                                	       2        0        2        0        0
44867 z88-data                           	       2        0        0        0        2
44868 z88-doc                            	       2        0        0        0        2
44869 zabbix-agent2-plugin-mongodb       	       2        0        2        0        0
44870 zabbix-get                         	       2        0        2        0        0
44871 zabbix-nginx-conf                  	       2        0        0        0        2
44872 zabbix-sender                      	       2        0        2        0        0
44873 zabbix-server-pgsql                	       2        1        1        0        0
44874 zandronum                          	       2        0        0        0        2
44875 zandronum-client                   	       2        0        2        0        0
44876 zandronum-pk3                      	       2        0        2        0        0
44877 zandronum-server                   	       2        0        2        0        0
44878 zapping                            	       2        0        2        0        0
44879 zchunk                             	       2        0        2        0        0
44880 zd1211-firmware                    	       2        0        1        0        1
44881 zendframework                      	       2        0        2        0        0
44882 zenlisp                            	       2        0        2        0        0
44883 zephyr-clients                     	       2        0        2        0        0
44884 zfs-test                           	       2        1        0        1        0
44885 zmakebas                           	       2        0        2        0        0
44886 zmf2epub                           	       2        0        2        0        0
44887 zonecheck-cgi                      	       2        1        1        0        0
44888 zramen                             	       2        0        2        0        0
44889 zsh-lovers                         	       2        0        0        0        2
44890 zulu-11                            	       2        0        1        0        1
44891 zulu17-ca-doc                      	       2        0        0        0        2
44892 zulu17-ca-jdk                      	       2        0        0        0        2
44893 zulu17-ca-jdk-headless             	       2        0        0        0        2
44894 zulu17-ca-jre                      	       2        0        0        0        2
44895 zulu17-ca-jre-headless             	       2        0        2        0        0
44896 zulu17-doc                         	       2        0        0        0        2
44897 zulu17-jdk                         	       2        0        0        0        2
44898 zulu17-jdk-headless                	       2        0        0        0        2
44899 zulu17-jre                         	       2        0        0        0        2
44900 zulu17-jre-headless                	       2        0        0        0        2
44901 zzuf                               	       2        0        2        0        0
44902 1c-enterprise-8.3.18.1208-common   	       1        0        0        0        1
44903 1c-enterprise-8.3.18.1208-common-nls	       1        0        0        0        1
44904 1c-enterprise-8.3.18.1208-crs      	       1        0        0        0        1
44905 1c-enterprise-8.3.18.1208-server   	       1        0        0        0        1
44906 1c-enterprise-8.3.18.1208-server-nls	       1        0        0        0        1
44907 1c-enterprise-8.3.18.1208-ws       	       1        0        0        0        1
44908 1c-enterprise-8.3.18.1208-ws-nls   	       1        0        0        0        1
44909 1c-enterprise-8.3.18.1563-common   	       1        0        0        0        1
44910 1c-enterprise-8.3.18.1563-common-nls	       1        0        0        0        1
44911 1c-enterprise-8.3.18.1563-crs      	       1        0        0        0        1
44912 1c-enterprise-8.3.18.1563-server   	       1        0        0        0        1
44913 1c-enterprise-8.3.18.1563-server-nls	       1        0        0        0        1
44914 1c-enterprise-8.3.18.1563-ws       	       1        0        0        0        1
44915 1c-enterprise-8.3.18.1563-ws-nls   	       1        0        0        0        1
44916 1c-enterprise-8.3.19.1665-common   	       1        0        0        0        1
44917 1c-enterprise-8.3.19.1665-common-nls	       1        0        0        0        1
44918 1c-enterprise-8.3.19.1665-crs      	       1        0        0        0        1
44919 1c-enterprise-8.3.19.1665-server   	       1        0        0        0        1
44920 1c-enterprise-8.3.19.1665-server-nls	       1        0        0        0        1
44921 1c-enterprise-8.3.19.1665-ws       	       1        0        0        0        1
44922 1c-enterprise-8.3.19.1665-ws-nls   	       1        0        0        0        1
44923 1c-enterprise83-common             	       1        0        0        0        1
44924 1c-enterprise83-common-nls         	       1        0        0        0        1
44925 1c-enterprise83-crs                	       1        0        0        0        1
44926 1c-enterprise83-server             	       1        0        0        0        1
44927 1c-enterprise83-server-nls         	       1        0        0        0        1
44928 1c-enterprise83-ws                 	       1        0        0        0        1
44929 1c-enterprise83-ws-nls             	       1        0        0        0        1
44930 1oom                               	       1        0        1        0        0
44931 389-ds-base-libs                   	       1        0        0        0        1
44932 3d-ascii-viewer                    	       1        0        1        0        0
44933 3depict                            	       1        0        1        0        0
44934 4kimagecompressor                  	       1        0        1        0        0
44935 4kslideshowmaker                   	       1        0        1        0        0
44936 4kstogram                          	       1        0        1        0        0
44937 4kvideotomp3                       	       1        0        1        0        0
44938 4kyoutubetomp3                     	       1        0        1        0        0
44939 4l                                 	       1        0        1        0        0
44940 7w                                 	       1        0        1        0        0
44941 8814au                             	       1        0        0        0        1
44942 915resolution                      	       1        0        1        0        0
44943 aad                                	       1        0        1        0        0
44944 abacas                             	       1        0        1        0        0
44945 abakus-trinity                     	       1        0        1        0        0
44946 abby                               	       1        0        1        0        0
44947 abinit                             	       1        0        1        0        0
44948 abinit-data                        	       1        0        0        0        1
44949 abinit-doc                         	       1        0        0        0        1
44950 abiword-plugin-mathview            	       1        0        0        0        1
44951 abiword-plugins-gnome              	       1        0        0        0        1
44952 ableton-link-utils-gui             	       1        0        1        0        0
44953 abntex                             	       1        0        0        0        1
44954 abraca                             	       1        0        1        0        0
44955 abricotine                         	       1        0        0        0        1
44956 abrowser-locale-es                 	       1        0        1        0        0
44957 abuse-sfx                          	       1        0        0        0        1
44958 abyss                              	       1        0        1        0        0
44959 acarsdec                           	       1        0        1        0        0
44960 acccheck                           	       1        1        0        0        0
44961 accel-ppp                          	       1        0        1        0        0
44962 accerciser                         	       1        0        1        0        0
44963 accounts-qml-module-doc            	       1        0        0        0        1
44964 acedb-other-belvu                  	       1        0        0        0        1
44965 acedb-other-dotter                 	       1        0        0        0        1
44966 acfax                              	       1        0        1        0        0
44967 acheck                             	       1        0        1        0        0
44968 acheck-rules                       	       1        0        0        0        1
44969 achilles                           	       1        0        1        0        0
44970 acl2-emacs                         	       1        0        1        0        0
44971 acnhscale                          	       1        0        1        0        0
44972 acpi-call-tools                    	       1        0        0        0        1
44973 acpi-ec                            	       1        0        0        0        1
44974 acpi-override-initramfs            	       1        0        0        0        1
44975 acroread-doc-de                    	       1        0        1        0        0
44976 acroread-plugin-speech             	       1        0        1        0        0
44977 adabrowse                          	       1        0        1        0        0
44978 adanaxisgpl                        	       1        0        1        0        0
44979 adanaxisgpl-data                   	       1        0        0        0        1
44980 adapta-colorpack                   	       1        0        0        0        1
44981 adapterremoval                     	       1        0        1        0        0
44982 add-apt-key                        	       1        0        1        0        0
44983 adduser-ng                         	       1        0        1        0        0
44984 adduser-ng-doc                     	       1        0        0        0        1
44985 adduser-ng-doc-devel               	       1        0        1        0        0
44986 adduser-plugin-quota               	       1        0        1        0        0
44987 adlint                             	       1        0        1        0        0
44988 adobe-flash-player-browserplugin   	       1        0        1        0        0
44989 adobe-flashplugin                  	       1        0        1        0        0
44990 adobeair                           	       1        0        0        0        1
44991 adoptopenjdk-11-hotspot-jre        	       1        0        1        0        0
44992 adoptopenjdk-8-openj9              	       1        0        1        0        0
44993 advanced-rest-client               	       1        0        0        0        1
44994 adw-gtk3                           	       1        0        0        0        1
44995 adwaita-icon-theme-legacy          	       1        0        0        0        1
44996 adwaita-qt-data                    	       1        0        0        0        1
44997 adwaita-qt4                        	       1        0        1        0        0
44998 adzapper                           	       1        0        1        0        0
44999 aegis-virus-scanner                	       1        0        1        0        0
45000 aes2501-wy                         	       1        0        1        0        0
45001 aether                             	       1        0        0        0        1
45002 aevol                              	       1        0        1        0        0
45003 afdko-doc                          	       1        0        0        0        1
45004 afick-doc                          	       1        0        0        0        1
45005 afick-gui                          	       1        0        1        0        0
45006 afl                                	       1        0        0        0        1
45007 aftershot2x64                      	       1        0        1        0        0
45008 aftershot3x64                      	       1        0        1        0        0
45009 agress                             	       1        0        1        0        0
45010 ags                                	       1        0        1        0        0
45011 aide-dynamic                       	       1        0        1        0        0
45012 ain-imager                         	       1        0        1        0        0
45013 airsnort                           	       1        0        1        0        0
45014 aish                               	       1        0        1        0        0
45015 alacconvert                        	       1        0        1        0        0
45016 alarm-clock                        	       1        0        1        0        0
45017 alevtd                             	       1        0        1        0        0
45018 alexandria                         	       1        0        1        0        0
45019 alfaview                           	       1        0        1        0        0
45020 alien-arena-server                 	       1        0        1        0        0
45021 all-knowing-dns                    	       1        0        1        0        0
45022 allegro-demo                       	       1        0        1        0        0
45023 allegro-demo-data                  	       1        0        0        0        1
45024 allegro5-build-deps                	       1        0        0        0        1
45025 alliance                           	       1        0        1        0        0
45026 alot-doc                           	       1        0        0        0        1
45027 alsa-plugins-build-deps            	       1        0        0        0        1
45028 alsa-sndio                         	       1        0        0        0        1
45029 alsa-source                        	       1        0        0        0        1
45030 alsa-utils-dbgsym                  	       1        0        1        0        0
45031 alsoft-conf                        	       1        0        1        0        0
45032 alter-sequence-alignment           	       1        0        1        0        0
45033 altree                             	       1        0        1        0        0
45034 alure-doc                          	       1        0        0        0        1
45035 alure-utils                        	       1        0        1        0        0
45036 amarok-engine-yauap-trinity        	       1        0        1        0        0
45037 amarok-trinity-dbg                 	       1        0        1        0        0
45038 amavisd-milter                     	       1        0        1        0        0
45039 amazon-ecr-credential-helper       	       1        0        1        0        0
45040 amd-clinfo                         	       1        0        1        0        0
45041 amd-libopencl1                     	       1        0        1        0        0
45042 amd-opencl-icd-legacy              	       1        0        0        0        1
45043 amdgpu-pro-pin                     	       1        0        0        0        1
45044 ament-cmake                        	       1        0        0        0        1
45045 ament-cmake-xmllint                	       1        0        0        0        1
45046 amf-codec-headers                  	       1        0        1        0        0
45047 amora-applet                       	       1        0        1        0        0
45048 ampache-themes                     	       1        0        0        0        1
45049 ampliconnoise                      	       1        0        1        0        0
45050 amrnb                              	       1        0        1        0        0
45051 amrwb                              	       1        0        1        0        0
45052 ams.lv2                            	       1        0        1        0        0
45053 analizo                            	       1        0        1        0        0
45054 andi                               	       1        0        1        0        0
45055 android-libcrypto-utils-dev        	       1        0        1        0        0
45056 android-libetc1-dev                	       1        0        1        0        0
45057 android-libf2fs-utils-dev          	       1        0        1        0        0
45058 android-libfec-dev                 	       1        0        1        0        0
45059 android-logtags-tools              	       1        0        1        0        0
45060 android-platform-libcore-headers   	       1        0        1        0        0
45061 android-sdk-ext4-utils             	       1        0        1        0        0
45062 android-sdk-helper                 	       1        0        0        0        1
45063 anica-deb-source-using-tor-alpha   	       1        0        0        0        1
45064 anica-deb-source-using-tor-beta    	       1        0        0        0        1
45065 anica-deb-source-using-tor-stable  	       1        0        0        0        1
45066 anope                              	       1        0        1        0        0
45067 anorack                            	       1        0        1        0        0
45068 ant-contrib-cpptasks               	       1        0        0        0        1
45069 anteater                           	       1        0        1        0        0
45070 anthy-el                           	       1        0        1        0        0
45071 antimicrox                         	       1        0        1        0        0
45072 antix-libs                         	       1        0        1        0        0
45073 antlr3-gunit-maven-plugin          	       1        0        0        0        1
45074 antlr3-maven-plugin                	       1        0        0        0        1
45075 antlr3.2-gunit-maven-plugin        	       1        0        0        0        1
45076 antlr3.2-maven-plugin              	       1        0        0        0        1
45077 antofox-keyrings                   	       1        0        0        0        1
45078 anypaper                           	       1        1        0        0        0
45079 anytun                             	       1        0        1        0        0
45080 anytype                            	       1        0        1        0        0
45081 aobook                             	       1        1        0        0        0
45082 aocc-compiler-4.2.0                	       1        0        1        0        0
45083 aocl-linux-aocc-4.2.0              	       1        0        1        0        0
45084 aodh-common                        	       1        0        1        0        0
45085 aodh-evaluator                     	       1        0        1        0        0
45086 aodh-notifier                      	       1        0        1        0        0
45087 aoeui                              	       1        0        0        1        0
45088 aot-client-gl                      	       1        0        1        0        0
45089 aot-client-sdl                     	       1        0        1        0        0
45090 apertium-afr-nld                   	       1        0        0        0        1
45091 apertium-arg-cat                   	       1        0        0        0        1
45092 apertium-bel-rus                   	       1        0        0        0        1
45093 apertium-br-fr                     	       1        0        0        0        1
45094 apertium-cat-ita                   	       1        0        0        0        1
45095 apertium-cat-srd                   	       1        0        0        0        1
45096 apertium-crh-tur                   	       1        0        0        0        1
45097 apertium-cy-en                     	       1        0        0        0        1
45098 apertium-dan-nor                   	       1        0        0        0        1
45099 apertium-en-gl                     	       1        0        0        0        1
45100 apertium-eng-cat                   	       1        0        0        0        1
45101 apertium-eo-ca                     	       1        0        0        0        1
45102 apertium-es-ast                    	       1        0        0        0        1
45103 apertium-es-gl                     	       1        0        0        0        1
45104 apertium-eu-en                     	       1        0        0        0        1
45105 apertium-eu-es                     	       1        0        0        0        1
45106 apertium-fra                       	       1        0        0        0        1
45107 apertium-fra-cat                   	       1        0        0        0        1
45108 apertium-hbs-eng                   	       1        0        0        0        1
45109 apertium-hbs-mkd                   	       1        0        0        0        1
45110 apertium-hbs-slv                   	       1        0        0        0        1
45111 apertium-ind-zlm                   	       1        0        0        0        1
45112 apertium-is-sv                     	       1        0        0        0        1
45113 apertium-isl-eng                   	       1        0        0        0        1
45114 apertium-isl-swe                   	       1        0        0        0        1
45115 apertium-kaz-tat                   	       1        0        0        0        1
45116 apertium-mk-bg                     	       1        0        0        0        1
45117 apertium-mk-en                     	       1        0        0        0        1
45118 apertium-mkd-bul                   	       1        0        0        0        1
45119 apertium-mkd-eng                   	       1        0        0        0        1
45120 apertium-mlt-ara                   	       1        0        0        0        1
45121 apertium-nno-nob                   	       1        0        0        0        1
45122 apertium-oc-ca                     	       1        0        0        0        1
45123 apertium-oc-es                     	       1        0        0        0        1
45124 apertium-oci-fra                   	       1        0        0        0        1
45125 apertium-pol-szl                   	       1        0        0        0        1
45126 apertium-por-cat                   	       1        0        0        0        1
45127 apertium-pt-ca                     	       1        0        0        0        1
45128 apertium-pt-gl                     	       1        0        0        0        1
45129 apertium-rus-ukr                   	       1        0        0        0        1
45130 apertium-simpleton                 	       1        0        0        0        1
45131 apertium-sme-nob                   	       1        0        0        0        1
45132 apertium-spa-arg                   	       1        0        0        0        1
45133 apertium-spa-ast                   	       1        0        0        0        1
45134 apertium-spa-cat                   	       1        0        0        0        1
45135 apertium-spa-ita                   	       1        0        0        0        1
45136 apertium-srd-ita                   	       1        0        0        0        1
45137 apertium-swe-dan                   	       1        0        0        0        1
45138 apertium-swe-nor                   	       1        0        0        0        1
45139 apertium-urd-hin                   	       1        0        0        0        1
45140 apf-firewall                       	       1        0        1        0        0
45141 apidog                             	       1        0        1        0        0
45142 aplus-fsf-doc                      	       1        0        0        0        1
45143 apmplanner2                        	       1        0        1        0        0
45144 apophenia-bin                      	       1        0        1        0        0
45145 appimage2deb                       	       1        0        0        0        1
45146 apprise                            	       1        0        1        0        0
45147 approx                             	       1        0        1        0        0
45148 appstream-glib-doc                 	       1        0        0        0        1
45149 apriltag                           	       1        0        1        0        0
45150 apt-build-deps-depends             	       1        0        0        0        1
45151 apt-dpkg-ref-build-deps            	       1        0        0        0        1
45152 apt-howto-common                   	       1        0        1        0        0
45153 apt-howto-de                       	       1        0        0        0        1
45154 apt-swarm                          	       1        0        0        1        0
45155 apt-transport-debtorrent           	       1        0        1        0        0
45156 apt-transport-in-toto              	       1        0        1        0        0
45157 aptconf                            	       1        0        1        0        0
45158 aptfs                              	       1        0        1        0        0
45159 aptitude-build-deps-depends        	       1        0        0        0        1
45160 aptitude-dbgsym                    	       1        0        1        0        0
45161 aptitude-doc-fi                    	       1        0        0        0        1
45162 aptitude-doc-ja                    	       1        0        0        0        1
45163 aptlinex                           	       1        0        1        0        0
45164 aptly-publisher                    	       1        1        0        0        0
45165 apwal                              	       1        0        1        0        0
45166 aqbanking-tool                     	       1        0        0        0        1
45167 aragorn                            	       1        0        1        0        0
45168 arc-icon-theme                     	       1        0        0        0        1
45169 archive-files                      	       1        0        1        0        0
45170 arctican-plugins-lv2               	       1        0        1        0        0
45171 ardour-medias                      	       1        0        0        0        1
45172 arename                            	       1        0        1        0        0
45173 argentum-age-build-deps            	       1        0        0        0        1
45174 aria                               	       1        0        1        0        0
45175 arkhart                            	       1        0        1        0        0
45176 arkrpg                             	       1        0        1        0        0
45177 armagetronad-dedicated             	       1        0        1        0        0
45178 armbian-bsp-cli-orangepizero2w-current	       1        0        1        0        0
45179 armbian-config                     	       1        0        1        0        0
45180 armbian-zsh                        	       1        0        0        0        1
45181 armcord                            	       1        0        1        0        0
45182 aroarfw-dev                        	       1        0        1        0        0
45183 arpage                             	       1        0        1        0        0
45184 arpon                              	       1        0        1        0        0
45185 arronax                            	       1        0        1        0        0
45186 arronax-thunar                     	       1        0        0        0        1
45187 artefetcher                        	       1        0        1        0        0
45188 artfastqgenerator                  	       1        0        1        0        0
45189 arts                               	       1        0        0        0        1
45190 artyfx                             	       1        0        1        0        0
45191 arubasign                          	       1        0        1        0        0
45192 ascdc                              	       1        0        1        0        0
45193 ascii-image-converter              	       1        0        1        0        0
45194 asciio                             	       1        0        1        0        0
45195 asdftool                           	       1        0        1        0        0
45196 asiya24-vfont                      	       1        0        0        0        1
45197 asl-apt-repos                      	       1        1        0        0        0
45198 asn                                	       1        0        1        0        0
45199 asoundconf-gtk                     	       1        0        1        0        0
45200 asp.net-examples                   	       1        0        1        0        0
45201 aspell-eo-cx7                      	       1        0        1        0        0
45202 aspell-fi                          	       1        1        0        0        0
45203 aspell-fo                          	       1        0        1        0        0
45204 aspell-kn                          	       1        0        1        0        0
45205 aspell-or                          	       1        0        1        0        0
45206 aspell-uz                          	       1        0        1        0        0
45207 aspera-scp-client                  	       1        0        1        0        0
45208 aspia-client                       	       1        0        1        0        0
45209 aspia-console                      	       1        0        1        0        0
45210 aspia-relay                        	       1        0        1        0        0
45211 aspnetcore-runtime-2.1             	       1        0        0        0        1
45212 aspnetcore-runtime-2.2             	       1        0        0        0        1
45213 aspnetcore-runtime-3.1             	       1        0        0        0        1
45214 assetfinder                        	       1        0        1        0        0
45215 assogiate                          	       1        0        1        0        0
45216 assword                            	       1        0        1        0        0
45217 astcenc                            	       1        0        1        0        0
45218 asterisk-core-sounds-en-wav        	       1        0        0        0        1
45219 asterisk-mobile                    	       1        0        1        0        0
45220 asterisk-mp3                       	       1        0        1        0        0
45221 asterisk-mysql                     	       1        0        1        0        0
45222 asterisk-vpb                       	       1        0        1        0        0
45223 astro-education                    	       1        0        0        0        1
45224 astro-java                         	       1        0        0        0        1
45225 astro-publication                  	       1        0        0        0        1
45226 astro-python3                      	       1        0        0        0        1
45227 astro-radioastronomy               	       1        0        0        0        1
45228 astro-simulation                   	       1        0        0        0        1
45229 astro-telescopecontrol             	       1        0        0        0        1
45230 astro-viewers                      	       1        0        0        0        1
45231 astro-virtual-observatory          	       1        0        0        0        1
45232 astroid                            	       1        0        1        0        0
45233 astronciaiptv                      	       1        0        1        0        0
45234 asymptote-x11                      	       1        0        0        1        0
45235 atac                               	       1        0        1        0        0
45236 atari-fdisk-cross                  	       1        0        1        0        0
45237 atdts                              	       1        0        1        0        0
45238 atf-sh                             	       1        0        1        0        0
45239 athcool                            	       1        0        1        0        0
45240 athena-jot                         	       1        0        1        0        0
45241 atitvout                           	       1        0        1        0        0
45242 atlasvpn-release                   	       1        0        0        0        1
45243 atlc-examples                      	       1        0        0        0        1
45244 atm-tools                          	       1        1        0        0        0
45245 atrinik-client                     	       1        0        1        0        0
45246 atris                              	       1        0        1        0        0
45247 attal                              	       1        0        1        0        0
45248 attal-themes-cyberpunk             	       1        0        0        0        1
45249 attal-themes-medieval              	       1        0        0        0        1
45250 attract                            	       1        0        1        0        0
45251 audacious-dumb                     	       1        0        0        0        1
45252 audiorelay                         	       1        0        1        0        0
45253 aufs-modules-2.6.26-486-voyage     	       1        0        0        0        1
45254 aufs-source                        	       1        0        0        0        1
45255 augustus-data                      	       1        0        0        0        1
45256 ausweisapp                         	       1        0        0        1        0
45257 auto-07p                           	       1        0        1        0        0
45258 autoconf-build-deps                	       1        0        0        0        1
45259 autoconf-dickey-build-deps         	       1        0        0        0        1
45260 autoconf2.69-build-deps            	       1        0        0        0        1
45261 autodir                            	       1        0        1        0        0
45262 autodock                           	       1        0        1        0        0
45263 autodock-vina                      	       1        0        1        0        0
45264 autofdo                            	       1        0        1        0        0
45265 autogrid                           	       1        0        1        0        0
45266 autoimport                         	       1        0        1        0        0
45267 autolog                            	       1        0        1        0        0
45268 automoc                            	       1        0        1        0        0
45269 autopano-sift-c                    	       1        0        1        0        0
45270 autoradio                          	       1        0        1        0        0
45271 autorenamer                        	       1        1        0        0        0
45272 autosuspend                        	       1        0        1        0        0
45273 auxilium                           	       1        0        1        0        0
45274 ava                                	       1        1        0        0        0
45275 avidemux3-cli-dev                  	       1        0        1        0        0
45276 avidemux3-core-dev                 	       1        0        1        0        0
45277 avidemux3-qt5-dev                  	       1        0        1        0        0
45278 avinfo                             	       1        0        1        0        0
45279 avisynthplus-yuuki                 	       1        0        0        0        1
45280 avm-firmware                       	       1        0        1        0        0
45281 avm-source                         	       1        0        0        0        1
45282 avrprog                            	       1        0        1        0        0
45283 avw.lv2                            	       1        0        0        0        1
45284 aweather                           	       1        0        1        0        0
45285 awesome-dbgsym                     	       1        0        1        0        0
45286 awf-gtk4                           	       1        0        1        0        0
45287 awffull                            	       1        0        1        0        0
45288 ax25-node                          	       1        0        1        0        0
45289 ax25spyd                           	       1        0        1        0        0
45290 axe-demultiplexer                  	       1        0        1        0        0
45291 axis-archive-keyring               	       1        0        0        0        1
45292 axoloti                            	       1        0        1        0        0
45293 axprotector                        	       1        0        0        0        1
45294 ayatana-indicator-datetime         	       1        0        1        0        0
45295 ayatana-indicator-keyboard         	       1        0        1        0        0
45296 ayatana-indicator-messages         	       1        0        1        0        0
45297 ayatana-indicator-notifications    	       1        0        1        0        0
45298 ayatana-indicator-sound            	       1        0        1        0        0
45299 ayatana-settings                   	       1        0        1        0        0
45300 ayatana-webmail                    	       1        0        1        0        0
45301 ayttm                              	       1        0        1        0        0
45302 ayu-theme                          	       1        0        0        0        1
45303 azuredatastudio                    	       1        0        1        0        0
45304 babeld                             	       1        0        1        0        0
45305 babeltrace                         	       1        0        1        0        0
45306 backblaze-b2                       	       1        0        1        0        0
45307 backdown                           	       1        0        1        0        0
45308 backup-common-2pir                 	       1        0        0        0        1
45309 bacon                              	       1        0        1        0        0
45310 bacula                             	       1        0        0        0        1
45311 bacula-common-mysql                	       1        0        1        0        0
45312 bacula-director-common             	       1        0        0        0        1
45313 bacula-director-mysql              	       1        0        0        0        1
45314 bacula-server                      	       1        0        0        0        1
45315 bake                               	       1        0        1        0        0
45316 balance                            	       1        0        1        0        0
45317 balazar                            	       1        0        1        0        0
45318 balazar3-2d                        	       1        0        0        0        1
45319 balazarbrothers                    	       1        0        1        0        0
45320 balder2d                           	       1        0        1        0        0
45321 balder2d-data                      	       1        0        0        0        1
45322 ballview                           	       1        0        1        0        0
45323 bandwidthd                         	       1        0        1        0        0
45324 banshee-community-extensions       	       1        0        0        0        1
45325 banshee-extension-alarm            	       1        0        1        0        0
45326 banshee-extension-albumartwriter   	       1        0        1        0        0
45327 banshee-extension-ampache          	       1        0        1        0        0
45328 banshee-extension-awn              	       1        0        1        0        0
45329 banshee-extension-coverwallpaper   	       1        0        1        0        0
45330 banshee-extension-duplicatesongdetector	       1        0        1        0        0
45331 banshee-extension-foldersync       	       1        0        1        0        0
45332 banshee-extension-jamendo          	       1        0        1        0        0
45333 banshee-extension-lastfmfingerprint	       1        0        1        0        0
45334 banshee-extension-lcd              	       1        0        1        0        0
45335 banshee-extension-lirc             	       1        0        1        0        0
45336 banshee-extension-liveradio        	       1        0        1        0        0
45337 banshee-extension-lyrics           	       1        0        1        0        0
45338 banshee-extension-magnatune        	       1        0        1        0        0
45339 banshee-extension-openvp           	       1        0        1        0        0
45340 banshee-extension-radiostationfetcher	       1        0        1        0        0
45341 banshee-extension-randombylastfm   	       1        0        1        0        0
45342 banshee-extension-telepathy        	       1        0        1        0        0
45343 banshee-extension-zeitgeistdataprovider	       1        0        1        0        0
45344 banyanapp                          	       1        0        0        0        1
45345 bareftp                            	       1        0        1        0        0
45346 bareos-webui                       	       1        0        1        0        0
45347 barman-cli-cloud                   	       1        1        0        0        0
45348 barnowl                            	       1        0        1        0        0
45349 bash-argsparse                     	       1        0        0        0        1
45350 bash-charmap                       	       1        0        1        0        0
45351 bash-deb-build                     	       1        0        1        0        0
45352 bash-optimg                        	       1        0        1        0        0
45353 bashdb                             	       1        0        1        0        0
45354 basix-doc                          	       1        0        0        0        1
45355 basket-kontact-integration-trinity 	       1        0        1        0        0
45356 basket-trinity                     	       1        0        1        0        0
45357 bastion                            	       1        0        0        0        1
45358 bauh-trinity                       	       1        0        0        0        1
45359 bazaar-doc                         	       1        0        0        0        1
45360 bazel-6.1.0                        	       1        0        1        0        0
45361 bazel-platforms                    	       1        0        0        0        1
45362 bazel-rules-cc                     	       1        0        1        0        0
45363 bazel-rules-java                   	       1        0        0        0        1
45364 bazel-rules-proto                  	       1        0        1        0        0
45365 bazel-skylib                       	       1        0        0        0        1
45366 bbmail                             	       1        1        0        0        0
45367 bcachefs-tools-dbgsym              	       1        0        1        0        0
45368 bcftools                           	       1        0        1        0        0
45369 bcm5700-source                     	       1        0        0        0        1
45370 bcron                              	       1        0        1        0        0
45371 bda-monitoring-plugins-bridge-interface	       1        0        1        0        0
45372 bda-monitoring-plugins-broken-symlinks	       1        0        1        0        0
45373 bda-monitoring-plugins-cert-local  	       1        0        1        0        0
45374 bda-monitoring-plugins-count-files 	       1        0        1        0        0
45375 bda-monitoring-plugins-file-age-redux	       1        0        1        0        0
45376 bda-monitoring-plugins-file-md5sum 	       1        0        1        0        0
45377 bda-monitoring-plugins-imap-login  	       1        0        1        0        0
45378 bda-monitoring-plugins-letsencrypt-expiry-1.0	       1        0        1        0        0
45379 bda-monitoring-plugins-mdadm-status	       1        0        1        0        0
45380 bda-monitoring-plugins-pjsip-registration	       1        0        1        0        0
45381 bda-monitoring-plugins-sync-krb    	       1        0        1        0        0
45382 bda-monitoring-plugins-unknown-mac 	       1        0        1        0        0
45383 bdbvu                              	       1        1        0        0        0
45384 beagle                             	       1        0        1        0        0
45385 beancount                          	       1        0        1        0        0
45386 beast-mcmc                         	       1        0        1        0        0
45387 beast2-mcmc                        	       1        0        1        0        0
45388 beebeep                            	       1        0        1        0        0
45389 beegfs-client                      	       1        0        1        0        0
45390 beegfs-common                      	       1        0        0        0        1
45391 beegfs-helperd                     	       1        0        1        0        0
45392 beegfs-utils                       	       1        0        1        0        0
45393 beep-media-player                  	       1        0        1        0        0
45394 beep-media-player-wma              	       1        0        1        0        0
45395 bellsoft-java11-full               	       1        0        1        0        0
45396 bellsoft-java13-full               	       1        0        1        0        0
45397 bellsoft-java14-full               	       1        0        1        0        0
45398 bellsoft-java15-full               	       1        0        1        0        0
45399 bellsoft-java16-full               	       1        0        1        0        0
45400 bellsoft-java21-full               	       1        0        1        0        0
45401 bellsoft-java8                     	       1        0        1        0        0
45402 bellsoft-java8-full                	       1        0        1        0        0
45403 bento4                             	       1        0        1        0        0
45404 bepasty                            	       1        0        1        0        0
45405 bfgminer                           	       1        0        1        0        0
45406 bgcode                             	       1        0        0        1        0
45407 bgpdump                            	       1        0        1        0        0
45408 bibledit-bibletime                 	       1        0        1        0        0
45409 bibledit-cloud                     	       1        0        1        0        0
45410 bibledit-cloud-data                	       1        0        1        0        0
45411 bibledit-gtk                       	       1        0        1        0        0
45412 bibledit-gtk-data                  	       1        0        1        0        0
45413 bibledit-xiphos                    	       1        0        1        0        0
45414 biblioteq                          	       1        0        0        0        1
45415 bibus-doc-en                       	       1        0        0        0        1
45416 bidentd                            	       1        0        1        0        0
45417 biew                               	       1        0        1        0        0
45418 binance                            	       1        0        1        0        0
45419 bind-dnsutils                      	       1        0        1        0        0
45420 bind-host                          	       1        0        1        0        0
45421 bind-libs                          	       1        0        0        0        1
45422 binpac                             	       1        0        1        0        0
45423 binutils-aarch64-linux-gnu-dbg     	       1        0        1        0        0
45424 binutils-arm-elf                   	       1        0        1        0        0
45425 binutils-arm-linux-gnueabi-dbg     	       1        0        1        0        0
45426 binutils-dbg                       	       1        0        0        1        0
45427 binutils-djgpp                     	       1        0        1        0        0
45428 binutils-gold-powerpc64le-linux-gnu	       1        0        1        0        0
45429 binutils-hppa-linux-gnu-dbg        	       1        0        1        0        0
45430 binutils-hppa64-linux-gnu-dbg      	       1        0        1        0        0
45431 binutils-i686-gnu                  	       1        0        1        0        0
45432 binutils-ia16-elf                  	       1        0        1        0        0
45433 binutils-m68hc1x                   	       1        0        1        0        0
45434 binutils-m68k-linux-gnu            	       1        0        1        0        0
45435 binutils-mips64-linux-gnuabi64     	       1        0        1        0        0
45436 binutils-multiarch-dbg             	       1        0        1        0        0
45437 binutils-or1k-elf                  	       1        0        1        0        0
45438 biosdisk                           	       1        0        1        0        0
45439 bird-bgp                           	       1        0        0        0        1
45440 bison++                            	       1        1        0        0        0
45441 bit4id-ipki                        	       1        0        1        0        0
45442 bitcoin-cpuminer                   	       1        0        1        0        0
45443 bitcoin-tx                         	       1        0        1        0        0
45444 bitlbee-facebook                   	       1        1        0        0        0
45445 bitlbee-libpurple-dbgsym           	       1        0        1        0        0
45446 bitlbee-plugin-facebook            	       1        0        1        0        0
45447 bitlbee-steam                      	       1        1        0        0        0
45448 bitmap-mule                        	       1        0        1        0        0
45449 bitmath                            	       1        0        1        0        0
45450 bitpim-lib                         	       1        0        1        0        0
45451 bitscope-dso                       	       1        0        1        0        0
45452 bitshuffle                         	       1        0        1        0        0
45453 bitsnpicas                         	       1        0        1        0        0
45454 bitsquare                          	       1        0        1        0        0
45455 bjeps2xx                           	       1        0        1        0        0
45456 bkchem                             	       1        0        1        0        0
45457 bkt                                	       1        0        1        0        0
45458 blabel                             	       1        0        1        0        0
45459 blackbox-terminal                  	       1        0        1        0        0
45460 blackdev-plymouth-theme            	       1        0        0        0        1
45461 blacs-mpi-test                     	       1        0        1        0        0
45462 blacs-test-common                  	       1        0        1        0        0
45463 blanket                            	       1        0        1        0        0
45464 blast                              	       1        0        1        0        0
45465 blcr-util                          	       1        0        1        0        0
45466 blender-dbg                        	       1        0        1        0        0
45467 blendsel                           	       1        0        0        1        0
45468 blendsel-data                      	       1        0        0        0        1
45469 blhc                               	       1        0        1        0        0
45470 blink                              	       1        0        1        0        0
45471 blinkd                             	       1        0        1        0        0
45472 blobby-build-deps                  	       1        0        0        0        1
45473 blobby-dbgsym                      	       1        0        1        0        0
45474 blockade                           	       1        0        1        0        0
45475 blockbench                         	       1        0        0        0        1
45476 blockfinder                        	       1        1        0        0        0
45477 blogc                              	       1        0        1        0        0
45478 blogc-make                         	       1        0        1        0        0
45479 blogc-runserver                    	       1        0        1        0        0
45480 blogilo                            	       1        0        1        0        0
45481 blosxom                            	       1        0        1        0        0
45482 bluebrain-hpc-coding-conventions   	       1        0        0        0        1
45483 bluefish-doc-pdf                   	       1        0        0        0        1
45484 bluefish-doc-ps                    	       1        0        0        0        1
45485 bluemindo                          	       1        0        1        0        0
45486 blueprint                          	       1        0        1        0        0
45487 blueprint-compiler                 	       1        0        1        0        0
45488 bluez-tools-dbgsym                 	       1        0        1        0        0
45489 bmagic                             	       1        0        1        0        0
45490 bmt                                	       1        0        1        0        0
45491 boca.amd64                         	       1        0        1        0        0
45492 bogl-bterm                         	       1        0        1        0        0
45493 boinc-app-seti                     	       1        0        0        0        1
45494 boinc-client-opencl                	       1        0        0        0        1
45495 bolt-16                            	       1        0        1        0        0
45496 bolt-tests                         	       1        0        0        0        1
45497 bombadillo                         	       1        0        1        0        0
45498 bombermaze                         	       1        0        1        0        0
45499 bombono-dvd                        	       1        0        1        0        0
45500 bomstrip                           	       1        0        1        0        0
45501 bongosurfer                        	       1        1        0        0        0
45502 boogie                             	       1        0        1        0        0
45503 booksorg                           	       1        0        1        0        0
45504 boolector                          	       1        0        1        0        0
45505 boost-defaults-build-deps          	       1        0        0        0        1
45506 boost1.71-build-deps               	       1        0        0        0        1
45507 boot-info                          	       1        0        1        0        0
45508 bootchart-view                     	       1        0        1        0        0
45509 bootiso                            	       1        0        1        0        0
45510 bootscript-odroidc4                	       1        0        0        0        1
45511 bootscript-odroidm1                	       1        0        0        0        1
45512 borgbackup2                        	       1        0        1        0        0
45513 bos                                	       1        0        1        0        0
45514 boson                              	       1        0        1        0        0
45515 boson-data                         	       1        0        0        0        1
45516 boson-music                        	       1        0        0        0        1
45517 botch                              	       1        0        1        0        0
45518 boulder-game                       	       1        0        1        0        0
45519 boxer                              	       1        0        1        0        0
45520 boxer-data                         	       1        0        0        0        1
45521 bpta                               	       1        0        0        0        1
45522 bpython3                           	       1        0        1        0        0
45523 br2684ctl                          	       1        0        1        0        0
45524 braillefont                        	       1        0        1        0        0
45525 braindump                          	       1        0        1        0        0
45526 breathe-doc                        	       1        0        0        0        1
45527 breitbandmessung                   	       1        0        0        0        1
45528 brhl2040lpr                        	       1        0        1        0        0
45529 brick-flash                        	       1        0        1        0        0
45530 brickos-doc                        	       1        0        0        0        1
45531 brickv                             	       1        0        1        0        0
45532 bricscadv19                        	       1        0        1        0        0
45533 bricscadv20                        	       1        0        1        0        0
45534 bricscadv22                        	       1        0        1        0        0
45535 bricscadv25                        	       1        0        1        0        0
45536 brig                               	       1        0        1        0        0
45537 brightnessctl-dbgsym               	       1        0        1        0        0
45538 brightnesspicker                   	       1        0        1        0        0
45539 brlcad                             	       1        0        1        0        0
45540 brltty-build-deps                  	       1        0        0        0        1
45541 brltty-speechd                     	       1        0        1        0        0
45542 brmfc7320lpr                       	       1        0        1        0        0
45543 brmfc7820nlpr                      	       1        0        1        0        0
45544 brmfcfaxdrv                        	       1        0        0        0        1
45545 brmfcfaxlpd                        	       1        0        0        0        1
45546 bro-aux                            	       1        0        1        0        0
45547 bro-common                         	       1        0        0        0        1
45548 browser-plugin-freshplayer-nacl    	       1        0        1        0        0
45549 browser-plugin-vlc                 	       1        0        1        0        0
45550 brutefir                           	       1        0        1        0        0
45551 brz-loom                           	       1        0        1        0        0
45552 bsc                                	       1        0        1        0        0
45553 bsdextrautils-dbgsym               	       1        0        1        0        0
45554 bsdiff-dbgsym                      	       1        0        1        0        0
45555 bsdowl                             	       1        0        1        0        0
45556 bsh-gcj                            	       1        0        1        0        0
45557 bsh-src                            	       1        0        0        0        1
45558 btest                              	       1        0        1        0        0
45559 btoa                               	       1        0        1        0        0
45560 btrustbiss                         	       1        0        1        0        0
45561 btyacc                             	       1        0        1        0        0
45562 bubbros                            	       1        0        1        0        0
45563 bucardo                            	       1        0        1        0        0
45564 budgie-app-launcher-applet         	       1        0        0        0        1
45565 budgie-appmenu-applet              	       1        0        0        0        1
45566 budgie-backgrounds                 	       1        0        0        0        1
45567 budgie-clockworks-applet           	       1        0        0        0        1
45568 budgie-core-dev                    	       1        0        1        0        0
45569 budgie-countdown-applet            	       1        0        0        0        1
45570 budgie-dropby-applet               	       1        0        0        0        1
45571 budgie-hotcorners-applet           	       1        0        1        0        0
45572 budgie-indicator-applet            	       1        0        0        0        1
45573 budgie-kangaroo-applet             	       1        0        0        0        1
45574 budgie-network-manager-applet      	       1        0        0        0        1
45575 budgie-previews                    	       1        0        1        0        0
45576 budgie-previews-applet             	       1        0        0        0        1
45577 budgie-quickchar                   	       1        0        1        0        0
45578 budgie-quicknote-applet            	       1        0        0        0        1
45579 budgie-recentlyused-applet         	       1        0        0        0        1
45580 budgie-rotation-lock-applet        	       1        0        0        0        1
45581 budgie-showtime-applet             	       1        0        0        0        1
45582 budgie-takeabreak-applet           	       1        0        0        0        1
45583 budgie-trash-applet                	       1        0        0        0        1
45584 budgie-visualspace-applet          	       1        0        0        0        1
45585 budgie-window-mover-applet         	       1        0        0        0        1
45586 budgie-workspace-overview-applet   	       1        0        0        0        1
45587 budgie-workspace-stopwatch-applet  	       1        0        0        0        1
45588 budgie-workspace-wallpaper-applet  	       1        0        0        0        1
45589 buffy                              	       1        0        1        0        0
45590 bum                                	       1        0        1        0        0
45591 bundlewrap                         	       1        0        1        0        0
45592 bunsen-thunar                      	       1        0        0        0        1
45593 burn                               	       1        0        1        0        0
45594 busybox-syslogd                    	       1        0        1        0        0
45595 bwbar                              	       1        0        1        0        0
45596 byacc-dbgsym                       	       1        0        1        0        0
45597 byedpi                             	       1        0        1        0        0
45598 bytedance-feishu-stable            	       1        0        1        0        0
45599 bytes-circle                       	       1        0        1        0        0
45600 c-cpp-reference                    	       1        0        1        0        0
45601 c2go                               	       1        0        0        1        0
45602 c2hs                               	       1        0        1        0        0
45603 c2hs-doc                           	       1        0        1        0        0
45604 c44-freeimage                      	       1        0        1        0        0
45605 ca-installer                       	       1        0        1        0        0
45606 ca-misc-dev                        	       1        0        1        0        0
45607 ca-netif                           	       1        0        1        0        0
45608 cabot                              	       1        0        1        0        0
45609 cackey                             	       1        0        1        0        0
45610 cacti-spine                        	       1        0        1        0        0
45611 cadabra                            	       1        0        1        0        0
45612 cadzinho                           	       1        0        1        0        0
45613 cafeobj-mode                       	       1        0        1        0        0
45614 caffe-tools-cpu                    	       1        0        1        0        0
45615 cairo-clock                        	       1        0        1        0        0
45616 caja-extension-fma                 	       1        0        0        0        1
45617 cakephp                            	       1        0        1        0        0
45618 cakephp-scripts                    	       1        0        1        0        0
45619 calamares-extensions               	       1        0        0        0        1
45620 calculix-ccx-test                  	       1        0        0        0        1
45621 calcurse-dbgsym                    	       1        0        1        0        0
45622 calligra-l10n-de                   	       1        0        0        0        1
45623 calligra-l10n-es                   	       1        0        0        0        1
45624 calligra-l10n-it                   	       1        0        0        0        1
45625 calligra-l10n-pl                   	       1        0        0        0        1
45626 calligra-l10n-zhcn                 	       1        0        0        0        1
45627 calligra-semanticitems             	       1        0        1        0        0
45628 calligraauthor                     	       1        0        1        0        0
45629 calligraflow                       	       1        0        1        0        0
45630 calligraflow-data                  	       1        0        0        0        1
45631 calypso                            	       1        0        1        0        0
45632 cam                                	       1        1        0        0        0
45633 camitk-config                      	       1        0        1        0        0
45634 camitk-imp                         	       1        0        1        0        0
45635 caml2html                          	       1        0        1        0        0
45636 camotics                           	       1        0        1        0        0
45637 camping                            	       1        0        1        0        0
45638 camv-rnd-export-extra              	       1        0        1        0        0
45639 canberra-gtk-play                  	       1        0        1        0        0
45640 candevstudio                       	       1        0        0        0        1
45641 cantor-backend-sage                	       1        0        0        0        1
45642 capi4hylafax                       	       1        0        1        0        0
45643 capistrano                         	       1        0        1        0        0
45644 cappuccino                         	       1        0        1        0        0
45645 caprine                            	       1        1        0        0        0
45646 capsule-nextflow                   	       1        0        1        0        0
45647 carapace-bin                       	       1        0        1        0        0
45648 cardinal                           	       1        0        1        0        0
45649 cardinal-data                      	       1        0        0        0        1
45650 cargo-auditable                    	       1        0        1        0        0
45651 cargo-binutils                     	       1        0        1        0        0
45652 cargo-debstatus                    	       1        0        1        0        0
45653 cargo-web                          	       1        0        1        0        0
45654 carla-bridge-wine64                	       1        0        0        0        1
45655 carla-vst-wine                     	       1        0        1        0        0
45656 casacore-dev                       	       1        0        1        0        0
45657 casacore-tools                     	       1        0        1        0        0
45658 cassiopee                          	       1        0        1        0        0
45659 castle-combat                      	       1        0        1        0        0
45660 cataclysm-dda-build-deps           	       1        0        0        0        1
45661 cataclysm-dda-curses-dbgsym        	       1        0        1        0        0
45662 cataclysm-dda-sdl-dbgsym           	       1        0        1        0        0
45663 catarina                           	       1        0        1        0        0
45664 catgirl                            	       1        0        1        0        0
45665 causeway-dosx                      	       1        0        1        0        0
45666 caveconverter                      	       1        0        1        0        0
45667 cbflib-bin                         	       1        0        1        0        0
45668 cbmc                               	       1        0        1        0        0
45669 cbrowser                           	       1        0        1        0        0
45670 cc65-common                        	       1        0        0        1        0
45671 ccfe                               	       1        0        1        0        0
45672 cciss-vol-status                   	       1        0        1        0        0
45673 ccmalloc                           	       1        0        1        0        0
45674 cconv                              	       1        0        1        0        0
45675 cd-hit                             	       1        0        1        0        0
45676 cdbs-build-deps                    	       1        0        0        0        1
45677 cdemu-client                       	       1        0        1        0        0
45678 cdfs-src                           	       1        0        0        0        1
45679 cdftools                           	       1        0        1        0        0
45680 cdogs-sdl                          	       1        0        1        0        0
45681 cdogs-sdl-build-deps               	       1        0        0        0        1
45682 cdogs-sdl-data                     	       1        0        0        0        1
45683 cdogs-sdl-dbgsym                   	       1        0        1        0        0
45684 cdrtools                           	       1        0        1        0        0
45685 cdrtools-doc                       	       1        0        0        0        1
45686 cedar-backup3                      	       1        0        1        0        0
45687 cedar-backup3-doc                  	       1        0        0        0        1
45688 cedilla                            	       1        0        1        0        0
45689 ceilometer-alarm-evaluator         	       1        0        0        0        1
45690 ceilometer-alarm-notifier          	       1        0        0        0        1
45691 celestia-data                      	       1        0        0        0        1
45692 celestia-hold                      	       1        0        0        0        1
45693 celestia-kde                       	       1        0        1        0        0
45694 celestia-qt6                       	       1        0        1        0        0
45695 celestia-textures-hires            	       1        0        0        0        1
45696 celestia-tools                     	       1        0        1        0        0
45697 celt                               	       1        0        1        0        0
45698 celt-doc                           	       1        0        0        0        1
45699 centerim-utf8                      	       1        0        1        0        0
45700 cephfs-top                         	       1        0        1        0        0
45701 cereal                             	       1        0        1        0        0
45702 ceres-solver-doc                   	       1        0        1        0        0
45703 cernlib-base                       	       1        0        0        0        1
45704 ceve                               	       1        0        0        0        1
45705 cfengine2                          	       1        0        1        0        0
45706 cfi-sv                             	       1        0        0        0        1
45707 cfourcc                            	       1        0        1        0        0
45708 cfv                                	       1        0        1        0        0
45709 cg3-dev                            	       1        0        0        0        1
45710 cgilib                             	       1        0        1        0        0
45711 chake                              	       1        0        1        0        0
45712 changetrack                        	       1        0        1        0        0
45713 chaos-strikes-back-data-csb        	       1        0        1        0        0
45714 chaos-strikes-back-data-dm         	       1        0        1        0        0
45715 chaos-strikes-back-game            	       1        0        0        0        1
45716 chaplin                            	       1        0        1        0        0
45717 charon-cmd                         	       1        0        1        0        0
45718 chartgeany                         	       1        0        0        0        1
45719 charybdis                          	       1        0        1        0        0
45720 chat-gpt                           	       1        1        0        0        0
45721 chats                              	       1        0        0        0        1
45722 chatty                             	       1        1        0        0        0
45723 chdrvfont                          	       1        0        0        0        1
45724 check-all-the-things               	       1        0        1        0        0
45725 check-mk-agent-logwatch            	       1        0        1        0        0
45726 check-pgactivity                   	       1        0        1        0        0
45727 checkit-tiff                       	       1        0        1        0        0
45728 checkmp3                           	       1        0        1        0        0
45729 checkstyle-doc                     	       1        0        0        0        1
45730 chef-workstation                   	       1        0        1        0        0
45731 chexquest-data                     	       1        0        0        0        1
45732 chexquest2-data                    	       1        0        0        0        1
45733 chezscheme-doc                     	       1        0        0        0        1
45734 chia-blockchain-cli                	       1        0        1        0        0
45735 chiaki                             	       1        0        1        0        0
45736 chiark-rwbuffer                    	       1        0        1        0        0
45737 chiark-tcl-applet                  	       1        0        1        0        0
45738 chibicc                            	       1        0        1        0        0
45739 chicago95-theme-all                	       1        0        0        0        1
45740 chicago95-theme-backgrounds        	       1        0        0        0        1
45741 chicago95-theme-cursors            	       1        0        0        0        1
45742 chicago95-theme-doc                	       1        0        0        0        1
45743 chicago95-theme-fonts              	       1        0        0        0        1
45744 chicago95-theme-icons              	       1        0        0        0        1
45745 chicago95-theme-login-sound        	       1        0        0        0        1
45746 chicago95-theme-plus               	       1        0        1        0        0
45747 chicago95-theme-plymouth           	       1        0        0        0        1
45748 chicago95-theme-sounds             	       1        0        0        0        1
45749 childsplay-alphabet-sounds-ca      	       1        0        0        0        1
45750 chimera2                           	       1        0        1        0        0
45751 chimeraslayer                      	       1        0        1        0        0
45752 chipmunk-dev                       	       1        0        0        0        1
45753 chirpstack                         	       1        0        1        0        0
45754 chirpstack-gateway-bridge          	       1        0        1        0        0
45755 chmsee                             	       1        0        1        0        0
45756 chocolate-doom-build-deps          	       1        0        0        0        1
45757 chocolate-doom-dbgsym              	       1        0        1        0        0
45758 choqok                             	       1        0        1        0        0
45759 chordii                            	       1        0        1        0        0
45760 chr                                	       1        0        1        0        0
45761 chromium-browser-l10n              	       1        0        1        0        0
45762 chromium-widevine                  	       1        0        0        0        1
45763 chronicle                          	       1        0        1        0        0
45764 chuffed-flatzinc                   	       1        0        1        0        0
45765 chwala                             	       1        0        1        0        0
45766 ciderwebmail                       	       1        1        0        0        0
45767 cie-middleware                     	       1        0        0        0        1
45768 cil                                	       1        0        1        0        0
45769 cim-schema                         	       1        0        0        0        1
45770 cinder-api                         	       1        0        1        0        0
45771 cinder-backup                      	       1        0        1        0        0
45772 cinder-common                      	       1        0        1        0        0
45773 cinder-scheduler                   	       1        0        1        0        0
45774 cinder-volume                      	       1        0        1        0        0
45775 cinelerra-gg                       	       1        0        1        0        0
45776 cinnamon-settings-daemon-dev       	       1        0        1        0        0
45777 circos                             	       1        0        1        0        0
45778 circos-tools                       	       1        0        1        0        0
45779 circuit-macros                     	       1        0        0        0        1
45780 cisco-anyconnect-dart              	       1        0        1        0        0
45781 citadel-doc                        	       1        0        0        0        1
45782 civetweb                           	       1        0        1        0        0
45783 ckb-next                           	       1        0        1        0        0
45784 ckeditor3                          	       1        0        0        0        1
45785 ckport                             	       1        0        1        0        0
45786 ckport-database                    	       1        0        1        0        0
45787 cl-abnf                            	       1        0        0        0        1
45788 cl-asdf-system-connections         	       1        0        0        0        1
45789 cl-aserve                          	       1        0        0        0        1
45790 cl-chunga                          	       1        0        0        0        1
45791 cl-closer-mop                      	       1        0        0        0        1
45792 cl-consfigurator                   	       1        0        1        0        0
45793 cl-contextl                        	       1        0        0        0        1
45794 cl-cxml                            	       1        0        0        0        1
45795 cl-db3                             	       1        0        0        0        1
45796 cl-diagnostic-msgs                 	       1        0        0        0        1
45797 cl-drakma                          	       1        0        0        0        1
45798 cl-dynamic-reconfigure             	       1        0        0        0        1
45799 cl-f2cl                            	       1        0        0        0        1
45800 cl-getopt                          	       1        0        0        0        1
45801 cl-htmlgen                         	       1        0        0        0        1
45802 cl-hyperobject                     	       1        0        0        0        1
45803 cl-lml                             	       1        0        0        0        1
45804 cl-lml2                            	       1        0        0        0        1
45805 cl-local-time                      	       1        0        0        0        1
45806 cl-log                             	       1        0        0        0        1
45807 cl-lparallel                       	       1        0        0        0        1
45808 cl-modlisp                         	       1        0        0        0        1
45809 cl-move-base-msgs                  	       1        0        0        0        1
45810 cl-mustache                        	       1        0        0        0        1
45811 cl-opencv-apps                     	       1        0        0        0        1
45812 cl-osicat                          	       1        0        0        0        1
45813 cl-pcl-msgs                        	       1        0        0        0        1
45814 cl-pipes                           	       1        0        0        0        1
45815 cl-plus-ssl                        	       1        0        0        0        1
45816 cl-polled-camera                   	       1        0        0        0        1
45817 cl-postgres                        	       1        0        0        0        1
45818 cl-qmynd                           	       1        0        0        0        1
45819 cl-rfc2388                         	       1        0        0        0        1
45820 cl-roscpp-msg                      	       1        0        0        0        1
45821 cl-rss                             	       1        0        0        0        1
45822 cl-s-sql                           	       1        0        0        0        1
45823 cl-simple-date                     	       1        0        0        0        1
45824 cl-sql-mysql                       	       1        0        1        0        0
45825 cl-sql-oracle                      	       1        0        0        0        1
45826 cl-sql-sqlite3                     	       1        0        0        0        1
45827 cl-stereo-msgs                     	       1        0        0        0        1
45828 cl-tf                              	       1        0        0        0        1
45829 cl-tf2-msgs                        	       1        0        0        0        1
45830 cl-tf2-srvs                        	       1        0        0        0        1
45831 cl-topic-tools                     	       1        0        0        0        1
45832 cl-trajectory-msgs                 	       1        0        0        0        1
45833 cl-trivial-garbage                 	       1        0        0        0        1
45834 cl-trivial-utf-8                   	       1        0        0        0        1
45835 cl-uax-15                          	       1        0        0        0        1
45836 cl-umlisp                          	       1        0        0        0        1
45837 cl-umlisp-orf                      	       1        0        0        0        1
45838 cl-usocket                         	       1        0        0        0        1
45839 cl-utilities                       	       1        0        0        0        1
45840 cl-uuid                            	       1        0        0        0        1
45841 cl-visualization-msgs              	       1        0        0        0        1
45842 cl-who                             	       1        0        0        0        1
45843 cl-xmls                            	       1        0        0        0        1
45844 cl-zip                             	       1        0        0        0        1
45845 cl-zs3                             	       1        0        0        0        1
45846 clanbomber                         	       1        0        1        0        0
45847 clanbomber-data                    	       1        0        0        0        1
45848 clang-15-doc                       	       1        0        1        0        0
45849 clang-18-doc                       	       1        0        1        0        0
45850 clang-3.5-doc                      	       1        0        1        0        0
45851 clang-3.8-doc                      	       1        0        0        0        1
45852 clang-3.9-doc                      	       1        0        0        0        1
45853 clang-format-20                    	       1        0        1        0        0
45854 clang-tidy-20                      	       1        0        1        0        0
45855 clang-tidy-6.0                     	       1        0        1        0        0
45856 clang-tidy-9                       	       1        0        1        0        0
45857 clang-tools-20                     	       1        0        1        0        0
45858 clang-tools-6.0                    	       1        0        1        0        0
45859 clangd-11                          	       1        0        1        0        0
45860 clangd-20                          	       1        0        1        0        0
45861 clangd-9                           	       1        0        1        0        0
45862 clara                              	       1        0        1        0        0
45863 clash-verge                        	       1        0        1        0        0
45864 classpath-common                   	       1        0        1        0        0
45865 classpath-doc                      	       1        0        1        0        0
45866 classpath-gtkpeer                  	       1        0        1        0        0
45867 classpath-tools                    	       1        0        1        0        0
45868 claws-mail-bogofilter-dbgsym       	       1        0        1        0        0
45869 claws-mail-dbgsym                  	       1        0        1        0        0
45870 claws-mail-pgpinline-dbgsym        	       1        0        1        0        0
45871 claws-mail-pgpmime-dbgsym          	       1        0        1        0        0
45872 clblast-utils                      	       1        0        1        0        0
45873 cleo                               	       1        0        1        0        0
45874 cli                                	       1        0        1        0        0
45875 clif                               	       1        0        1        0        0
45876 cliff-tablib                       	       1        0        1        0        0
45877 clinfo-amdgpu-pro                  	       1        0        0        0        1
45878 clipf                              	       1        0        1        0        0
45879 cliq                               	       1        0        1        0        0
45880 clirr                              	       1        0        1        0        0
45881 clive                              	       1        0        1        0        0
45882 clog                               	       1        0        1        0        0
45883 clojure1.4                         	       1        0        1        0        0
45884 clojure1.6                         	       1        0        1        0        0
45885 clonalframe                        	       1        0        1        0        0
45886 cloog-ppl                          	       1        0        1        0        0
45887 cloud-initramfs-growroot           	       1        0        0        0        1
45888 cloud-sptheme-common               	       1        0        0        0        1
45889 cloudcompare                       	       1        0        1        0        0
45890 cloudflare-ddns                    	       1        0        1        0        0
45891 cloudflare-dynamic-dns             	       1        0        1        0        0
45892 cloudflare-warp                    	       1        0        1        0        0
45893 cloudprint                         	       1        0        1        0        0
45894 clpeak                             	       1        0        1        0        0
45895 clsparse-doc                       	       1        0        0        0        1
45896 cm-super-build-deps                	       1        0        0        0        1
45897 cm3-linuxlibc6-5.8.6               	       1        0        1        0        0
45898 cmake-dbgsym                       	       1        0        1        0        0
45899 cminpack-doc                       	       1        0        0        0        1
45900 cmis-client                        	       1        0        1        0        0
45901 cmor-tables                        	       1        0        0        0        1
45902 cmtk                               	       1        0        1        0        0
45903 cncupslbp161zs                     	       1        0        0        0        1
45904 cncupslbp214zs                     	       1        0        0        0        1
45905 cncupslbp215zs                     	       1        0        0        0        1
45906 cncupsmf110zs                      	       1        0        0        0        1
45907 cncupsmf260zs                      	       1        0        0        0        1
45908 cncupsmf420zs                      	       1        0        0        0        1
45909 cncupsmf520zs                      	       1        0        0        0        1
45910 cndrvcups-ufr2-uk                  	       1        0        1        0        0
45911 cndrvcups-ufr2-us                  	       1        0        1        0        0
45912 cndrvcups-utility                  	       1        0        1        0        0
45913 cndrvsane-drc225                   	       1        0        1        0        0
45914 cnews                              	       1        0        1        0        0
45915 cnrcupsiprc170zs                   	       1        0        0        0        1
45916 cnrcupsiprc710zk                   	       1        0        0        0        1
45917 cnrcupsir1643i2zs                  	       1        0        0        0        1
45918 cnrcupsir2425zk                    	       1        0        0        0        1
45919 cnrcupsir2625zk                    	       1        0        0        0        1
45920 cnrcupsir2635zk                    	       1        0        0        0        1
45921 cnrcupsiradv4725zk                 	       1        0        0        0        1
45922 cnrcupsiradv4745zk                 	       1        0        0        0        1
45923 cnrcupsiradv527zk                  	       1        0        0        0        1
45924 cnrcupsiradv527zs                  	       1        0        0        0        1
45925 cnrcupsiradv6000zk                 	       1        0        0        0        1
45926 cnrcupsiradv617zk                  	       1        0        0        0        1
45927 cnrcupsiradv617zs                  	       1        0        0        0        1
45928 cnrcupsiradv6755zk                 	       1        0        0        0        1
45929 cnrcupsiradv6780zk                 	       1        0        0        0        1
45930 cnrcupsiradv6860zs                 	       1        0        0        0        1
45931 cnrcupsiradv717zk                  	       1        0        0        0        1
45932 cnrcupsiradv717zs                  	       1        0        0        0        1
45933 cnrcupsiradv8705zk                 	       1        0        0        0        1
45934 cnrcupsiradv8786zk                 	       1        0        0        0        1
45935 cnrcupsiradvc257zk                 	       1        0        0        0        1
45936 cnrcupsiradvc257zs                 	       1        0        0        0        1
45937 cnrcupsiradvc3720zk                	       1        0        0        0        1
45938 cnrcupsiradvc3725zk                	       1        0        0        0        1
45939 cnrcupsiradvc3826zs                	       1        0        0        0        1
45940 cnrcupsiradvc3830zs                	       1        0        0        0        1
45941 cnrcupsiradvc3835zs                	       1        0        0        0        1
45942 cnrcupsiradvc477zk                 	       1        0        0        0        1
45943 cnrcupsiradvc477zs                 	       1        0        0        0        1
45944 cnrcupsiradvc568zs                 	       1        0        0        0        1
45945 cnrcupsiradvc5735zk                	       1        0        0        0        1
45946 cnrcupsiradvc5750zk                	       1        0        0        0        1
45947 cnrcupsiradvc7765zk                	       1        0        0        0        1
45948 cnrcupsiradvc7780zk                	       1        0        0        0        1
45949 cnrcupsirc3120lzk                  	       1        0        0        0        1
45950 cnrcupsirc3120zk                   	       1        0        0        0        1
45951 cnrcupsirc3125zk                   	       1        0        0        0        1
45952 cnrcupsirc3226zs                   	       1        0        0        0        1
45953 cnrcupslbp1127czk                  	       1        0        0        0        1
45954 cnrcupslbp1127czs                  	       1        0        0        0        1
45955 cnrcupslbp12382zs                  	       1        0        0        0        1
45956 cnrcupslbp1238zk                   	       1        0        0        0        1
45957 cnrcupslbp1238zs                   	       1        0        0        0        1
45958 cnrcupslbp1538czs                  	       1        0        0        0        1
45959 cnrcupslbp222zk                    	       1        0        0        0        1
45960 cnrcupslbp223zk                    	       1        0        0        0        1
45961 cnrcupslbp225zk                    	       1        0        0        0        1
45962 cnrcupslbp226zk                    	       1        0        0        0        1
45963 cnrcupslbp227zk                    	       1        0        0        0        1
45964 cnrcupslbp228zk                    	       1        0        0        0        1
45965 cnrcupslbp236zs                    	       1        0        0        0        1
45966 cnrcupslbp237zs                    	       1        0        0        0        1
45967 cnrcupsmf1127czk                   	       1        0        0        0        1
45968 cnrcupsmf1127czs                   	       1        0        0        0        1
45969 cnrcupsmf12382zs                   	       1        0        0        0        1
45970 cnrcupsmf1238zk                    	       1        0        0        0        1
45971 cnrcupsmf1238zs                    	       1        0        0        0        1
45972 cnrcupsmf1538czs                   	       1        0        0        0        1
45973 cnrcupsmf1643izs                   	       1        0        0        0        1
45974 cnrcupsmf450zs                     	       1        0        0        0        1
45975 cnrcupsx1643pzk                    	       1        0        0        0        1
45976 cobang                             	       1        0        1        0        0
45977 coccinella                         	       1        0        1        0        0
45978 cockatrice                         	       1        0        1        0        0
45979 cockpit-machines                   	       1        0        0        0        1
45980 cockpit-networkmanager             	       1        0        0        0        1
45981 cockpit-pcp                        	       1        0        1        0        0
45982 cockpit-tests                      	       1        0        1        0        0
45983 code-aster-gui                     	       1        0        1        0        0
45984 code-brand                         	       1        0        0        0        1
45985 code-exploration                   	       1        0        1        0        0
45986 code-insiders                      	       1        0        1        0        0
45987 code-saturne                       	       1        0        0        0        1
45988 code-saturne-include               	       1        0        1        0        0
45989 codeblocks-dbg                     	       1        0        1        0        0
45990 codeblocks-headers                 	       1        0        1        0        0
45991 codeblocks-libwxcontrib0-dbgsym    	       1        0        1        0        0
45992 codeblocks-wxcontrib-dev           	       1        0        0        0        1
45993 codeblocks-wxcontrib-headers       	       1        0        0        0        1
45994 codebreaker                        	       1        0        1        0        0
45995 codec2-dev                         	       1        0        1        0        0
45996 codecs-antix                       	       1        0        1        0        0
45997 codecserver                        	       1        0        1        0        0
45998 codecserver-driver-all             	       1        0        0        0        1
45999 codecserver-driver-ambe3k          	       1        0        0        0        1
46000 codemeter                          	       1        0        1        0        0
46001 codium-insiders                    	       1        0        1        0        0
46002 codonw                             	       1        0        1        0        0
46003 coinor-libcoinutils-doc            	       1        0        0        0        1
46004 coinor-libipopt-dev                	       1        1        0        0        0
46005 coinst-viewer                      	       1        0        1        0        0
46006 colcon                             	       1        0        0        1        0
46007 coldfire                           	       1        0        1        0        0
46008 collaboraoffice-dict-de            	       1        0        0        0        1
46009 collaboraoffice-dict-en            	       1        0        0        0        1
46010 collaboraofficebasis-base          	       1        0        0        0        1
46011 collaboraofficebasis-de            	       1        0        0        0        1
46012 collaboraofficebasis-fr            	       1        0        0        0        1
46013 collaboraofficebasis-xsltfilter    	       1        0        0        0        1
46014 collada-dom-2.4.0                  	       1        0        1        0        0
46015 collectd-dev                       	       1        0        1        0        0
46016 collectd-web                       	       1        0        1        0        0
46017 colmap                             	       1        0        1        0        0
46018 colobot-dev-doc                    	       1        0        0        0        1
46019 colord-sensor-argyll               	       1        0        0        0        1
46020 colortest-python                   	       1        0        1        0        0
46021 com.kylart.anikki                  	       1        0        0        0        1
46022 com.zwsoft.zwcad2025               	       1        0        1        0        0
46023 comcom32                           	       1        0        0        0        1
46024 comcom64                           	       1        0        0        0        1
46025 comex-base                         	       1        0        1        0        0
46026 commandbox                         	       1        0        1        0        0
46027 commit-patch                       	       1        0        1        0        0
46028 compat-libstdc++-296               	       1        0        0        0        1
46029 compiz-fusion-bcop                 	       1        0        1        0        0
46030 compiz-fusion-extras               	       1        0        0        0        1
46031 compiz-plugins-main-default        	       1        0        0        0        1
46032 compiz-tde-trinity                 	       1        0        1        0        0
46033 compizconfig-backend-tdeconfig-trinity	       1        0        1        0        0
46034 compizconfig-python                	       1        0        1        0        0
46035 complexity-doc                     	       1        0        0        0        1
46036 compositekey                       	       1        0        1        0        0
46037 compositekey-bin                   	       1        0        1        0        0
46038 comskip                            	       1        0        1        0        0
46039 conan                              	       1        0        1        0        0
46040 condor                             	       1        0        0        1        0
46041 condor-doc                         	       1        0        0        0        1
46042 condor-upgrade-checks              	       1        0        0        1        0
46043 configconsola                      	       1        0        0        0        1
46044 conkeror                           	       1        0        1        0        0
46045 conkeror-spawn-process-helper      	       1        0        1        0        0
46046 connman-dev                        	       1        0        1        0        0
46047 conquest-common                    	       1        0        0        0        1
46048 conquest-data                      	       1        0        0        0        1
46049 conquest-dbase                     	       1        0        1        0        0
46050 conquest-gl                        	       1        0        1        0        0
46051 conquest-libs                      	       1        0        1        0        0
46052 cons                               	       1        0        1        0        0
46053 conservation-code                  	       1        0        1        0        0
46054 consola                            	       1        0        1        0        0
46055 consolation                        	       1        1        0        0        0
46056 consul                             	       1        0        1        0        0
46057 context-doc-nonfree                	       1        0        0        0        1
46058 converse-desktop                   	       1        0        0        0        1
46059 convert-pgn                        	       1        0        1        0        0
46060 convertfs                          	       1        0        1        0        0
46061 coolmail                           	       1        0        1        0        0
46062 coppwr                             	       1        0        1        0        0
46063 copycat                            	       1        0        1        0        0
46064 coq-doc-html                       	       1        0        0        0        1
46065 corebird                           	       1        0        1        0        0
46066 coreboot-configurator              	       1        0        1        0        0
46067 coredhcp-server                    	       1        0        1        0        0
46068 corekeeper                         	       1        0        1        0        0
46069 corewars                           	       1        0        1        0        0
46070 corosync-dev                       	       1        0        0        0        1
46071 countrycodes                       	       1        0        0        0        1
46072 courier-pcp                        	       1        0        1        0        0
46073 courier-pop-ssl                    	       1        0        0        0        1
46074 courier-pythonfilter               	       1        0        1        0        0
46075 covered-doc                        	       1        0        0        0        1
46076 covi                               	       1        0        1        0        0
46077 cowbell                            	       1        0        1        0        0
46078 cozy                               	       1        0        1        0        0
46079 cpad-common                        	       1        0        1        0        0
46080 cpants-lint                        	       1        0        1        0        0
46081 cpdb-backend-file                  	       1        0        1        0        0
46082 cpdb-libs-tools                    	       1        0        1        0        0
46083 cpdf                               	       1        0        1        0        0
46084 cpl-plugin-kmos                    	       1        0        0        0        1
46085 cpm                                	       1        0        1        0        0
46086 cpp-10-alpha-linux-gnu             	       1        0        1        0        0
46087 cpp-10-mipsel-linux-gnu            	       1        0        1        0        0
46088 cpp-10-powerpc-linux-gnu           	       1        0        1        0        0
46089 cpp-10-s390x-linux-gnu             	       1        0        1        0        0
46090 cpp-10-sparc64-linux-gnu           	       1        0        1        0        0
46091 cpp-11-arm-linux-gnueabi           	       1        0        1        0        0
46092 cpp-11-arm-linux-gnueabihf         	       1        0        1        0        0
46093 cpp-11-i686-linux-gnu              	       1        0        1        0        0
46094 cpp-12-alpha-linux-gnu             	       1        0        1        0        0
46095 cpp-12-hppa-linux-gnu              	       1        0        1        0        0
46096 cpp-12-m68k-linux-gnu              	       1        0        1        0        0
46097 cpp-12-mips64-linux-gnuabi64       	       1        0        1        0        0
46098 cpp-12-powerpc64-linux-gnu         	       1        0        1        0        0
46099 cpp-12-sparc64-linux-gnu           	       1        0        1        0        0
46100 cpp-13-aarch64-linux-gnu           	       1        0        1        0        0
46101 cpp-13-dbgsym                      	       1        0        1        0        0
46102 cpp-13-powerpc64le-linux-gnu       	       1        0        1        0        0
46103 cpp-13-riscv64-linux-gnu           	       1        0        1        0        0
46104 cpp-14-alpha-linux-gnu             	       1        0        1        0        0
46105 cpp-14-for-build                   	       1        0        0        0        1
46106 cpp-14-hppa-linux-gnu              	       1        0        1        0        0
46107 cpp-14-powerpc64le-linux-gnu       	       1        0        1        0        0
46108 cpp-14-s390x-linux-gnu             	       1        0        1        0        0
46109 cpp-14-sparc64-linux-gnu           	       1        0        1        0        0
46110 cpp-4.3-arm-linux-gnueabi          	       1        0        1        0        0
46111 cpp-4.4-doc                        	       1        0        0        0        1
46112 cpp-4.5                            	       1        0        1        0        0
46113 cpp-4.8-doc                        	       1        0        0        0        1
46114 cpp-6-arm-linux-gnueabihf          	       1        0        1        0        0
46115 cpp-7-doc                          	       1        0        0        0        1
46116 cpp-8-doc                          	       1        0        0        0        1
46117 cpp-8-mips-linux-gnu               	       1        0        1        0        0
46118 cpp-8-mips64el-linux-gnuabi64      	       1        0        1        0        0
46119 cpp-8-mipsel-linux-gnu             	       1        0        1        0        0
46120 cpp-9-aarch64-linux-gnu            	       1        0        1        0        0
46121 cpp-9-arm-linux-gnueabi            	       1        0        1        0        0
46122 cpp-9-doc                          	       1        0        0        0        1
46123 cpp-for-build                      	       1        0        0        0        1
46124 cpp-m68k-linux-gnu                 	       1        0        1        0        0
46125 cpp-mips64-linux-gnuabi64          	       1        0        1        0        0
46126 cpp-mips64el-linux-gnuabi64        	       1        0        1        0        0
46127 cpp-powerpc64le-linux-gnu          	       1        0        1        0        0
46128 cpp-x86-64-linux-gnux32            	       1        0        1        0        0
46129 cppcms-dev                         	       1        0        1        0        0
46130 cpqacuxe                           	       1        0        1        0        0
46131 cpu-x-dbgsym                       	       1        0        1        0        0
46132 cque-en                            	       1        0        1        0        0
46133 crac                               	       1        0        1        0        0
46134 craft                              	       1        0        1        0        0
46135 crafty-bitmaps                     	       1        0        0        0        1
46136 crafty-books-medium                	       1        0        0        0        1
46137 createdisk                         	       1        0        1        0        0
46138 crispy-doom-build-deps             	       1        0        0        0        1
46139 crispy-doom-dbgsym                 	       1        0        1        0        0
46140 cron-deja-vu                       	       1        0        1        0        0
46141 cronic                             	       1        0        1        0        0
46142 cropgui                            	       1        0        1        0        0
46143 crossbuild-essential-mips64el      	       1        0        0        0        1
46144 crossfire-client-gtk2              	       1        0        0        0        1
46145 crossfire-client-sounds            	       1        0        0        0        1
46146 crossftp                           	       1        0        0        0        1
46147 crossgrader                        	       1        0        1        0        0
46148 crowdsec-firewall-bouncer          	       1        0        1        0        0
46149 cryptkeeper                        	       1        0        1        0        0
46150 cryptol                            	       1        0        1        0        0
46151 cryptomator                        	       1        0        0        1        0
46152 cryptonose                         	       1        0        1        0        0
46153 cryptopant                         	       1        0        1        0        0
46154 crystal1.12                        	       1        0        1        0        0
46155 crystal1.12-docs                   	       1        0        0        0        1
46156 crystal1.12-samples                	       1        0        0        0        1
46157 cs                                 	       1        0        1        0        0
46158 cscope-el                          	       1        0        0        0        1
46159 csmash                             	       1        0        1        0        0
46160 csmash-data                        	       1        0        0        0        1
46161 csound-manpages                    	       1        0        0        0        1
46162 css-mode                           	       1        0        1        0        0
46163 cssed                              	       1        0        1        0        0
46164 csync2                             	       1        0        1        0        0
46165 ctdconverter                       	       1        0        1        0        0
46166 cthumb                             	       1        0        1        0        0
46167 ctioga2                            	       1        0        1        0        0
46168 ctpp2-utils                        	       1        0        1        0        0
46169 cucumber                           	       1        0        1        0        0
46170 cuda-12-8                          	       1        0        0        0        1
46171 cuda-cccl-11-7                     	       1        0        1        0        0
46172 cuda-command-line-tools-10-0       	       1        0        1        0        0
46173 cuda-command-line-tools-11-7       	       1        0        0        0        1
46174 cuda-command-line-tools-9-0        	       1        0        1        0        0
46175 cuda-compiler-10-0                 	       1        0        0        0        1
46176 cuda-compiler-11-7                 	       1        0        0        0        1
46177 cuda-core-9-0                      	       1        0        0        0        1
46178 cuda-cross-aarch64-10-0            	       1        0        0        0        1
46179 cuda-cublas-10-0                   	       1        0        0        0        1
46180 cuda-cublas-9-0                    	       1        0        0        0        1
46181 cuda-cublas-cross-aarch64-10-0     	       1        0        1        0        0
46182 cuda-cublas-dev-10-0               	       1        0        1        0        0
46183 cuda-cublas-dev-9-0                	       1        0        1        0        0
46184 cuda-cudart-10-0                   	       1        0        0        0        1
46185 cuda-cudart-11-7                   	       1        0        1        0        0
46186 cuda-cudart-9-0                    	       1        0        0        0        1
46187 cuda-cudart-cross-aarch64-10-0     	       1        0        1        0        0
46188 cuda-cudart-dev-10-0               	       1        1        0        0        0
46189 cuda-cudart-dev-11-7               	       1        0        1        0        0
46190 cuda-cudart-dev-9-0                	       1        0        1        0        0
46191 cuda-cufft-10-0                    	       1        0        0        0        1
46192 cuda-cufft-9-0                     	       1        0        0        0        1
46193 cuda-cufft-cross-aarch64-10-0      	       1        0        1        0        0
46194 cuda-cufft-dev-10-0                	       1        0        1        0        0
46195 cuda-cufft-dev-9-0                 	       1        0        1        0        0
46196 cuda-cuobjdump-10-0                	       1        0        1        0        0
46197 cuda-cuobjdump-11-7                	       1        0        1        0        0
46198 cuda-cupti-10-0                    	       1        0        1        0        0
46199 cuda-cupti-11-7                    	       1        0        1        0        0
46200 cuda-cupti-dev-11-7                	       1        0        1        0        0
46201 cuda-curand-10-0                   	       1        0        0        0        1
46202 cuda-curand-9-0                    	       1        0        0        0        1
46203 cuda-curand-cross-aarch64-10-0     	       1        0        1        0        0
46204 cuda-curand-dev-10-0               	       1        0        1        0        0
46205 cuda-curand-dev-9-0                	       1        0        1        0        0
46206 cuda-cusolver-10-0                 	       1        0        0        0        1
46207 cuda-cusolver-9-0                  	       1        0        0        0        1
46208 cuda-cusolver-cross-aarch64-10-0   	       1        0        1        0        0
46209 cuda-cusolver-dev-10-0             	       1        0        1        0        0
46210 cuda-cusolver-dev-9-0              	       1        0        1        0        0
46211 cuda-cusparse-10-0                 	       1        0        0        0        1
46212 cuda-cusparse-9-0                  	       1        0        0        0        1
46213 cuda-cusparse-cross-aarch64-10-0   	       1        0        1        0        0
46214 cuda-cusparse-dev-10-0             	       1        0        1        0        0
46215 cuda-cusparse-dev-9-0              	       1        0        1        0        0
46216 cuda-cuxxfilt-11-7                 	       1        0        1        0        0
46217 cuda-demo-suite-12-8               	       1        0        0        0        1
46218 cuda-documentation-10-0            	       1        0        0        0        1
46219 cuda-documentation-11-7            	       1        0        0        0        1
46220 cuda-documentation-9-0             	       1        0        0        0        1
46221 cuda-driver-cross-aarch64-10-0     	       1        0        1        0        0
46222 cuda-driver-dev-10-0               	       1        0        1        0        0
46223 cuda-driver-dev-11-7               	       1        0        1        0        0
46224 cuda-driver-dev-9-0                	       1        0        1        0        0
46225 cuda-drivers                       	       1        0        0        0        1
46226 cuda-drivers-525                   	       1        0        0        0        1
46227 cuda-drivers-530                   	       1        0        0        0        1
46228 cuda-drivers-565                   	       1        0        0        0        1
46229 cuda-gdb-10-0                      	       1        0        1        0        0
46230 cuda-gdb-11-7                      	       1        0        1        0        0
46231 cuda-gpu-library-advisor-10-0      	       1        0        1        0        0
46232 cuda-libraries-11-7                	       1        0        0        0        1
46233 cuda-libraries-dev-10-0            	       1        0        0        0        1
46234 cuda-libraries-dev-11-7            	       1        0        0        0        1
46235 cuda-libraries-dev-9-0             	       1        0        0        0        1
46236 cuda-license-10-0                  	       1        0        0        0        1
46237 cuda-license-9-0                   	       1        0        0        0        1
46238 cuda-memcheck-10-0                 	       1        0        1        0        0
46239 cuda-memcheck-11-7                 	       1        0        1        0        0
46240 cuda-misc-headers-10-0             	       1        0        1        0        0
46241 cuda-misc-headers-9-0              	       1        0        0        0        1
46242 cuda-misc-headers-cross-aarch64-10-0	       1        0        1        0        0
46243 cuda-npp-10-0                      	       1        0        0        0        1
46244 cuda-npp-9-0                       	       1        0        0        0        1
46245 cuda-npp-cross-aarch64-10-0        	       1        0        1        0        0
46246 cuda-npp-dev-10-0                  	       1        0        1        0        0
46247 cuda-npp-dev-9-0                   	       1        0        1        0        0
46248 cuda-nsight-10-0                   	       1        0        0        0        1
46249 cuda-nsight-11-7                   	       1        0        1        0        0
46250 cuda-nsight-compute-10-0           	       1        0        0        0        1
46251 cuda-nsight-compute-11-7           	       1        0        1        0        0
46252 cuda-nsight-compute-addon-l4t-10-0 	       1        0        0        0        1
46253 cuda-nsight-systems-11-7           	       1        0        1        0        0
46254 cuda-nvcc-10-0                     	       1        0        1        0        0
46255 cuda-nvcc-11-7                     	       1        0        1        0        0
46256 cuda-nvdisasm-10-0                 	       1        0        1        0        0
46257 cuda-nvdisasm-11-7                 	       1        0        1        0        0
46258 cuda-nvgraph-10-0                  	       1        0        0        0        1
46259 cuda-nvgraph-9-0                   	       1        0        0        0        1
46260 cuda-nvgraph-cross-aarch64-10-0    	       1        0        0        0        1
46261 cuda-nvgraph-dev-10-0              	       1        0        1        0        0
46262 cuda-nvgraph-dev-9-0               	       1        0        1        0        0
46263 cuda-nvjpeg-10-0                   	       1        0        0        0        1
46264 cuda-nvjpeg-dev-10-0               	       1        0        1        0        0
46265 cuda-nvml-cross-aarch64-10-0       	       1        0        0        0        1
46266 cuda-nvml-dev-10-0                 	       1        0        1        0        0
46267 cuda-nvml-dev-11-7                 	       1        0        1        0        0
46268 cuda-nvml-dev-9-0                  	       1        0        1        0        0
46269 cuda-nvprof-10-0                   	       1        0        1        0        0
46270 cuda-nvprof-11-7                   	       1        0        1        0        0
46271 cuda-nvprune-10-0                  	       1        0        1        0        0
46272 cuda-nvprune-11-7                  	       1        0        1        0        0
46273 cuda-nvrtc-10-0                    	       1        0        0        0        1
46274 cuda-nvrtc-11-7                    	       1        0        0        0        1
46275 cuda-nvrtc-9-0                     	       1        0        0        0        1
46276 cuda-nvrtc-cross-aarch64-10-0      	       1        0        0        0        1
46277 cuda-nvrtc-dev-10-0                	       1        0        1        0        0
46278 cuda-nvrtc-dev-11-7                	       1        0        1        0        0
46279 cuda-nvrtc-dev-9-0                 	       1        0        1        0        0
46280 cuda-nvtx-10-0                     	       1        0        1        0        0
46281 cuda-nvtx-11-7                     	       1        0        1        0        0
46282 cuda-nvvp-10-0                     	       1        0        0        0        1
46283 cuda-nvvp-11-7                     	       1        0        1        0        0
46284 cuda-repo-cross-aarch64-10-0-local-10.0.326	       1        0        0        0        1
46285 cuda-repo-debian11-11-5-local      	       1        0        0        0        1
46286 cuda-repo-debian11-11-8-local      	       1        0        0        0        1
46287 cuda-repo-debian12-12-4-local      	       1        0        0        0        1
46288 cuda-repo-debian12-12-6-local      	       1        0        0        0        1
46289 cuda-repo-debian12-12-8-local      	       1        0        0        0        1
46290 cuda-repo-ubuntu1604               	       1        0        0        0        1
46291 cuda-repo-ubuntu1704-9-0-local     	       1        0        0        0        1
46292 cuda-repo-ubuntu1804-10-0-local-10.0.326-410.108	       1        0        0        0        1
46293 cuda-runtime-12-8                  	       1        0        0        0        1
46294 cuda-samples-10-0                  	       1        0        1        0        0
46295 cuda-samples-9-0                   	       1        0        0        0        1
46296 cuda-sanitizer-11-7                	       1        0        1        0        0
46297 cuda-toolkit-10-0                  	       1        0        0        0        1
46298 cuda-toolkit-11-7                  	       1        0        0        0        1
46299 cuda-toolkit-11-7-config-common    	       1        0        0        0        1
46300 cuda-toolkit-11-config-common      	       1        0        0        0        1
46301 cuda-toolkit-12-0-config-common    	       1        0        0        0        1
46302 cuda-toolkit-9-0                   	       1        0        0        0        1
46303 cuda-tools-10-0                    	       1        0        0        0        1
46304 cuda-tools-11-7                    	       1        0        0        0        1
46305 cuda-visual-tools-10-0             	       1        0        1        0        0
46306 cuda-visual-tools-11-7             	       1        0        0        0        1
46307 cuda-visual-tools-9-0              	       1        0        0        0        1
46308 cudnn                              	       1        0        0        0        1
46309 cudnn-local-repo-debian11-8.7.0.84 	       1        0        0        0        1
46310 cudnn-local-repo-debian12-9.8.0    	       1        0        0        0        1
46311 cudnn9-cuda-12-4                   	       1        0        0        0        1
46312 cudnn9-cuda-12-8                   	       1        0        0        0        1
46313 cupswrapperdcp7055                 	       1        0        0        0        1
46314 cupswrapperdcp8085dn               	       1        0        0        0        1
46315 cupswrapperhl2240                  	       1        0        0        0        1
46316 cupswrapperhl6050d                 	       1        0        0        0        1
46317 cupswrappermfc210c                 	       1        0        0        0        1
46318 cupswrappermfc5840cn               	       1        0        0        0        1
46319 cupswrappermfc7320                 	       1        0        0        0        1
46320 cupswrappermfc7360n                	       1        0        0        0        1
46321 cupswrappermfc7820n                	       1        0        0        0        1
46322 cupsys                             	       1        0        0        0        1
46323 cupsys-bsd                         	       1        0        0        0        1
46324 cupsys-client                      	       1        0        0        0        1
46325 cupsys-common                      	       1        0        0        0        1
46326 curator                            	       1        0        1        0        0
46327 curlew                             	       1        0        1        0        0
46328 curvedns                           	       1        1        0        0        0
46329 curvevpn                           	       1        0        1        0        0
46330 curvevpn-server-run                	       1        0        0        0        1
46331 cutter                             	       1        1        0        0        0
46332 cvc5                               	       1        0        1        0        0
46333 cvs-autoreleasedeb                 	       1        0        1        0        0
46334 cvs-build-deps                     	       1        0        0        0        1
46335 cvs-dbgsym                         	       1        0        1        0        0
46336 cvs-mailcommit                     	       1        0        1        0        0
46337 cvsbook                            	       1        0        0        0        1
46338 cvschangelogbuilder                	       1        0        1        0        0
46339 cvsconnect                         	       1        0        1        0        0
46340 cvsnt                              	       1        0        1        0        0
46341 cvssuck                            	       1        0        1        0        0
46342 cwidget-build-deps-depends         	       1        0        0        0        1
46343 cwl-utils                          	       1        0        1        0        0
46344 cya-2pir                           	       1        0        1        0        0
46345 cyanrip                            	       1        0        1        0        0
46346 cycfx2prog                         	       1        0        1        0        0
46347 cyclades-serial-client             	       1        0        1        0        0
46348 cyme                               	       1        0        1        0        0
46349 cypher-lint                        	       1        0        1        0        0
46350 cyphesis-cpp-clients               	       1        0        1        0        0
46351 cyphesis-cpp-mason                 	       1        0        0        0        1
46352 cyrus-replication                  	       1        0        1        0        0
46353 cytadela-data                      	       1        0        0        0        1
46354 cython3-dbg                        	       1        0        1        0        0
46355 d-itg                              	       1        0        1        0        0
46356 d-rats                             	       1        0        1        0        0
46357 d-spy                              	       1        0        1        0        0
46358 d3-dsv-tools                       	       1        0        1        0        0
46359 dahdi-source                       	       1        0        1        0        0
46360 dangen                             	       1        0        1        0        0
46361 dangerzone                         	       1        0        1        0        0
46362 daphne                             	       1        0        1        0        0
46363 daps                               	       1        0        1        0        0
46364 darcs-server                       	       1        0        1        0        0
46365 dares-qt                           	       1        0        1        0        0
46366 dark-oberon                        	       1        0        1        0        0
46367 darknet                            	       1        0        1        0        0
46368 darkradiant                        	       1        0        1        0        0
46369 darkradiant-plugins-darkmod        	       1        0        0        0        1
46370 darktable-tools-basecurve          	       1        0        1        0        0
46371 darktable-tools-noise              	       1        0        1        0        0
46372 darnwdl                            	       1        0        1        0        0
46373 dart                               	       1        0        1        0        0
46374 dart-doc                           	       1        0        0        0        1
46375 dash-el                            	       1        0        0        0        1
46376 datalad-container                  	       1        0        1        0        0
46377 datapacker                         	       1        0        1        0        0
46378 datovka                            	       1        0        1        0        0
46379 dav-text                           	       1        0        1        0        0
46380 davegnukem                         	       1        0        1        0        0
46381 davegnukem-data                    	       1        0        0        0        1
46382 davegnukem-datasrc                 	       1        0        0        0        1
46383 davegnukem-dbgsym                  	       1        0        1        0        0
46384 davinci-resolve                    	       1        1        0        0        0
46385 davix                              	       1        0        1        0        0
46386 davix-doc                          	       1        0        0        0        1
46387 davs2                              	       1        0        1        0        0
46388 dawg                               	       1        0        1        0        0
46389 dawgdic-tools                      	       1        0        1        0        0
46390 daytasks                           	       1        0        1        0        0
46391 db4.4-util                         	       1        0        1        0        0
46392 db5.1-sql-util                     	       1        0        1        0        0
46393 dballe                             	       1        0        1        0        0
46394 dballe-common                      	       1        0        0        0        1
46395 dbconfig-sqlite                    	       1        0        0        0        1
46396 dbengine                           	       1        0        1        0        0
46397 dbishell                           	       1        0        1        0        0
46398 dbschema                           	       1        0        1        0        0
46399 dbus-build-deps                    	       1        0        0        0        1
46400 dbus-cpp-dev-examples              	       1        0        0        0        1
46401 dbus-cxx-dev                       	       1        0        1        0        0
46402 dbus-cxx2                          	       1        0        0        0        1
46403 dbus-cxx2-dbgsym                   	       1        0        1        0        0
46404 dbus-dbgsym                        	       1        0        1        0        0
46405 dbus-fake                          	       1        0        0        0        1
46406 dbus-test-runner                   	       1        0        1        0        0
46407 dcmtk-www                          	       1        0        1        0        0
46408 dconf                              	       1        1        0        0        0
46409 dconf-build-deps                   	       1        0        0        0        1
46410 dconf-gsettings-backend-dbgsym     	       1        0        1        0        0
46411 dconf-service-dbgsym               	       1        0        1        0        0
46412 dcp135ccupswrapper                 	       1        0        0        0        1
46413 dcp135clpr                         	       1        0        1        0        0
46414 dcp150ccupswrapper                 	       1        0        0        0        1
46415 dcp150clpr                         	       1        0        1        0        0
46416 dcp1510cupswrapper                 	       1        0        0        0        1
46417 dcp1510lpr                         	       1        0        0        0        1
46418 dcp165ccupswrapper                 	       1        0        0        0        1
46419 dcp165clpr                         	       1        0        1        0        0
46420 dcp7055lpr                         	       1        0        0        0        1
46421 dcp8085dnlpr                       	       1        0        1        0        0
46422 dcp9015cdwcupswrapper              	       1        0        0        0        1
46423 dcp9015cdwlpr                      	       1        0        1        0        0
46424 dcp9020cdwcupswrapper              	       1        0        0        0        1
46425 dcp9020cdwlpr                      	       1        0        1        0        0
46426 dcp9042cdncupswrapper              	       1        0        0        0        1
46427 dcp9042cdnlpr                      	       1        0        0        1        0
46428 dcpj1200wpdrv                      	       1        0        0        0        1
46429 dcpj4120dwcupswrapper              	       1        0        0        0        1
46430 dcpj4120dwlpr                      	       1        1        0        0        0
46431 dcpj562dwcupswrapper               	       1        0        0        0        1
46432 dcpj562dwlpr                       	       1        0        1        0        0
46433 dcpj925dwcupswrapper               	       1        0        0        0        1
46434 dcpj925dwlpr                       	       1        1        0        0        0
46435 dcpl2520dwcupswrapper              	       1        0        0        0        1
46436 dcpl2520dwlpr                      	       1        0        0        0        1
46437 dcpl2550dnpdrv                     	       1        0        0        0        1
46438 dcpl2550dwpdrv                     	       1        0        0        0        1
46439 dcpl3560cdwpdrv                    	       1        0        0        0        1
46440 dcpt300cupswrapper                 	       1        0        0        0        1
46441 dcpt300lpr                         	       1        0        1        0        0
46442 dcpt310pdrv                        	       1        1        0        0        0
46443 dcpt510wpdrv                       	       1        0        1        0        0
46444 ddcxinfo-kanotix                   	       1        0        1        0        0
46445 dde-account-faces                  	       1        0        1        0        0
46446 dde-store                          	       1        0        1        0        0
46447 ddnet                              	       1        0        1        0        0
46448 ddrutility-dbgsym                  	       1        0        1        0        0
46449 ddskk                              	       1        0        0        1        0
46450 deadbeef                           	       1        0        1        0        0
46451 deb-installer                      	       1        0        1        0        0
46452 deb-packager                       	       1        0        1        0        0
46453 debaux-debconf                     	       1        0        1        0        0
46454 debdate                            	       1        0        1        0        0
46455 debdry                             	       1        0        1        0        0
46456 debget                             	       1        0        1        0        0
46457 debhelper-build-deps               	       1        0        0        0        1
46458 debian-astro-logo                  	       1        0        0        0        1
46459 debian-backports-keyring           	       1        0        0        0        1
46460 debian-edu-doc-da                  	       1        0        0        0        1
46461 debian-edu-doc-es                  	       1        0        0        0        1
46462 debian-faq-nl                      	       1        0        0        0        1
46463 debian-faq-pt                      	       1        0        0        0        1
46464 debian-faq-ru                      	       1        0        0        0        1
46465 debian-installer-10-netboot-amd64  	       1        0        1        0        0
46466 debian-installer-10-netboot-i386   	       1        0        1        0        0
46467 debian-installer-build-deps        	       1        0        0        0        1
46468 debian-package-book-de             	       1        0        0        0        1
46469 debian-package-scripts             	       1        0        1        0        0
46470 debian-reference-pt-br             	       1        0        0        0        1
46471 debirf                             	       1        0        1        0        0
46472 debmake-doc-build-deps             	       1        0        0        0        1
46473 debocker                           	       1        0        1        0        0
46474 debugedit-build-deps               	       1        0        0        0        1
46475 debugedit-dbgsym                   	       1        0        1        0        0
46476 deconz                             	       1        0        1        0        0
46477 deepin-elf-verify                  	       1        0        0        0        1
46478 defoma-ersatz                      	       1        0        0        0        1
46479 defrag                             	       1        0        1        0        0
46480 dehydrated-apache2                 	       1        0        0        0        1
46481 dehydrated-hook-ddns-tsig          	       1        0        0        0        1
46482 deltarpm                           	       1        0        1        0        0
46483 depthcharge-tools                  	       1        0        1        0        0
46484 deskflow                           	       1        1        0        0        0
46485 desktop-autoloader                 	       1        0        1        0        0
46486 desktop-effects-tde-trinity        	       1        0        1        0        0
46487 desktopnova                        	       1        0        1        0        0
46488 desktopnova-module-gnome           	       1        0        1        0        0
46489 desktopnova-tray                   	       1        0        1        0        0
46490 detectiteasy                       	       1        0        1        0        0
46491 deutex-dbgsym                      	       1        0        1        0        0
46492 dev-kinsta                         	       1        0        1        0        0
46493 developers-reference-ru            	       1        0        0        0        1
46494 device3dfx-source                  	       1        0        0        0        1
46495 devkitpro-pacman                   	       1        0        1        0        0
46496 devscripts-build-deps              	       1        0        0        0        1
46497 devscripts-el                      	       1        0        0        0        1
46498 devuan-indices                     	       1        0        0        0        1
46499 dexed                              	       1        0        0        0        1
46500 dexed-vst                          	       1        0        1        0        0
46501 dextractor                         	       1        0        1        0        0
46502 dgedit                             	       1        0        1        0        0
46503 dh-debputy                         	       1        0        0        1        0
46504 dh-dlang                           	       1        0        0        0        1
46505 dh-dlopenlibdeps                   	       1        0        1        0        0
46506 dh-make-php                        	       1        0        1        0        0
46507 dh-make-raku                       	       1        0        1        0        0
46508 dh-nodejs                          	       1        0        1        0        0
46509 dh-raku                            	       1        0        1        0        0
46510 dh-vim-addon                       	       1        0        0        1        0
46511 dhav2mkv                           	       1        0        1        0        0
46512 dhcp-client                        	       1        0        0        0        1
46513 dhis-client                        	       1        0        1        0        0
46514 dhis-dns-engine                    	       1        0        1        0        0
46515 dhis-server                        	       1        0        1        0        0
46516 dhis-tools-dns                     	       1        0        1        0        0
46517 di-netboot-assistant               	       1        0        1        0        0
46518 diadisvng                          	       1        0        1        0        0
46519 diamond-aligner                    	       1        0        1        0        0
46520 dianara                            	       1        0        1        0        0
46521 dibbler-client                     	       1        0        1        0        0
46522 dibbler-doc                        	       1        0        0        0        1
46523 dibbler-server                     	       1        0        1        0        0
46524 dico-module-wordnet                	       1        0        0        0        1
46525 dicombrowser                       	       1        0        1        0        0
46526 dicompyler                         	       1        0        1        0        0
46527 dict-cia-2002                      	       1        0        0        0        1
46528 dict-freedict-deu-bul              	       1        0        0        0        1
46529 dict-freedict-deu-ell              	       1        0        0        0        1
46530 dict-freedict-deu-fin              	       1        0        0        0        1
46531 dict-freedict-deu-ind              	       1        0        0        0        1
46532 dict-freedict-deu-pol              	       1        0        0        0        1
46533 dict-freedict-deu-spa              	       1        0        0        0        1
46534 dict-freedict-ell-bul              	       1        0        0        0        1
46535 dict-freedict-ell-eng              	       1        0        0        0        1
46536 dict-freedict-ell-fin              	       1        0        0        0        1
46537 dict-freedict-ell-fra              	       1        0        0        0        1
46538 dict-freedict-ell-ind              	       1        0        0        0        1
46539 dict-freedict-ell-ita              	       1        0        0        0        1
46540 dict-freedict-ell-jpn              	       1        0        0        0        1
46541 dict-freedict-ell-lat              	       1        0        0        0        1
46542 dict-freedict-ell-lit              	       1        0        0        0        1
46543 dict-freedict-ell-nld              	       1        0        0        0        1
46544 dict-freedict-ell-nor              	       1        0        0        0        1
46545 dict-freedict-ell-pol              	       1        0        0        0        1
46546 dict-freedict-ell-por              	       1        0        0        0        1
46547 dict-freedict-ell-rus              	       1        0        0        0        1
46548 dict-freedict-ell-spa              	       1        0        0        0        1
46549 dict-freedict-ell-swe              	       1        0        0        0        1
46550 dict-freedict-eng-ind              	       1        0        0        0        1
46551 dict-freedict-fin-bul              	       1        0        0        0        1
46552 dict-freedict-fin-deu              	       1        0        0        0        1
46553 dict-freedict-fin-fra              	       1        0        0        0        1
46554 dict-freedict-fin-ind              	       1        0        0        0        1
46555 dict-freedict-fin-ita              	       1        0        0        0        1
46556 dict-freedict-fin-jpn              	       1        0        0        0        1
46557 dict-freedict-fin-lat              	       1        0        0        0        1
46558 dict-freedict-fin-lit              	       1        0        0        0        1
46559 dict-freedict-fin-nld              	       1        0        0        0        1
46560 dict-freedict-fin-nor              	       1        0        0        0        1
46561 dict-freedict-fin-pol              	       1        0        0        0        1
46562 dict-freedict-fin-por              	       1        0        0        0        1
46563 dict-freedict-fin-swe              	       1        0        0        0        1
46564 dict-freedict-fra-bul              	       1        0        0        0        1
46565 dict-freedict-fra-fin              	       1        0        0        0        1
46566 dict-freedict-fra-ita              	       1        0        0        0        1
46567 dict-freedict-fra-jpn              	       1        0        0        0        1
46568 dict-freedict-fra-lat              	       1        0        0        0        1
46569 dict-freedict-fra-lit              	       1        0        0        0        1
46570 dict-freedict-fra-pol              	       1        0        0        0        1
46571 dict-freedict-fra-por              	       1        0        0        0        1
46572 dict-freedict-fra-spa              	       1        0        0        0        1
46573 dict-freedict-fra-swe              	       1        0        0        0        1
46574 dict-freedict-fra-tur              	       1        0        0        0        1
46575 dict-freedict-ita-bul              	       1        0        0        0        1
46576 dict-freedict-ita-fin              	       1        0        0        0        1
46577 dict-freedict-ita-ind              	       1        0        0        0        1
46578 dict-freedict-ita-jpn              	       1        0        0        0        1
46579 dict-freedict-ita-lit              	       1        0        0        0        1
46580 dict-freedict-ita-nor              	       1        0        0        0        1
46581 dict-freedict-ita-pol              	       1        0        0        0        1
46582 dict-freedict-ita-por              	       1        0        0        0        1
46583 dict-freedict-ita-spa              	       1        0        0        0        1
46584 dict-freedict-ita-swe              	       1        0        0        0        1
46585 dict-freedict-ita-tur              	       1        0        0        0        1
46586 dict-freedict-nld-bul              	       1        0        0        0        1
46587 dict-freedict-nld-ell              	       1        0        0        0        1
46588 dict-freedict-nld-fin              	       1        0        0        0        1
46589 dict-freedict-nld-ind              	       1        0        0        0        1
46590 dict-freedict-nld-ita              	       1        0        0        0        1
46591 dict-freedict-nld-lat              	       1        0        0        0        1
46592 dict-freedict-nld-lit              	       1        0        0        0        1
46593 dict-freedict-nld-pol              	       1        0        0        0        1
46594 dict-freedict-nld-por              	       1        0        0        0        1
46595 dict-freedict-nld-rus              	       1        0        0        0        1
46596 dict-freedict-nld-spa              	       1        0        0        0        1
46597 dict-freedict-nld-swe              	       1        0        0        0        1
46598 dict-freedict-pol-bul              	       1        0        0        0        1
46599 dict-freedict-pol-deu              	       1        0        0        0        1
46600 dict-freedict-pol-eng              	       1        0        0        0        1
46601 dict-freedict-pol-fin              	       1        0        0        0        1
46602 dict-freedict-pol-fra              	       1        0        0        0        1
46603 dict-freedict-pol-ind              	       1        0        0        0        1
46604 dict-freedict-pol-ita              	       1        0        0        0        1
46605 dict-freedict-pol-jpn              	       1        0        0        0        1
46606 dict-freedict-pol-nld              	       1        0        0        0        1
46607 dict-freedict-pol-nor              	       1        0        0        0        1
46608 dict-freedict-pol-por              	       1        0        0        0        1
46609 dict-freedict-pol-spa              	       1        0        0        0        1
46610 dict-freedict-pol-swe              	       1        0        0        0        1
46611 dict-freedict-pol-tur              	       1        0        0        0        1
46612 dict-freedict-por-fra              	       1        0        0        0        1
46613 dict-freedict-por-spa              	       1        0        0        0        1
46614 dict-freedict-slv-eng              	       1        0        0        0        1
46615 dict-freedict-spa-deu              	       1        0        0        0        1
46616 dict-freedict-swe-bul              	       1        0        0        0        1
46617 dict-freedict-swe-fin              	       1        0        0        0        1
46618 dict-freedict-swe-fra              	       1        0        0        0        1
46619 dict-freedict-swe-ita              	       1        0        0        0        1
46620 dict-freedict-swe-jpn              	       1        0        0        0        1
46621 dict-freedict-swe-lat              	       1        0        0        0        1
46622 dict-freedict-swe-nld              	       1        0        0        0        1
46623 dict-freedict-swe-nor              	       1        0        0        0        1
46624 dict-freedict-swe-pol              	       1        0        0        0        1
46625 dict-freedict-swe-por              	       1        0        0        0        1
46626 dict-freedict-swe-spa              	       1        0        0        0        1
46627 dict-freedict-swe-tur              	       1        0        0        0        1
46628 dict-freedict-wol-fra              	       1        0        0        0        1
46629 dict-gazetteer                     	       1        0        0        0        1
46630 dictionary-el                      	       1        0        0        0        1
46631 diff-pdf                           	       1        0        1        0        0
46632 diff-pdf-wx                        	       1        0        1        0        0
46633 digikam-doc                        	       1        0        0        0        1
46634 digilent.adept.runtime             	       1        0        1        0        0
46635 digilent.waveforms                 	       1        0        1        0        0
46636 digup                              	       1        0        1        0        0
46637 dike6                              	       1        0        0        0        1
46638 dillo-build-deps                   	       1        0        0        0        1
46639 dillo-dbgsym                       	       1        0        1        0        0
46640 din                                	       1        0        1        0        0
46641 din-data                           	       1        0        0        0        1
46642 dino                               	       1        0        1        0        0
46643 diogenes                           	       1        0        1        0        0
46644 direwolf-dbgsym                    	       1        0        1        0        0
46645 dirmngr-dbgsym                     	       1        0        1        0        0
46646 dirsearch                          	       1        0        1        0        0
46647 discord-repo                       	       1        0        0        0        1
46648 discover1                          	       1        0        0        0        1
46649 discover1-data                     	       1        0        1        0        0
46650 dish                               	       1        0        1        0        0
46651 disksearch                         	       1        0        1        0        0
46652 distrho-plugin-ports-lv2           	       1        0        1        0        0
46653 distro-defaults                    	       1        0        0        0        1
46654 disulfinder                        	       1        0        1        0        0
46655 disulfinder-data                   	       1        0        0        0        1
46656 dita-ot                            	       1        0        0        0        1
46657 dita-ot-doc                        	       1        0        0        0        1
46658 diveintopython                     	       1        0        0        0        1
46659 divfix++                           	       1        0        1        0        0
46660 divi-desktop                       	       1        0        0        0        1
46661 dj64                               	       1        0        0        0        1
46662 dj64-dev                           	       1        0        0        1        0
46663 djbdns-conf                        	       1        0        1        0        0
46664 djdev64                            	       1        0        0        0        1
46665 djdev64-dev                        	       1        0        0        1        0
46666 djstub                             	       1        0        1        0        0
46667 djvu-imager-qt                     	       1        0        1        0        0
46668 djvufix                            	       1        0        1        0        0
46669 djvuserve                          	       1        0        1        0        0
46670 dkimpy-milter                      	       1        0        1        0        0
46671 dkms-test-dkms                     	       1        0        0        0        1
46672 dlang-libevent                     	       1        0        0        0        1
46673 dlm-controld                       	       1        0        1        0        0
46674 dlmodelbox                         	       1        0        1        0        0
46675 dlz-ldap-enum                      	       1        0        0        0        1
46676 dmg2img-dbgsym                     	       1        0        1        0        0
46677 dmo-archive-keyring-udeb           	       1        0        0        0        1
46678 dnaclust                           	       1        0        1        0        0
46679 dnet-common                        	       1        0        1        0        0
46680 dnf-doc                            	       1        0        0        0        1
46681 dnscache                           	       1        0        1        0        0
46682 dnshistory                         	       1        0        1        0        0
46683 dnsmap                             	       1        0        1        0        0
46684 dnsproxy                           	       1        0        1        0        0
46685 dnspyre                            	       1        0        1        0        0
46686 dnss                               	       1        0        1        0        0
46687 dnsviz                             	       1        0        1        0        0
46688 doc-base-build-deps                	       1        0        0        0        1
46689 doc-debian-es                      	       1        0        0        0        1
46690 doc-iana                           	       1        0        0        0        1
46691 doc-linux-fr-html                  	       1        0        0        0        1
46692 doc-linux-fr-pdf                   	       1        0        0        0        1
46693 docbook-slides-demo                	       1        0        0        0        1
46694 docbook-website                    	       1        0        0        0        1
46695 docbook-xsl-doc                    	       1        0        0        0        1
46696 docbook2odf                        	       1        0        1        0        0
46697 docker-clean                       	       1        0        1        0        0
46698 docker-ctop                        	       1        0        1        0        0
46699 docker-gen                         	       1        1        0        0        0
46700 doctest                            	       1        0        1        0        0
46701 doctorj                            	       1        0        1        0        0
46702 dodgy                              	       1        0        1        0        0
46703 dolfinx-doc                        	       1        0        0        0        1
46704 dolphin-emu-git20151129            	       1        0        1        0        0
46705 dolphin-emulator                   	       1        0        1        0        0
46706 dolphin-trinity                    	       1        0        1        0        0
46707 donkey-bolonkey                    	       1        0        1        0        0
46708 doodle                             	       1        0        1        0        0
46709 doom-alientc                       	       1        0        1        0        0
46710 doom-chretro                       	       1        0        1        0        0
46711 doom-classicep                     	       1        0        1        0        0
46712 doom-e1m4b-wad                     	       1        0        0        0        1
46713 doom-e1m8b-wad                     	       1        0        0        0        1
46714 doom-end2                          	       1        0        1        0        0
46715 doom-episode-hell                  	       1        0        1        0        0
46716 doom-eternity                      	       1        0        1        0        0
46717 doom-inferno                       	       1        0        1        0        0
46718 doom-iwad                          	       1        0        0        0        1
46719 doom-nhflep1                       	       1        0        1        0        0
46720 doom-odyssey-e1                    	       1        0        1        0        0
46721 doom-par                           	       1        0        1        0        0
46722 doom-sigil-wad                     	       1        0        0        0        1
46723 doom-subversion                    	       1        0        1        0        0
46724 doom2-alienvendetta                	       1        0        1        0        0
46725 doom2-eternaldoom                  	       1        0        1        0        0
46726 doom2-masterlevels-wad             	       1        0        0        0        1
46727 doom2-mordethep1                   	       1        0        1        0        0
46728 doom2-twilightzone                 	       1        0        1        0        0
46729 doomlegacy-data                    	       1        0        0        0        1
46730 doomlegacy-sdl                     	       1        0        1        0        0
46731 dosemu2                            	       1        0        0        1        0
46732 dotdrop                            	       1        0        1        0        0
46733 dotmcp                             	       1        0        1        0        0
46734 dotnet-hostfxr-2.1                 	       1        0        0        0        1
46735 dotnet-hostfxr-2.2                 	       1        0        0        0        1
46736 dotnet-runtime-2.1                 	       1        0        1        0        0
46737 dotnet-runtime-2.2                 	       1        0        1        0        0
46738 dotnet-runtime-3.1                 	       1        0        1        0        0
46739 dotnet-runtime-deps-2.1            	       1        0        0        0        1
46740 dotnet-runtime-deps-2.2            	       1        0        0        0        1
46741 dotnet-sdk-2.1                     	       1        0        1        0        0
46742 dotnet-sdk-2.2                     	       1        0        1        0        0
46743 dotnet-sdk-3.1                     	       1        0        1        0        0
46744 dovecot-common                     	       1        0        0        0        1
46745 dovecot-fts-xapian                 	       1        1        0        0        0
46746 doxymacs                           	       1        0        1        0        0
46747 dpak                               	       1        0        1        0        0
46748 dpdk-doc                           	       1        0        1        0        0
46749 dphys-swapfile                     	       1        0        1        0        0
46750 dpic                               	       1        0        1        0        0
46751 dpitunnelcli                       	       1        0        1        0        0
46752 dpkg-dbgsym                        	       1        0        1        0        0
46753 dpkg-doc                           	       1        0        0        0        1
46754 dpkg-source-gitarchive             	       1        0        1        0        0
46755 dpt-i2o-raidutils                  	       1        0        1        0        0
46756 dpuser-doc                         	       1        0        0        0        1
46757 draai                              	       1        0        1        0        0
46758 dracula-gtk                        	       1        0        0        0        1
46759 dradio                             	       1        0        1        0        0
46760 draftsight                         	       1        0        1        0        0
46761 dragonfly-reverb                   	       1        0        0        0        1
46762 dragonfly-reverb-standalone        	       1        0        1        0        0
46763 dragonfly-reverb-vst               	       1        0        1        0        0
46764 drascula-italian                   	       1        0        0        0        1
46765 drascula-spanish                   	       1        0        0        0        1
46766 drawterm                           	       1        0        1        0        0
46767 drbd-dkms                          	       1        0        1        0        0
46768 drbd-doc                           	       1        0        0        0        1
46769 drbd-ueficert                      	       1        0        0        0        1
46770 drbd0.7-module-source              	       1        0        0        0        1
46771 drcontrol                          	       1        0        0        0        1
46772 drill-search-gtk                   	       1        0        1        0        0
46773 drmodelica                         	       1        0        0        0        1
46774 droidbattles                       	       1        0        1        0        0
46775 droidcam-client                    	       1        0        1        0        0
46776 drool                              	       1        0        1        0        0
46777 droopy                             	       1        0        1        0        0
46778 drowaudio-plugins-lv2              	       1        0        1        0        0
46779 drraw                              	       1        0        1        0        0
46780 drsync                             	       1        0        1        0        0
46781 drupal7                            	       1        1        0        0        0
46782 dsc                                	       1        1        0        0        0
46783 dsdunpack                          	       1        0        1        0        0
46784 dselect-dbgsym                     	       1        0        1        0        0
46785 dspam                              	       1        0        1        0        0
46786 dspy-common                        	       1        0        0        0        1
46787 dssim                              	       1        0        1        0        0
46788 dt-schema                          	       1        0        1        0        0
46789 dtaus                              	       1        0        1        0        0
46790 dtdinst                            	       1        0        1        0        0
46791 dte                                	       1        0        1        0        0
46792 dtmfdial                           	       1        0        1        0        0
46793 duc-nox                            	       1        0        1        0        0
46794 duckietv                           	       1        0        1        0        0
46795 duke3d-shareware                   	       1        0        0        0        1
46796 dumpvdl2                           	       1        0        1        0        0
46797 dungeondraft                       	       1        0        0        0        1
46798 dupfiles                           	       1        0        1        0        0
46799 dustforce                          	       1        0        0        0        1
46800 dv2sub                             	       1        0        1        0        0
46801 dvda-author                        	       1        0        1        0        0
46802 dvdrip-doc                         	       1        0        0        0        1
46803 dvhtool                            	       1        0        1        0        0
46804 dvi2ps-fontdata-ptexfake           	       1        0        0        0        1
46805 dvipost                            	       1        0        1        0        0
46806 dvips-fontdata-n2bk                	       1        0        0        0        1
46807 dweb                               	       1        0        1        0        0
46808 dwg2xxx                            	       1        0        0        0        1
46809 dwgsim                             	       1        0        1        0        0
46810 dxc                                	       1        0        1        0        0
46811 dxirc-data                         	       1        0        0        0        1
46812 dxirc-fox                          	       1        0        1        0        0
46813 dxpc                               	       1        0        1        0        0
46814 dynare                             	       1        0        1        0        0
46815 dynare-doc                         	       1        0        0        0        1
46816 e1000e-dkms                        	       1        0        1        0        0
46817 e16-epplets                        	       1        0        0        0        1
46818 e2guardian                         	       1        0        1        0        0
46819 eag-healpix-java                   	       1        0        0        0        1
46820 eagle                              	       1        0        1        0        0
46821 eagle-data                         	       1        0        1        0        0
46822 eapoltest                          	       1        0        1        0        0
46823 earcandy                           	       1        0        1        0        0
46824 easykontakt                        	       1        0        1        0        0
46825 easymp3gain                        	       1        0        1        0        0
46826 easymp3gain-data                   	       1        0        0        0        1
46827 easyroam-desktop                   	       1        0        0        0        1
46828 easyssp-lv2                        	       1        0        1        0        0
46829 easystroke                         	       1        0        1        0        0
46830 ebook-tools-dbg                    	       1        0        1        0        0
46831 ecasound-el                        	       1        0        1        0        0
46832 ecb                                	       1        0        1        0        0
46833 echolot                            	       1        0        1        0        0
46834 eclipse                            	       1        0        0        0        1
46835 eclipse-cdt                        	       1        0        0        0        1
46836 eclipse-cdt-jni                    	       1        0        0        0        1
46837 eclipse-emf                        	       1        0        0        0        1
46838 eclipse-jdt                        	       1        0        0        0        1
46839 eclipse-pde                        	       1        0        1        0        0
46840 eclipse-platform                   	       1        0        1        0        0
46841 eclipse-rcp                        	       1        0        1        0        0
46842 eclipse-rse                        	       1        0        0        0        1
46843 eclipse-titan                      	       1        0        1        0        0
46844 ecm                                	       1        0        1        0        0
46845 ecodmsclient                       	       1        0        0        0        1
46846 ecodmsserver                       	       1        0        1        0        0
46847 ecopcr                             	       1        0        1        0        0
46848 ecrire                             	       1        0        1        0        0
46849 edge                               	       1        0        1        0        0
46850 edisplay                           	       1        0        1        0        0
46851 editmoin                           	       1        0        1        0        0
46852 editorconfig-doc                   	       1        0        0        0        1
46853 editorconfig-geany                 	       1        0        0        0        1
46854 edlib-aligner                      	       1        0        1        0        0
46855 edlin                              	       1        0        1        0        0
46856 edrlab.thoriumreader               	       1        0        0        0        1
46857 edtsurf                            	       1        0        1        0        0
46858 education-desktop-gnome            	       1        0        0        0        1
46859 education-desktop-kde              	       1        0        0        0        1
46860 education-desktop-lxde             	       1        0        0        0        1
46861 education-desktop-lxqt             	       1        0        0        0        1
46862 education-desktop-other            	       1        0        0        0        1
46863 education-development              	       1        0        0        0        1
46864 education-highschool               	       1        0        0        0        1
46865 education-video                    	       1        0        0        0        1
46866 eekboek                            	       1        0        1        0        0
46867 eekboek-gui                        	       1        0        1        0        0
46868 effectv                            	       1        0        1        0        0
46869 efl-doc                            	       1        0        1        0        0
46870 egoboo                             	       1        0        1        0        0
46871 egoboo-data                        	       1        0        0        0        1
46872 eigensoft                          	       1        0        1        0        0
46873 eja                                	       1        0        1        0        0
46874 eject-dbgsym                       	       1        0        1        0        0
46875 ekg2-ui-gtk                        	       1        0        1        0        0
46876 ekiga-plugin-evolution             	       1        0        0        0        1
46877 el-get                             	       1        0        1        0        0
46878 elasticsearch-curator              	       1        0        1        0        0
46879 elastix                            	       1        0        1        0        0
46880 elastix-doc                        	       1        0        0        0        1
46881 elektroid                          	       1        0        1        0        0
46882 elfkickers                         	       1        0        1        0        0
46883 elfsh                              	       1        0        1        0        0
46884 elfsign                            	       1        0        1        0        0
46885 elfx86exts                         	       1        0        1        0        0
46886 elib                               	       1        0        1        0        0
46887 elinks-lite                        	       1        0        0        0        1
46888 elixir-earmark-parser              	       1        0        0        1        0
46889 elixir-ex-doc                      	       1        0        1        0        0
46890 elixir-makeup                      	       1        0        1        0        0
46891 elixir-makeup-elixir               	       1        0        1        0        0
46892 elixir-makeup-erlang               	       1        0        1        0        0
46893 elixir-nimble-parsec               	       1        0        1        0        0
46894 elk                                	       1        0        1        0        0
46895 elk-lapw                           	       1        0        1        0        0
46896 elks-libc-gcc-ia16-elf             	       1        0        1        0        0
46897 elksemu                            	       1        0        1        0        0
46898 elogind-dbgsym                     	       1        0        1        0        0
46899 elpa-ace-window                    	       1        0        1        0        0
46900 elpa-ag                            	       1        0        1        0        0
46901 elpa-atomic-chrome                 	       1        1        0        0        0
46902 elpa-bash-completion               	       1        0        1        0        0
46903 elpa-bongo                         	       1        0        1        0        0
46904 elpa-citar                         	       1        0        1        0        0
46905 elpa-clues-theme                   	       1        1        0        0        0
46906 elpa-consult                       	       1        0        1        0        0
46907 elpa-dash-functional               	       1        0        1        0        0
46908 elpa-deferred                      	       1        0        1        0        0
46909 elpa-devscripts                    	       1        0        1        0        0
46910 elpa-dictionary                    	       1        0        1        0        0
46911 elpa-dimmer                        	       1        1        0        0        0
46912 elpa-ediprolog                     	       1        0        1        0        0
46913 elpa-elfeed-web                    	       1        0        1        0        0
46914 elpa-elisp-slime-nav               	       1        0        1        0        0
46915 elpa-elpher                        	       1        0        1        0        0
46916 elpa-elscreen                      	       1        0        1        0        0
46917 elpa-emacsql-psql                  	       1        0        1        0        0
46918 elpa-emacsql-sqlite3               	       1        0        1        0        0
46919 elpa-embark                        	       1        0        1        0        0
46920 elpa-ement                         	       1        0        1        0        0
46921 elpa-esh-help                      	       1        0        1        0        0
46922 elpa-eshell-prompt-extras          	       1        0        1        0        0
46923 elpa-find-file-in-project          	       1        0        1        0        0
46924 elpa-flycheck-package              	       1        0        1        0        0
46925 elpa-git-annex                     	       1        0        1        0        0
46926 elpa-git-timemachine               	       1        0        1        0        0
46927 elpa-haskell-tab-indent            	       1        0        1        0        0
46928 elpa-highlight-indentation         	       1        0        1        0        0
46929 elpa-irony                         	       1        0        1        0        0
46930 elpa-lbdb                          	       1        0        1        0        0
46931 elpa-ledger                        	       1        1        0        0        0
46932 elpa-lsp-treemacs                  	       1        0        1        0        0
46933 elpa-magit-annex                   	       1        0        1        0        0
46934 elpa-marginalia                    	       1        0        1        0        0
46935 elpa-mastodon                      	       1        0        1        0        0
46936 elpa-modus-themes                  	       1        0        1        0        0
46937 elpa-org-bullets                   	       1        1        0        0        0
46938 elpa-org-contrib                   	       1        0        1        0        0
46939 elpa-package-lint                  	       1        0        1        0        0
46940 elpa-package-lint-flymake          	       1        0        1        0        0
46941 elpa-parsebib                      	       1        0        1        0        0
46942 elpa-password-store                	       1        0        1        0        0
46943 elpa-pfuture                       	       1        0        1        0        0
46944 elpa-pg                            	       1        0        1        0        0
46945 elpa-plz                           	       1        0        1        0        0
46946 elpa-ps-ccrypt                     	       1        0        1        0        0
46947 elpa-pyvenv                        	       1        0        1        0        0
46948 elpa-request                       	       1        0        1        0        0
46949 elpa-solarized-theme               	       1        0        1        0        0
46950 elpa-subed                         	       1        0        1        0        0
46951 elpa-svg-lib                       	       1        0        1        0        0
46952 elpa-taxy                          	       1        0        1        0        0
46953 elpa-taxy-magit-section            	       1        0        1        0        0
46954 elpa-treemacs                      	       1        0        1        0        0
46955 elpa-treemacs-evil                 	       1        0        1        0        0
46956 elpa-treemacs-magit                	       1        0        1        0        0
46957 elpa-treemacs-projectile           	       1        0        1        0        0
46958 elpa-vertico                       	       1        0        1        0        0
46959 elpa-visual-fill-column            	       1        0        1        0        0
46960 elpa-volume                        	       1        0        1        0        0
46961 elpa-which-key                     	       1        0        1        0        0
46962 elpa-writeroom-mode                	       1        0        1        0        0
46963 elpa-zenburn-theme                 	       1        0        1        0        0
46964 elscreen                           	       1        0        0        0        1
46965 elserv                             	       1        0        1        0        0
46966 elvis                              	       1        0        1        0        0
46967 elvis-common                       	       1        0        0        0        1
46968 elvis-tools                        	       1        0        1        0        0
46969 elyxer                             	       1        0        1        0        0
46970 emacs-bin-common-dbgsym            	       1        0        0        1        0
46971 emacs-build-deps                   	       1        0        0        0        1
46972 emacs-calfw-howm                   	       1        0        1        0        0
46973 emacs-mozc                         	       1        0        1        0        0
46974 emacs-mozc-bin                     	       1        0        1        0        0
46975 emacs-non-dfsg-build-deps          	       1        0        0        0        1
46976 emacs-nox-dbgsym                   	       1        0        0        1        0
46977 emacs21                            	       1        0        0        0        1
46978 emacs23-common-non-dfsg            	       1        0        0        0        1
46979 emacs23-el                         	       1        0        0        0        1
46980 emacs24-common-non-dfsg            	       1        0        0        0        1
46981 emacs24-nox                        	       1        0        1        0        0
46982 emacs25                            	       1        0        0        0        1
46983 emacs25-nox                        	       1        0        0        0        1
46984 emby-server                        	       1        0        1        0        0
46985 emelfm2-svg-icons                  	       1        0        0        0        1
46986 emicp                              	       1        0        1        0        0
46987 emidf                              	       1        0        1        0        0
46988 emidist-upgrade                    	       1        0        1        0        0
46989 emidpkg-backup                     	       1        1        0        0        0
46990 emifloppy                          	       1        0        1        0        0
46991 emiperl-lib                        	       1        1        0        0        0
46992 emirdiff-backup                    	       1        1        0        0        0
46993 emitabulator                       	       1        0        1        0        0
46994 emiutility-dummy                   	       1        0        0        0        1
46995 emma                               	       1        0        1        0        0
46996 empathy-skype                      	       1        0        0        0        1
46997 emugui-testing                     	       1        0        1        0        0
46998 emusic-data                        	       1        0        0        0        1
46999 emusic-docs                        	       1        0        0        0        1
47000 endless-sky-build                  	       1        0        0        0        1
47001 endless-sky-dbgsym                 	       1        0        1        0        0
47002 endlessh                           	       1        0        1        0        0
47003 enmime                             	       1        0        1        0        0
47004 enpass                             	       1        0        1        0        0
47005 entropybroker                      	       1        0        1        0        0
47006 env-assert                         	       1        0        1        0        0
47007 epiphany-gecko                     	       1        0        0        0        1
47008 epix                               	       1        0        1        0        0
47009 epm                                	       1        0        0        1        0
47010 epoptes                            	       1        1        0        0        0
47011 epoptes-client                     	       1        1        0        0        0
47012 epsilon-bin                        	       1        0        1        0        0
47013 epson-alc1100-filter               	       1        0        1        0        0
47014 epson-alc1100-filter-cups          	       1        0        0        0        1
47015 epson-inkjet-printer-202101w       	       1        0        1        0        0
47016 epson-pc-fax                       	       1        0        1        0        0
47017 epson-port-communication-service   	       1        0        1        0        0
47018 ept-cache                          	       1        0        1        0        0
47019 erfs                               	       1        0        1        0        0
47020 erlang-bbmustache                  	       1        0        1        0        0
47021 erlang-bitcask                     	       1        0        1        0        0
47022 erlang-bitcask-dev                 	       1        0        1        0        0
47023 erlang-cowboy                      	       1        0        1        0        0
47024 erlang-cowboy-doc                  	       1        0        0        0        1
47025 erlang-cowboy-examples             	       1        0        0        0        1
47026 erlang-cuttlefish                  	       1        0        1        0        0
47027 erlang-erlware-commons             	       1        0        0        1        0
47028 erlang-erlware-commons-dev         	       1        0        0        1        0
47029 erlang-folsom                      	       1        0        1        0        0
47030 erlang-folsom-dev                  	       1        0        1        0        0
47031 erlang-hex                         	       1        0        1        0        0
47032 erlang-horse                       	       1        0        0        1        0
47033 erlang-luerl                       	       1        0        0        1        0
47034 erlang-metrics                     	       1        0        1        0        0
47035 erlang-mimerl                      	       1        0        1        0        0
47036 erlang-mochiweb                    	       1        0        1        0        0
47037 erlang-neotoma                     	       1        0        1        0        0
47038 erlang-p1-oauth2                   	       1        0        1        0        0
47039 erlang-poolboy                     	       1        0        1        0        0
47040 erlang-proper-dev                  	       1        0        0        1        0
47041 erlang-redis-client                	       1        0        1        0        0
47042 erofsfuse                          	       1        0        1        0        0
47043 esci-interpreter-gt-f720           	       1        0        1        0        0
47044 esekeyd                            	       1        1        0        0        0
47045 esh                                	       1        0        1        0        0
47046 eskatos-icinga-scripts             	       1        0        0        0        1
47047 eskatos-nexus-registry-mirror      	       1        0        0        0        1
47048 eskatos-trivy-server               	       1        0        0        0        1
47049 esnacc                             	       1        0        1        0        0
47050 esnacc-doc                         	       1        0        0        0        1
47051 espctag                            	       1        0        1        0        0
47052 estic                              	       1        0        1        0        0
47053 eta                                	       1        0        1        0        0
47054 etcd-client                        	       1        0        1        0        0
47055 etcd-fs                            	       1        0        1        0        0
47056 etcher-electron                    	       1        0        0        0        1
47057 etherboot-qemu                     	       1        0        1        0        0
47058 etoys                              	       1        0        1        0        0
47059 etoys-doc                          	       1        0        0        0        1
47060 etree-scripts                      	       1        0        1        0        0
47061 etsf-io                            	       1        0        1        0        0
47062 eudev-build-deps                   	       1        0        0        0        1
47063 eufloriahd                         	       1        0        0        0        1
47064 eureka-dbgsym                      	       1        0        1        0        0
47065 euro-support                       	       1        0        1        0        0
47066 euro-support-console               	       1        0        0        0        1
47067 euro-support-x                     	       1        0        0        0        1
47068 evdi                               	       1        0        1        0        0
47069 eventfs                            	       1        0        1        0        0
47070 eventstat                          	       1        1        0        0        0
47071 evince-hwp                         	       1        0        1        0        0
47072 evol-client                        	       1        0        0        0        1
47073 evolution-data-server-dev          	       1        0        0        0        1
47074 evracoon                           	       1        0        1        0        0
47075 evrouter                           	       1        0        1        0        0
47076 ewipe                              	       1        0        1        0        0
47077 exadrums                           	       1        0        1        0        0
47078 exaile                             	       1        0        1        0        0
47079 excellent-bifurcation              	       1        0        1        0        0
47080 exec-tools                         	       1        0        1        0        0
47081 execnet-doc                        	       1        0        0        0        1
47082 exfatprogs-dbgsym                  	       1        0        1        0        0
47083 exo-build-deps                     	       1        0        0        0        1
47084 exo-utils-dbgsym                   	       1        0        1        0        0
47085 expandrive                         	       1        0        1        0        0
47086 expeyes                            	       1        0        1        0        0
47087 expeyes-doc-common                 	       1        0        1        0        0
47088 expeyes-doc-en                     	       1        0        0        0        1
47089 expeyes-web                        	       1        0        1        0        0
47090 explain                            	       1        0        1        0        0
47091 expressvpn                         	       1        0        1        0        0
47092 ext2resize                         	       1        0        1        0        0
47093 extace                             	       1        0        1        0        0
47094 exult-studio                       	       1        0        1        0        0
47095 eye                                	       1        0        1        0        0
47096 eyes17                             	       1        0        1        0        0
47097 ez-ipupdate                        	       1        0        1        0        0
47098 eztrace                            	       1        0        1        0        0
47099 f-spot                             	       1        0        1        0        0
47100 fabla                              	       1        0        1        0        0
47101 facetimehd-firmware                	       1        0        1        0        0
47102 fact++                             	       1        0        1        0        0
47103 facterdb                           	       1        0        1        0        0
47104 fai-client                         	       1        0        1        0        0
47105 fai-server                         	       1        0        1        0        0
47106 fai-setup-storage                  	       1        0        1        0        0
47107 faker                              	       1        0        1        0        0
47108 fakturama                          	       1        0        1        0        0
47109 falabaac                           	       1        0        1        0        0
47110 falconseye                         	       1        0        1        0        0
47111 falconseye-data                    	       1        0        1        0        0
47112 falcosecurity-scap-dkms            	       1        1        0        0        0
47113 falkon-plugin-wallet               	       1        0        0        0        1
47114 famfamfam-flag-gif                 	       1        0        0        0        1
47115 far2l                              	       1        0        1        0        0
47116 far2l-data                         	       1        0        0        0        1
47117 far2l-wx                           	       1        0        1        0        0
47118 fastaq                             	       1        0        1        0        0
47119 fastd-doc                          	       1        0        0        0        1
47120 fastdep                            	       1        0        1        0        0
47121 fastjet-doc                        	       1        0        0        0        1
47122 fastlink                           	       1        0        1        0        0
47123 fastp                              	       1        0        1        0        0
47124 fastqtl                            	       1        0        1        0        0
47125 fasttree                           	       1        0        1        0        0
47126 faucc                              	       1        0        1        0        0
47127 faultstat                          	       1        0        1        0        0
47128 faumachine                         	       1        0        1        0        0
47129 faumachine-data                    	       1        0        0        0        1
47130 faxcoder                           	       1        0        1        0        0
47131 fb2edit                            	       1        0        1        0        0
47132 fbbdoc                             	       1        0        0        0        1
47133 fbiterm                            	       1        0        1        0        0
47134 fbterm-ucimf                       	       1        0        1        0        0
47135 fbtv                               	       1        0        1        0        0
47136 fcc                                	       1        0        1        0        0
47137 fceu                               	       1        0        1        0        0
47138 fcitx-chewing                      	       1        0        0        0        1
47139 fcitx-hangul                       	       1        0        0        0        1
47140 fcitx-libpinyin                    	       1        0        0        0        1
47141 fcitx-libs-dev                     	       1        0        1        0        0
47142 fcitx-sunpinyin                    	       1        1        0        0        0
47143 fcitx-table-all                    	       1        0        0        0        1
47144 fcitx-table-arabic                 	       1        0        0        0        1
47145 fcitx-table-bingchan               	       1        0        0        0        1
47146 fcitx-table-cangjie                	       1        0        0        0        1
47147 fcitx-table-cantonese              	       1        0        0        0        1
47148 fcitx-table-dianbaoma              	       1        0        0        0        1
47149 fcitx-table-erbi                   	       1        0        0        0        1
47150 fcitx-table-ipa-x-sampa            	       1        0        0        0        1
47151 fcitx-table-quick-classic          	       1        0        0        0        1
47152 fcitx-table-thai                   	       1        0        0        0        1
47153 fcitx-table-wanfeng                	       1        0        0        0        1
47154 fcitx-table-wbpy                   	       1        0        0        0        1
47155 fcitx-table-ziranma                	       1        0        0        0        1
47156 fcitx5-chewing                     	       1        0        0        0        1
47157 fcitx5-frontend-all                	       1        0        0        0        1
47158 fcitx5-kkc                         	       1        0        1        0        0
47159 fcitx5-module-lua-dev              	       1        0        0        1        0
47160 fcitx5-modules-dev                 	       1        0        0        1        0
47161 fcitx5-skin-nord                   	       1        0        0        0        1
47162 fcitx5-skk                         	       1        0        0        0        1
47163 fckeditor                          	       1        1        0        0        0
47164 fcoretools                         	       1        0        1        0        0
47165 fd-musl                            	       1        1        0        0        0
47166 fdisk-dbgsym                       	       1        0        1        0        0
47167 fdpp                               	       1        0        0        1        0
47168 fdpp-dev                           	       1        0        0        1        0
47169 fedistar                           	       1        0        1        0        0
47170 feed2exec                          	       1        0        1        0        0
47171 feed2exec-doc                      	       1        0        0        0        1
47172 feed2toot                          	       1        0        1        0        0
47173 felix-main                         	       1        0        1        0        0
47174 fence-virt                         	       1        0        1        0        0
47175 fenicsx                            	       1        0        0        0        1
47176 fenicsx-performance-tests-source   	       1        0        1        0        0
47177 fenix                              	       1        0        1        0        0
47178 fenix-plugin-mpeg                  	       1        0        1        0        0
47179 fenix-plugins                      	       1        0        1        0        0
47180 fenix-plugins-system               	       1        0        1        0        0
47181 fenrir                             	       1        0        1        0        0
47182 ferdi                              	       1        0        0        0        1
47183 ferret-datasets                    	       1        0        0        0        1
47184 ferret-vis                         	       1        0        1        0        0
47185 festvox-palpc16k                   	       1        0        0        0        1
47186 festvox-sflpc16k                   	       1        0        0        0        1
47187 feta                               	       1        0        1        0        0
47188 fetch-crl                          	       1        0        1        0        0
47189 fetchyahoo                         	       1        0        1        0        0
47190 fever                              	       1        0        1        0        0
47191 fex                                	       1        0        1        0        0
47192 fex-utils                          	       1        0        1        0        0
47193 ffe                                	       1        0        1        0        0
47194 ffgtk                              	       1        0        1        0        0
47195 ffgtk-plugins-gdata                	       1        0        0        0        1
47196 ffgtk-plugins-gnome-keyring        	       1        0        0        0        1
47197 ffgtk-plugins-kwallet4             	       1        0        0        0        1
47198 ffgtk-plugins-pulseaudio           	       1        0        0        0        1
47199 ffmpeg-dbgsym                      	       1        0        1        0        0
47200 ffplay                             	       1        0        1        0        0
47201 fglrx-modules-dkms                 	       1        0        1        0        0
47202 fh2odg                             	       1        0        1        0        0
47203 fhem                               	       1        0        1        0        0
47204 fifth                              	       1        0        1        0        0
47205 figtree                            	       1        0        1        0        0
47206 file-build-deps                    	       1        0        0        0        1
47207 file-dbgsym                        	       1        0        1        0        0
47208 filemanager-actions                	       1        0        1        0        0
47209 filemanager-actions-data           	       1        0        0        0        1
47210 filemanager-actions-libs           	       1        0        0        0        1
47211 filen                              	       1        1        0        0        0
47212 fillets-ng-data-cs                 	       1        0        0        0        1
47213 filtergen                          	       1        0        1        0        0
47214 findent                            	       1        0        1        0        0
47215 finetune                           	       1        0        1        0        0
47216 firebird-emu                       	       1        0        1        0        0
47217 firebird2.1-common-doc             	       1        0        0        0        1
47218 firecapture                        	       1        0        1        0        0
47219 firefox-devedition                 	       1        0        1        0        0
47220 firefox-devedition-l10n-fr         	       1        0        1        0        0
47221 firefox-esr-l10n-ach               	       1        1        0        0        0
47222 firefox-esr-l10n-af                	       1        1        0        0        0
47223 firefox-esr-l10n-all               	       1        0        0        0        1
47224 firefox-esr-l10n-an                	       1        1        0        0        0
47225 firefox-esr-l10n-az                	       1        1        0        0        0
47226 firefox-esr-l10n-br                	       1        1        0        0        0
47227 firefox-esr-l10n-cak               	       1        1        0        0        0
47228 firefox-esr-l10n-ff                	       1        1        0        0        0
47229 firefox-esr-l10n-fy-nl             	       1        1        0        0        0
47230 firefox-esr-l10n-gd                	       1        1        0        0        0
47231 firefox-esr-l10n-gn                	       1        1        0        0        0
47232 firefox-esr-l10n-hy-am             	       1        1        0        0        0
47233 firefox-esr-l10n-ia                	       1        1        0        0        0
47234 firefox-esr-l10n-ka                	       1        1        0        0        0
47235 firefox-esr-l10n-kab               	       1        1        0        0        0
47236 firefox-esr-l10n-lij               	       1        1        0        0        0
47237 firefox-esr-l10n-ms                	       1        1        0        0        0
47238 firefox-esr-l10n-my                	       1        1        0        0        0
47239 firefox-esr-l10n-oc                	       1        1        0        0        0
47240 firefox-esr-l10n-rm                	       1        1        0        0        0
47241 firefox-esr-l10n-sat               	       1        1        0        0        0
47242 firefox-esr-l10n-sco               	       1        1        0        0        0
47243 firefox-esr-l10n-skr               	       1        1        0        0        0
47244 firefox-esr-l10n-son               	       1        1        0        0        0
47245 firefox-esr-l10n-szl               	       1        1        0        0        0
47246 firefox-esr-l10n-ur                	       1        1        0        0        0
47247 firefox-esr-l10n-uz                	       1        1        0        0        0
47248 firefox-esr-l10n-xh                	       1        1        0        0        0
47249 firefox-l10n-es-ar                 	       1        0        0        1        0
47250 firefox-l10n-es-mx                 	       1        0        1        0        0
47251 firefox-l10n-hr                    	       1        0        1        0        0
47252 firefox-l10n-it                    	       1        0        1        0        0
47253 firefox-l10n-ja                    	       1        0        1        0        0
47254 firefox-l10n-nl                    	       1        0        0        1        0
47255 firefox-l10n-pl                    	       1        0        1        0        0
47256 firefox-mozilla-build              	       1        0        0        1        0
47257 firefox-nightly                    	       1        0        1        0        0
47258 firefox-nightly-l10n-en-gb         	       1        0        1        0        0
47259 firefox-nightly-l10n-hu            	       1        0        1        0        0
47260 firefox-nightly-l10n-ro            	       1        0        1        0        0
47261 firefoxdev                         	       1        0        1        0        0
47262 firejail-build-deps                	       1        0        0        0        1
47263 firejail-dbgsym                    	       1        0        1        0        0
47264 firewalk                           	       1        0        1        0        0
47265 firewalld-tests                    	       1        0        0        0        1
47266 firm-phoenix-ware                  	       1        0        0        0        1
47267 firmware-ath9k-htc-dbgsym          	       1        0        1        0        0
47268 firmware-tomu                      	       1        0        1        0        0
47269 fis-gtm-6.3-007                    	       1        0        0        0        1
47270 fis-gtm-6.3-014                    	       1        0        0        0        1
47271 fitgcp                             	       1        0        1        0        0
47272 fiu-utils                          	       1        0        1        0        0
47273 fix-alttab                         	       1        0        1        0        0
47274 fizmo                              	       1        0        0        0        1
47275 fizmo-common                       	       1        0        0        0        1
47276 flacon                             	       1        0        1        0        0
47277 flam3-palette                      	       1        0        0        0        1
47278 flam3-utils                        	       1        0        1        0        0
47279 flang-17                           	       1        0        1        0        0
47280 flang-19                           	       1        0        1        0        0
47281 flare-engine-build-deps            	       1        0        0        0        1
47282 flare-engine-dbgsym                	       1        0        1        0        0
47283 flare-game-build-deps              	       1        0        0        0        1
47284 flash                              	       1        0        1        0        0
47285 flasharch-player                   	       1        0        0        0        1
47286 flashprint                         	       1        0        0        0        1
47287 flashprint5                        	       1        0        0        0        1
47288 flatcam                            	       1        0        1        0        0
47289 flatzinc                           	       1        0        1        0        0
47290 flexbar                            	       1        0        1        0        0
47291 flexibee-client                    	       1        0        1        0        0
47292 flexpart                           	       1        0        1        0        0
47293 flextra                            	       1        0        1        0        0
47294 flickcurl-utils                    	       1        0        1        0        0
47295 flif-tools                         	       1        0        1        0        0
47296 flin                               	       1        0        1        0        0
47297 fling                              	       1        0        1        0        0
47298 flood                              	       1        0        1        0        0
47299 flow-tools                         	       1        1        0        0        0
47300 fltk1.1-doc                        	       1        0        1        0        0
47301 flvmeta                            	       1        0        1        0        0
47302 flvtool2                           	       1        0        1        0        0
47303 flying-carpet                      	       1        0        1        0        0
47304 fnotifystat                        	       1        0        1        0        0
47305 font-hosny-amiri                   	       1        0        0        0        1
47306 font-ttf-ibarra                    	       1        0        0        0        1
47307 fontforge-build-deps-depends       	       1        0        0        0        1
47308 fontforge-dbgsym                   	       1        0        1        0        0
47309 fonts-alexbrush                    	       1        0        0        0        1
47310 fonts-gr-framework                 	       1        0        0        0        1
47311 fonts-londrinaoutline              	       1        0        0        0        1
47312 fonts-nerd-font-bitstreamverasansmono	       1        0        0        0        1
47313 fonts-nerd-font-cousine            	       1        0        1        0        0
47314 fonts-nerd-font-hack               	       1        0        0        0        1
47315 fonts-nerd-font-meslo              	       1        0        0        0        1
47316 fonts-nerd-font-mononoki           	       1        0        0        0        1
47317 fonts-nerd-font-robotomono         	       1        0        0        0        1
47318 fonts-nerd-font-sourcecodepro      	       1        0        0        0        1
47319 fonts-schraubenkiste               	       1        0        0        0        1
47320 fonts-texmacs                      	       1        0        0        0        1
47321 fonts-ttf-decoratives              	       1        0        0        0        1
47322 fonts-ttf-west-european            	       1        0        0        0        1
47323 fonts-twemoji-svginot              	       1        0        1        0        0
47324 fonts-type1-cyrillic               	       1        0        0        0        1
47325 fonts-ubuntu-font-family-console   	       1        0        0        0        1
47326 fonts-unifrakturcook               	       1        0        0        0        1
47327 fonts-unifrakturmaguntia           	       1        0        0        0        1
47328 fonttools-eexecop                  	       1        0        1        0        0
47329 fonty                              	       1        0        0        0        1
47330 foo-yc20                           	       1        0        1        0        0
47331 foobillard                         	       1        0        1        0        0
47332 fookb-wmaker                       	       1        0        0        0        1
47333 foomatic-filters-ppds              	       1        0        0        0        1
47334 foomatic-gui                       	       1        0        1        0        0
47335 fopnu                              	       1        0        1        0        0
47336 forge-doc                          	       1        0        0        0        1
47337 forticlient-sslvpn                 	       1        0        0        0        1
47338 fortune-zh                         	       1        0        1        0        0
47339 fortunes-americanpie               	       1        0        0        0        1
47340 fortunes-beastwars                 	       1        0        0        0        1
47341 fortunes-calvin                    	       1        0        0        0        1
47342 fortunes-ccs                       	       1        0        0        0        1
47343 fortunes-cs                        	       1        0        0        0        1
47344 fortunes-devuan-quotes             	       1        0        0        0        1
47345 fortunes-discworld                 	       1        0        0        0        1
47346 fortunes-dragonball                	       1        0        0        0        1
47347 fortunes-ens                       	       1        0        0        0        1
47348 fortunes-eo                        	       1        0        0        0        1
47349 fortunes-eo-ascii                  	       1        0        0        0        1
47350 fortunes-eo-iso3                   	       1        0        0        0        1
47351 fortunes-fgump                     	       1        0        0        0        1
47352 fortunes-futurama                  	       1        0        0        0        1
47353 fortunes-ga                        	       1        0        0        0        1
47354 fortunes-hayward                   	       1        0        0        0        1
47355 fortunes-hitchhiker                	       1        0        0        0        1
47356 fortunes-it-brianza                	       1        0        0        0        1
47357 fortunes-kernelcookies             	       1        0        0        0        1
47358 fortunes-liberty                   	       1        0        0        0        1
47359 fortunes-matrix                    	       1        0        0        0        1
47360 fortunes-opensources               	       1        0        0        0        1
47361 fortunes-outlawstar                	       1        0        0        0        1
47362 fortunes-pooh                      	       1        0        0        0        1
47363 fortunes-programming-style         	       1        0        0        0        1
47364 fortunes-simpsons                  	       1        0        0        0        1
47365 fortunes-simpsons-chalkboard       	       1        0        0        0        1
47366 fortunes-simpsons-comicbookguy     	       1        0        0        0        1
47367 fortunes-simpsons-ralph            	       1        0        0        0        1
47368 fortunes-southpark                 	       1        0        0        0        1
47369 fortunes-starwars                  	       1        0        0        0        1
47370 fortunes-stephenwright             	       1        0        0        0        1
47371 fortunes-tao                       	       1        0        0        0        1
47372 fortunes-tenchi                    	       1        0        0        0        1
47373 fortunes-xfiles                    	       1        0        0        0        1
47374 fortunes-xian-koans                	       1        0        0        0        1
47375 fortunes-zh                        	       1        0        1        0        0
47376 fp-docs-2.6.0                      	       1        0        0        0        1
47377 fp-ide-2.6.4                       	       1        0        1        0        0
47378 fp-units-db-2.6.4                  	       1        0        1        0        0
47379 fp-units-fcl-2.6.4                 	       1        0        0        0        1
47380 fp-units-fv-2.6.4                  	       1        0        1        0        0
47381 fp-units-gtk2-2.6.4                	       1        0        1        0        0
47382 fp-units-math-2.6.4                	       1        0        1        0        0
47383 fp-units-misc-2.6.4                	       1        0        1        0        0
47384 fp-units-net-2.6.4                 	       1        0        1        0        0
47385 fp-units-win-base                  	       1        0        0        0        1
47386 fp-units-win-base-3.2.2            	       1        0        0        0        1
47387 fp-units-win-db                    	       1        0        0        0        1
47388 fp-units-win-db-3.2.2              	       1        0        0        0        1
47389 fp-units-win-fcl                   	       1        0        0        0        1
47390 fp-units-win-fcl-3.2.2             	       1        0        0        0        1
47391 fp-units-win-fv                    	       1        0        0        0        1
47392 fp-units-win-fv-3.2.2              	       1        0        0        0        1
47393 fp-units-win-gfx                   	       1        0        0        0        1
47394 fp-units-win-gfx-3.2.2             	       1        0        0        0        1
47395 fp-units-win-gtk2                  	       1        0        0        0        1
47396 fp-units-win-gtk2-3.2.2            	       1        0        0        0        1
47397 fp-units-win-math                  	       1        0        0        0        1
47398 fp-units-win-math-3.2.2            	       1        0        0        0        1
47399 fp-units-win-misc                  	       1        0        0        0        1
47400 fp-units-win-misc-3.2.2            	       1        0        0        0        1
47401 fp-units-win-multimedia            	       1        0        0        0        1
47402 fp-units-win-multimedia-3.2.2      	       1        0        0        0        1
47403 fp-units-win-net                   	       1        0        0        0        1
47404 fp-units-win-net-3.2.2             	       1        0        0        0        1
47405 fp-units-win-rtl                   	       1        0        0        0        1
47406 fp-units-win-rtl-3.2.2             	       1        0        0        0        1
47407 fp-units-win-wasm                  	       1        0        0        0        1
47408 fp-units-win-wasm-3.2.2            	       1        0        0        0        1
47409 fpa-admin-utils                    	       1        0        1        0        0
47410 fpa-apt-listchanges                	       1        0        0        0        1
47411 fpa-autostart-x                    	       1        0        0        0        1
47412 fpa-chromedriver                   	       1        0        0        0        1
47413 fpa-chromium-sandboxed             	       1        0        0        0        1
47414 fpa-config-hblock                  	       1        0        1        0        0
47415 fpa-desktop-common                 	       1        0        0        0        1
47416 fpa-dev-debianbuild                	       1        0        1        0        0
47417 fpa-fuzzy-tools                    	       1        0        1        0        0
47418 fpa-iptables-config-doc            	       1        0        0        0        1
47419 fpa-ksounds                        	       1        0        1        0        0
47420 fpa-ksounds-files                  	       1        0        0        0        1
47421 fpa-meta-admin                     	       1        0        0        0        1
47422 fpa-mountiso                       	       1        0        1        0        0
47423 fpa-mp3info-python                 	       1        0        1        0        0
47424 fpa-mp3tools                       	       1        0        0        0        1
47425 fpa-nextcloud-kdocs-backup         	       1        0        1        0        0
47426 fpa-noip                           	       1        0        1        0        0
47427 fpa-pkg                            	       1        0        0        1        0
47428 fpa-python-modules                 	       1        0        1        0        0
47429 fpa-repo-devuan-backports          	       1        0        0        0        1
47430 fpa-repo-devuan-chimaera           	       1        0        0        0        1
47431 fpa-repo-elasticsearch             	       1        0        0        0        1
47432 fpa-repo-owncloud                  	       1        0        0        0        1
47433 fpa-scanner-scripts                	       1        0        1        0        0
47434 fpa-scrape-python                  	       1        0        1        0        0
47435 fpa-test                           	       1        0        0        1        0
47436 fpa-wgetpaste                      	       1        0        1        0        0
47437 fpga-trellis                       	       1        0        1        0        0
47438 fpga-trellis-database              	       1        0        0        0        1
47439 fplll-tools                        	       1        0        1        0        0
47440 fprintd-tk                         	       1        0        1        0        0
47441 fpzip-utils                        	       1        0        1        0        0
47442 fqterm                             	       1        0        0        1        0
47443 fred                               	       1        0        1        0        0
47444 fred-reports                       	       1        0        0        0        1
47445 freeciv-client-gtk4                	       1        0        0        1        0
47446 freeciv21                          	       1        0        1        0        0
47447 freecontact                        	       1        0        1        0        0
47448 freedesktop-sound-theme            	       1        0        0        0        1
47449 freedict-tools                     	       1        0        0        0        1
47450 freedombox-doc-en                  	       1        0        0        0        1
47451 freedownloadmanager                	       1        0        1        0        0
47452 freedup                            	       1        0        1        0        0
47453 freefem3d                          	       1        0        1        0        0
47454 freefilesync-build-deps            	       1        0        0        0        1
47455 freefont                           	       1        1        0        0        0
47456 freeglut-build-deps                	       1        0        0        0        1
47457 freeglut3-dbgsym                   	       1        0        1        0        0
47458 freeguide                          	       1        0        1        0        0
47459 freeipa-client-epn                 	       1        0        1        0        0
47460 freeipa-client-samba               	       1        0        1        0        0
47461 freeipa-healthcheck                	       1        0        1        0        0
47462 freelan                            	       1        0        1        0        0
47463 freelib                            	       1        0        1        0        0
47464 freemat-help                       	       1        0        0        0        1
47465 freeme2                            	       1        0        1        0        0
47466 freemind-plugins-svg               	       1        0        0        0        1
47467 freenect                           	       1        0        0        0        1
47468 freenx                             	       1        0        0        0        1
47469 freenx-media                       	       1        0        1        0        0
47470 freenx-rdp                         	       1        0        0        0        1
47471 freenx-server                      	       1        0        1        0        0
47472 freenx-session-launcher            	       1        0        1        0        0
47473 freenx-vnc                         	       1        0        0        0        1
47474 freeorion-build-deps               	       1        0        0        0        1
47475 freeorion-dbgsym                   	       1        0        1        0        0
47476 freeradius-dhcp                    	       1        0        1        0        0
47477 freeradius-mysql                   	       1        1        0        0        0
47478 freerct                            	       1        0        1        0        0
47479 freerdp-nightly                    	       1        0        1        0        0
47480 freerdp3-dev                       	       1        0        0        1        0
47481 freerdp3-sdl                       	       1        0        0        1        0
47482 freerdp3-shadow-x11                	       1        0        0        1        0
47483 freesci                            	       1        0        1        0        0
47484 freesh-keyring                     	       1        0        0        0        1
47485 freeship                           	       1        1        0        0        0
47486 freeswitch                         	       1        0        1        0        0
47487 freeswitch-conf-curl               	       1        0        0        0        1
47488 freeswitch-conf-insideout          	       1        0        0        0        1
47489 freeswitch-conf-sbc                	       1        0        0        0        1
47490 freeswitch-conf-softphone          	       1        0        0        0        1
47491 freeswitch-dbg                     	       1        0        1        0        0
47492 freeswitch-doc                     	       1        0        0        0        1
47493 freeswitch-lang-de                 	       1        0        0        0        1
47494 freeswitch-lang-es                 	       1        0        0        0        1
47495 freeswitch-lang-fr                 	       1        0        0        0        1
47496 freeswitch-lang-he                 	       1        0        0        0        1
47497 freeswitch-lang-pt                 	       1        0        0        0        1
47498 freeswitch-lang-ru                 	       1        0        0        0        1
47499 freeswitch-meta-bare               	       1        0        0        0        1
47500 freeswitch-meta-codecs             	       1        0        0        0        1
47501 freeswitch-meta-conf               	       1        0        0        0        1
47502 freeswitch-meta-lang               	       1        0        0        0        1
47503 freeswitch-meta-mod-say            	       1        0        0        0        1
47504 freeswitch-mod-amr                 	       1        0        1        0        0
47505 freeswitch-mod-amrwb               	       1        0        1        0        0
47506 freeswitch-mod-b64                 	       1        0        1        0        0
47507 freeswitch-mod-bv                  	       1        0        1        0        0
47508 freeswitch-mod-callcenter          	       1        0        1        0        0
47509 freeswitch-mod-cdr-csv             	       1        0        1        0        0
47510 freeswitch-mod-cidlookup           	       1        0        1        0        0
47511 freeswitch-mod-codec2              	       1        0        1        0        0
47512 freeswitch-mod-commands            	       1        0        1        0        0
47513 freeswitch-mod-conference          	       1        0        1        0        0
47514 freeswitch-mod-console             	       1        0        1        0        0
47515 freeswitch-mod-dahdi-codec         	       1        0        1        0        0
47516 freeswitch-mod-db                  	       1        0        1        0        0
47517 freeswitch-mod-dialplan-xml        	       1        0        1        0        0
47518 freeswitch-mod-directory           	       1        0        1        0        0
47519 freeswitch-mod-distributor         	       1        0        1        0        0
47520 freeswitch-mod-dptools             	       1        0        1        0        0
47521 freeswitch-mod-enum                	       1        0        1        0        0
47522 freeswitch-mod-esf                 	       1        0        1        0        0
47523 freeswitch-mod-esl                 	       1        0        1        0        0
47524 freeswitch-mod-event-socket        	       1        0        1        0        0
47525 freeswitch-mod-expr                	       1        0        1        0        0
47526 freeswitch-mod-fifo                	       1        0        1        0        0
47527 freeswitch-mod-flite               	       1        0        1        0        0
47528 freeswitch-mod-fsv                 	       1        0        1        0        0
47529 freeswitch-mod-g723-1              	       1        0        1        0        0
47530 freeswitch-mod-g729                	       1        0        1        0        0
47531 freeswitch-mod-h26x                	       1        0        1        0        0
47532 freeswitch-mod-hash                	       1        0        1        0        0
47533 freeswitch-mod-httapi              	       1        0        1        0        0
47534 freeswitch-mod-isac                	       1        0        1        0        0
47535 freeswitch-mod-json-cdr            	       1        0        1        0        0
47536 freeswitch-mod-local-stream        	       1        0        1        0        0
47537 freeswitch-mod-logfile             	       1        0        1        0        0
47538 freeswitch-mod-loopback            	       1        0        1        0        0
47539 freeswitch-mod-lua                 	       1        0        1        0        0
47540 freeswitch-mod-memcache            	       1        0        1        0        0
47541 freeswitch-mod-mp4v                	       1        0        1        0        0
47542 freeswitch-mod-native-file         	       1        0        1        0        0
47543 freeswitch-mod-opus                	       1        0        1        0        0
47544 freeswitch-mod-png                 	       1        0        1        0        0
47545 freeswitch-mod-rtc                 	       1        0        1        0        0
47546 freeswitch-mod-say-de              	       1        0        1        0        0
47547 freeswitch-mod-say-en              	       1        0        1        0        0
47548 freeswitch-mod-say-es              	       1        0        1        0        0
47549 freeswitch-mod-say-fa              	       1        0        1        0        0
47550 freeswitch-mod-say-fr              	       1        0        1        0        0
47551 freeswitch-mod-say-he              	       1        0        1        0        0
47552 freeswitch-mod-say-hr              	       1        0        1        0        0
47553 freeswitch-mod-say-hu              	       1        0        1        0        0
47554 freeswitch-mod-say-it              	       1        0        1        0        0
47555 freeswitch-mod-say-ja              	       1        0        1        0        0
47556 freeswitch-mod-say-nl              	       1        0        1        0        0
47557 freeswitch-mod-say-pl              	       1        0        1        0        0
47558 freeswitch-mod-say-pt              	       1        0        1        0        0
47559 freeswitch-mod-say-ru              	       1        0        1        0        0
47560 freeswitch-mod-say-th              	       1        0        1        0        0
47561 freeswitch-mod-say-zh              	       1        0        1        0        0
47562 freeswitch-mod-shout               	       1        0        1        0        0
47563 freeswitch-mod-silk                	       1        0        1        0        0
47564 freeswitch-mod-skypopen            	       1        0        1        0        0
47565 freeswitch-mod-skypopen-dbg        	       1        0        1        0        0
47566 freeswitch-mod-sms                 	       1        0        1        0        0
47567 freeswitch-mod-sms-dbg             	       1        0        1        0        0
47568 freeswitch-mod-sndfile             	       1        0        1        0        0
47569 freeswitch-mod-sofia               	       1        0        1        0        0
47570 freeswitch-mod-sofia-dbg           	       1        0        1        0        0
47571 freeswitch-mod-spandsp             	       1        0        1        0        0
47572 freeswitch-mod-theora              	       1        0        1        0        0
47573 freeswitch-mod-tone-stream         	       1        0        1        0        0
47574 freeswitch-mod-tts-commandline     	       1        0        1        0        0
47575 freeswitch-mod-valet-parking       	       1        0        1        0        0
47576 freeswitch-mod-verto               	       1        0        1        0        0
47577 freeswitch-mod-xml-cdr             	       1        0        1        0        0
47578 freeswitch-music-default           	       1        0        0        0        1
47579 freetalk                           	       1        0        1        0        0
47580 freetype-build-deps                	       1        0        0        0        1
47581 freewheeling                       	       1        0        1        0        0
47582 freexian-archive-keyring           	       1        0        0        0        1
47583 frei0r-plugins-doc                 	       1        0        0        0        1
47584 fricas                             	       1        0        1        0        0
47585 fricas-databases                   	       1        0        0        0        1
47586 fricas-doc                         	       1        0        0        0        1
47587 fricas-graphics                    	       1        0        0        0        1
47588 fricas-graphics-data               	       1        0        0        0        1
47589 fricas-hypertex                    	       1        0        0        0        1
47590 fricas-hypertex-data               	       1        0        0        0        1
47591 fricas-source                      	       1        0        0        0        1
47592 fricas-test                        	       1        0        1        0        0
47593 frickelplatz-archive-keyring       	       1        0        0        0        1
47594 frickelplatz-keyring               	       1        0        0        0        1
47595 frickelplatz-keyrings              	       1        0        0        0        1
47596 frobby                             	       1        0        1        0        0
47597 frogatto-build-deps                	       1        0        0        0        1
47598 frogatto-dbgsym                    	       1        0        1        0        0
47599 frogdata                           	       1        0        1        0        0
47600 frogr                              	       1        0        1        0        0
47601 frogr-data                         	       1        0        0        0        1
47602 frontdesign                        	       1        0        1        0        0
47603 frown                              	       1        0        1        0        0
47604 frown-doc                          	       1        0        0        0        1
47605 froxlor                            	       1        0        1        0        0
47606 frr-doc                            	       1        0        0        0        1
47607 frr-snmp                           	       1        1        0        0        0
47608 fs-uae-netplay-server              	       1        0        1        0        0
47609 fso-config-general                 	       1        0        0        0        1
47610 fso-datad                          	       1        1        0        0        0
47611 fso-deviced-player-gstreamer       	       1        0        0        0        1
47612 fso-frameworkd                     	       1        0        1        0        0
47613 fso-gsm0710muxd                    	       1        0        1        0        0
47614 fso-sounds-yue-base                	       1        0        0        0        1
47615 fsp                                	       1        0        1        0        0
47616 fssync                             	       1        0        1        0        0
47617 fstrcmp-doc                        	       1        0        0        0        1
47618 ft2-clone                          	       1        0        1        0        0
47619 ftgl-dev                           	       1        0        0        0        1
47620 ftp-proxy                          	       1        0        1        0        0
47621 ftpmirror                          	       1        0        1        0        0
47622 ftpwatch                           	       1        0        1        0        0
47623 ftxui                              	       1        0        1        0        0
47624 fusecompress                       	       1        0        1        0        0
47625 fusiondirectory-plugin-mail        	       1        0        0        0        1
47626 fusiondirectory-plugin-mail-schema 	       1        0        0        0        1
47627 fusioninventory-agent-task-deploy  	       1        0        1        0        0
47628 fusioninventory-agent-task-esx     	       1        0        1        0        0
47629 fusioninventory-agent-task-network 	       1        0        1        0        0
47630 futatabi                           	       1        0        1        0        0
47631 fw4spl                             	       1        0        1        0        0
47632 fweb-doc                           	       1        0        0        0        1
47633 fwlogwatch                         	       1        0        1        0        0
47634 fwupd-arm64-signed                 	       1        0        0        0        1
47635 fwupd-armhf-signed                 	       1        0        0        0        1
47636 fwupd-tests                        	       1        0        0        0        1
47637 fwupdate-amd64-signed              	       1        1        0        0        0
47638 fx-cast-bridge                     	       1        0        1        0        0
47639 fyremc-kliens                      	       1        0        1        0        0
47640 g++-10-arm-linux-gnueabi           	       1        0        1        0        0
47641 g++-10-arm-linux-gnueabihf         	       1        0        1        0        0
47642 g++-10-multilib-powerpc64-linux-gnu	       1        0        0        0        1
47643 g++-10-powerpc64-linux-gnu         	       1        0        1        0        0
47644 g++-10-riscv64-linux-gnu           	       1        0        1        0        0
47645 g++-11-arm-linux-gnueabi           	       1        0        1        0        0
47646 g++-11-arm-linux-gnueabihf         	       1        0        1        0        0
47647 g++-12-multilib-mips-linux-gnu     	       1        0        0        0        1
47648 g++-12-multilib-mipsel-linux-gnu   	       1        0        0        0        1
47649 g++-12-multilib-x86-64-linux-gnux32	       1        0        0        0        1
47650 g++-12-x86-64-linux-gnux32         	       1        0        1        0        0
47651 g++-13-aarch64-linux-gnu           	       1        0        1        0        0
47652 g++-14-riscv64-linux-gnu           	       1        0        1        0        0
47653 g++-15                             	       1        0        1        0        0
47654 g++-15-x86-64-linux-gnu            	       1        0        1        0        0
47655 g++-3.3                            	       1        0        1        0        0
47656 g++-4.6                            	       1        0        1        0        0
47657 g++-4.7                            	       1        0        1        0        0
47658 g++-5                              	       1        0        1        0        0
47659 g++-8-i686-linux-gnu               	       1        0        1        0        0
47660 g++-8-mips-linux-gnu               	       1        0        1        0        0
47661 g++-8-mips64el-linux-gnuabi64      	       1        0        1        0        0
47662 g++-8-mipsel-linux-gnu             	       1        0        1        0        0
47663 g++-mips64el-linux-gnuabi64        	       1        0        1        0        0
47664 g++-riscv64-linux-gnu              	       1        0        1        0        0
47665 g-wrap                             	       1        0        1        0        0
47666 g10k                               	       1        0        1        0        0
47667 g3data                             	       1        0        1        0        0
47668 g77-2.95-doc                       	       1        0        0        0        1
47669 gabedit                            	       1        0        1        0        0
47670 gadmin-rsync                       	       1        0        1        0        0
47671 gaiasky                            	       1        0        0        0        1
47672 gajim-dev-keyring                  	       1        0        0        0        1
47673 galeon                             	       1        0        1        0        0
47674 galeon-common                      	       1        0        1        0        0
47675 galileopress-actionscript          	       1        0        1        0        0
47676 galileopress-c                     	       1        0        1        0        0
47677 galileopress-csharp                	       1        0        1        0        0
47678 galileopress-java2                 	       1        0        1        0        0
47679 galileopress-javainsel             	       1        0        1        0        0
47680 galileopress-javascript            	       1        0        1        0        0
47681 galileopress-kit                   	       1        0        1        0        0
47682 galileopress-knoppix               	       1        0        1        0        0
47683 galileopress-pcnetzwerke           	       1        0        1        0        0
47684 galileopress-php4                  	       1        0        1        0        0
47685 galileopress-ubuntu                	       1        0        1        0        0
47686 galileopress-unix                  	       1        0        1        0        0
47687 gallery                            	       1        1        0        0        0
47688 gallery3                           	       1        0        1        0        0
47689 galrey                             	       1        0        1        0        0
47690 gama                               	       1        0        1        0        0
47691 gambas2-gb-compress                	       1        0        1        0        0
47692 gambas2-gb-compress-bzlib2         	       1        0        1        0        0
47693 gambas2-gb-compress-zlib           	       1        0        1        0        0
47694 gambas2-gb-db                      	       1        0        1        0        0
47695 gambas2-gb-db-postgresql           	       1        0        1        0        0
47696 gambas2-gb-form                    	       1        0        1        0        0
47697 gambas2-gb-gtk                     	       1        0        1        0        0
47698 gambas2-gb-gui                     	       1        0        1        0        0
47699 gambas2-gb-pdf                     	       1        0        1        0        0
47700 gambas2-gb-qt                      	       1        0        1        0        0
47701 gambas2-gb-qt-ext                  	       1        0        1        0        0
47702 gambas2-gb-qt-kde                  	       1        0        1        0        0
47703 gambas2-gb-qt-kde-html             	       1        0        1        0        0
47704 gambas2-gb-settings                	       1        0        1        0        0
47705 gambas2-gb-xml                     	       1        0        1        0        0
47706 gambas2-runtime                    	       1        0        1        0        0
47707 gambas3-dev-tools                  	       1        0        0        1        0
47708 gambas3-gb-db-sqlite2              	       1        0        1        0        0
47709 gambas3-gb-desktop-gnome-keyring   	       1        0        1        0        0
47710 gambas3-gb-gui-qt                  	       1        0        0        0        1
47711 gambas3-gb-gui-qt-webkit           	       1        0        0        0        1
47712 gambas3-gb-gui-trayicon            	       1        0        0        0        1
47713 gambas3-gb-qt4                     	       1        0        1        0        0
47714 gambas3-gb-qt5-wayland             	       1        0        1        0        0
47715 gambas3-gb-qt6-wayland             	       1        0        0        1        0
47716 gambas3-gb-qt6-x11                 	       1        0        0        1        0
47717 gambas3-gui                        	       1        0        0        0        1
47718 gambas3-gui-opengl                 	       1        0        0        0        1
47719 gambas3-gui-webview                	       1        0        0        0        1
47720 gambas3-templates                  	       1        0        0        0        1
47721 game-data-packager-build-deps      	       1        0        0        0        1
47722 gameclock                          	       1        0        1        0        0
47723 gamedevtycoon                      	       1        0        0        0        1
47724 gamemaker-beta                     	       1        0        1        0        0
47725 games-c++-dev                      	       1        0        0        0        1
47726 games-content-dev                  	       1        0        0        0        1
47727 games-finest-light                 	       1        0        0        0        1
47728 games-platform                     	       1        0        0        0        1
47729 gaminggear-utils                   	       1        0        1        0        0
47730 gammaray                           	       1        0        0        1        0
47731 gammaray-dev                       	       1        0        0        1        0
47732 gammaray-plugin-bluetooth          	       1        0        0        0        1
47733 gammaray-plugin-positioning        	       1        0        0        0        1
47734 gammaray-plugin-quickinspector     	       1        0        0        0        1
47735 gandi-cli                          	       1        0        1        0        0
47736 ganeti                             	       1        0        1        0        0
47737 ganeti-3.0                         	       1        0        1        0        0
47738 ganeti-haskell-3.0                 	       1        0        1        0        0
47739 ganeti-htools-3.0                  	       1        0        1        0        0
47740 ganeti-testsuite                   	       1        0        0        0        1
47741 ganglia-modules-linux              	       1        0        1        0        0
47742 ganglia-webfrontend                	       1        0        1        0        0
47743 gant                               	       1        0        1        0        0
47744 gap-float                          	       1        0        0        0        1
47745 gap-grape                          	       1        0        0        0        1
47746 gap-guava                          	       1        0        0        0        1
47747 gap-guava-bin                      	       1        0        1        0        0
47748 gap-openmath                       	       1        0        0        0        1
47749 gapcmon                            	       1        0        1        0        0
47750 gappletviewer-4.3                  	       1        0        1        0        0
47751 garcon-build-deps                  	       1        0        0        0        1
47752 garli                              	       1        0        1        0        0
47753 gatling                            	       1        0        1        0        0
47754 gato                               	       1        0        1        0        0
47755 gatos                              	       1        0        1        0        0
47756 gatotray                           	       1        0        1        0        0
47757 gauche                             	       1        0        1        0        0
47758 gc                                 	       1        0        1        0        0
47759 gcad3d                             	       1        0        1        0        0
47760 gcalctool                          	       1        0        1        0        0
47761 gcap                               	       1        0        1        0        0
47762 gcc-10-alpha-linux-gnu             	       1        0        1        0        0
47763 gcc-10-alpha-linux-gnu-base        	       1        0        0        0        1
47764 gcc-10-dbgsym                      	       1        0        1        0        0
47765 gcc-10-hppa-linux-gnu              	       1        0        1        0        0
47766 gcc-10-hppa64-linux-gnu            	       1        0        1        0        0
47767 gcc-10-mipsel-linux-gnu            	       1        0        1        0        0
47768 gcc-10-mipsel-linux-gnu-base       	       1        0        0        0        1
47769 gcc-10-multilib-powerpc64-linux-gnu	       1        0        0        0        1
47770 gcc-10-powerpc-linux-gnu           	       1        0        1        0        0
47771 gcc-10-powerpc-linux-gnu-base      	       1        0        0        0        1
47772 gcc-10-s390x-linux-gnu             	       1        0        1        0        0
47773 gcc-10-s390x-linux-gnu-base        	       1        0        0        0        1
47774 gcc-10-sparc64-linux-gnu           	       1        0        1        0        0
47775 gcc-10-sparc64-linux-gnu-base      	       1        0        0        0        1
47776 gcc-11-arm-linux-gnueabi           	       1        0        1        0        0
47777 gcc-11-arm-linux-gnueabi-base      	       1        0        0        0        1
47778 gcc-11-arm-linux-gnueabihf         	       1        0        1        0        0
47779 gcc-11-arm-linux-gnueabihf-base    	       1        0        0        0        1
47780 gcc-11-i686-linux-gnu              	       1        0        1        0        0
47781 gcc-11-i686-linux-gnu-base         	       1        0        0        0        1
47782 gcc-11-multilib-i686-linux-gnu     	       1        0        0        0        1
47783 gcc-11-source                      	       1        0        0        0        1
47784 gcc-12-alpha-linux-gnu             	       1        0        1        0        0
47785 gcc-12-alpha-linux-gnu-base        	       1        0        0        0        1
47786 gcc-12-hppa-linux-gnu              	       1        0        1        0        0
47787 gcc-12-hppa-linux-gnu-base         	       1        0        0        0        1
47788 gcc-12-m68k-linux-gnu              	       1        0        1        0        0
47789 gcc-12-m68k-linux-gnu-base         	       1        0        0        0        1
47790 gcc-12-mips64-linux-gnuabi64       	       1        0        1        0        0
47791 gcc-12-mips64-linux-gnuabi64-base  	       1        0        0        0        1
47792 gcc-12-multilib-mips-linux-gnu     	       1        0        0        0        1
47793 gcc-12-multilib-mipsel-linux-gnu   	       1        0        0        0        1
47794 gcc-12-offload-nvptx               	       1        1        0        0        0
47795 gcc-12-plugin-dev                  	       1        0        0        0        1
47796 gcc-12-plugin-dev-aarch64-linux-gnu	       1        0        0        0        1
47797 gcc-12-powerpc64-linux-gnu         	       1        0        1        0        0
47798 gcc-12-powerpc64-linux-gnu-base    	       1        0        0        0        1
47799 gcc-12-sparc64-linux-gnu           	       1        0        1        0        0
47800 gcc-12-sparc64-linux-gnu-base      	       1        0        0        0        1
47801 gcc-13-aarch64-linux-gnu           	       1        0        1        0        0
47802 gcc-13-aarch64-linux-gnu-base      	       1        0        0        0        1
47803 gcc-13-powerpc64le-linux-gnu       	       1        0        1        0        0
47804 gcc-13-powerpc64le-linux-gnu-base  	       1        0        0        0        1
47805 gcc-13-riscv64-linux-gnu           	       1        0        1        0        0
47806 gcc-13-source                      	       1        0        0        0        1
47807 gcc-13-test-results                	       1        0        0        0        1
47808 gcc-14-alpha-linux-gnu             	       1        0        1        0        0
47809 gcc-14-alpha-linux-gnu-base        	       1        0        0        0        1
47810 gcc-14-for-build                   	       1        0        0        0        1
47811 gcc-14-hppa-linux-gnu              	       1        0        1        0        0
47812 gcc-14-hppa-linux-gnu-base         	       1        0        0        0        1
47813 gcc-14-multilib-i686-linux-gnu     	       1        0        0        0        1
47814 gcc-14-plugin-dev                  	       1        0        0        0        1
47815 gcc-14-powerpc64le-linux-gnu       	       1        0        1        0        0
47816 gcc-14-powerpc64le-linux-gnu-base  	       1        0        0        0        1
47817 gcc-14-s390x-linux-gnu             	       1        0        1        0        0
47818 gcc-14-s390x-linux-gnu-base        	       1        0        0        0        1
47819 gcc-14-source                      	       1        0        0        0        1
47820 gcc-14-sparc64-linux-gnu           	       1        0        1        0        0
47821 gcc-14-sparc64-linux-gnu-base      	       1        0        0        0        1
47822 gcc-14-test-results                	       1        0        0        0        1
47823 gcc-14-x86-64-linux-gnu-base       	       1        0        0        0        1
47824 gcc-3.4-base                       	       1        0        0        0        1
47825 gcc-4.0-base                       	       1        0        0        0        1
47826 gcc-4.0-doc                        	       1        0        0        0        1
47827 gcc-4.1-locales                    	       1        0        0        0        1
47828 gcc-4.3                            	       1        0        1        0        0
47829 gcc-4.3-arm-linux-gnueabi          	       1        0        1        0        0
47830 gcc-4.3-arm-linux-gnueabi-base     	       1        0        0        0        1
47831 gcc-4.3-locales                    	       1        0        0        0        1
47832 gcc-4.4-locales                    	       1        0        0        0        1
47833 gcc-4.5                            	       1        0        1        0        0
47834 gcc-4.6-locales                    	       1        0        0        0        1
47835 gcc-4.6-multilib                   	       1        0        0        0        1
47836 gcc-4.7-locales                    	       1        0        0        0        1
47837 gcc-4.7-multilib                   	       1        0        0        0        1
47838 gcc-4.8-doc                        	       1        0        0        0        1
47839 gcc-4.8-locales                    	       1        0        0        0        1
47840 gcc-4.8-plugin-dev                 	       1        0        0        0        1
47841 gcc-4.9-plugin-dev                 	       1        0        0        0        1
47842 gcc-6-arm-linux-gnueabihf          	       1        0        1        0        0
47843 gcc-6-arm-linux-gnueabihf-base     	       1        0        0        0        1
47844 gcc-7-doc                          	       1        0        0        0        1
47845 gcc-7-locales                      	       1        0        0        0        1
47846 gcc-7-source                       	       1        0        0        0        1
47847 gcc-8-locales                      	       1        0        0        0        1
47848 gcc-8-mips-linux-gnu               	       1        0        1        0        0
47849 gcc-8-mips-linux-gnu-base          	       1        0        0        0        1
47850 gcc-8-mips64el-linux-gnuabi64      	       1        0        1        0        0
47851 gcc-8-mips64el-linux-gnuabi64-base 	       1        0        0        0        1
47852 gcc-8-mipsel-linux-gnu             	       1        0        1        0        0
47853 gcc-8-mipsel-linux-gnu-base        	       1        0        0        0        1
47854 gcc-8-multilib-i686-linux-gnu      	       1        0        0        0        1
47855 gcc-8-multilib-x86-64-linux-gnux32 	       1        0        0        0        1
47856 gcc-8-source                       	       1        0        0        0        1
47857 gcc-9-aarch64-linux-gnu            	       1        0        1        0        0
47858 gcc-9-aarch64-linux-gnu-base       	       1        0        0        0        1
47859 gcc-9-arm-linux-gnueabi            	       1        0        1        0        0
47860 gcc-9-arm-linux-gnueabi-base       	       1        0        0        0        1
47861 gcc-9-build-deps                   	       1        0        0        0        1
47862 gcc-9-cross-base-ports             	       1        0        0        0        1
47863 gcc-arm-none-eabi-dbgsym           	       1        0        1        0        0
47864 gcc-arm-none-eabi-source           	       1        0        0        0        1
47865 gcc-for-build                      	       1        0        0        0        1
47866 gcc-ia16-elf                       	       1        0        1        0        0
47867 gcc-m68k-linux-gnu                 	       1        0        1        0        0
47868 gcc-mips64-linux-gnuabi64          	       1        0        1        0        0
47869 gcc-mips64el-linux-gnuabi64        	       1        0        1        0        0
47870 gcc-multilib-x86-64-linux-gnux32   	       1        0        0        0        1
47871 gcc-offload-nvptx                  	       1        1        0        0        0
47872 gcc-or1k-elf                       	       1        0        1        0        0
47873 gcc-powerpc64le-linux-gnu          	       1        0        1        0        0
47874 gcc-x86-64-linux-gnux32            	       1        0        1        0        0
47875 gcc-xtensa-lx106                   	       1        0        1        0        0
47876 gccgo-10-doc                       	       1        0        0        0        1
47877 gccgo-11-i686-linux-gnu            	       1        0        1        0        0
47878 gccgo-11-multilib-i686-linux-gnu   	       1        0        0        0        1
47879 gccgo-13                           	       1        0        1        0        0
47880 gccgo-14-doc                       	       1        0        0        0        1
47881 gccgo-6                            	       1        0        1        0        0
47882 gccgo-6-doc                        	       1        0        0        0        1
47883 gccgo-8                            	       1        0        1        0        0
47884 gccgo-8-doc                        	       1        0        0        0        1
47885 gccgo-doc                          	       1        0        0        0        1
47886 gccgo-go                           	       1        0        1        0        0
47887 gccrs-14                           	       1        0        0        1        0
47888 gccrs-14-for-build                 	       1        0        0        0        1
47889 gccrs-14-x86-64-linux-gnu          	       1        0        0        1        0
47890 gcipher                            	       1        0        1        0        0
47891 gcj-4.0-base                       	       1        0        0        0        1
47892 gcj-4.3-base                       	       1        0        0        0        1
47893 gcj-4.4-base                       	       1        0        0        0        1
47894 gcj-4.4-jre                        	       1        0        0        0        1
47895 gcj-4.4-jre-headless               	       1        0        1        0        0
47896 gcj-4.4-jre-lib                    	       1        0        0        0        1
47897 gcj-4.7-jre                        	       1        0        0        0        1
47898 gcj-4.8-jre-headless               	       1        0        1        0        0
47899 gcj-4.8-jre-lib                    	       1        0        0        0        1
47900 gcj-4.9                            	       1        0        1        0        0
47901 gcj-4.9-jdk                        	       1        0        1        0        0
47902 gcj-4.9-jre                        	       1        0        0        0        1
47903 gcj-4.9-source                     	       1        0        0        0        1
47904 gcj-6-doc                          	       1        0        0        0        1
47905 gcj-doc                            	       1        0        0        0        1
47906 gcobol-15                          	       1        0        1        0        0
47907 gcobol-15-x86-64-linux-gnu         	       1        0        1        0        0
47908 gcodeworkshop                      	       1        0        1        0        0
47909 gconf-cleaner                      	       1        0        1        0        0
47910 gcpegg                             	       1        1        0        0        0
47911 gcrontab                           	       1        0        1        0        0
47912 gcrystal                           	       1        0        1        0        0
47913 gcu-bin                            	       1        0        1        0        0
47914 gcx                                	       1        0        1        0        0
47915 gda2-postgres                      	       1        0        1        0        0
47916 gdb-bpf                            	       1        0        0        1        0
47917 gdc-10-aarch64-linux-gnu           	       1        0        1        0        0
47918 gdc-13                             	       1        0        1        0        0
47919 gdc-14                             	       1        0        1        0        0
47920 gdc-14-x86-64-linux-gnu            	       1        0        1        0        0
47921 gdc-aarch64-linux-gnu              	       1        0        1        0        0
47922 gdc-x86-64-linux-gnu               	       1        0        1        0        0
47923 gdcm-doc                           	       1        0        0        0        1
47924 gdevilspie                         	       1        0        1        0        0
47925 gdiskdump                          	       1        0        1        0        0
47926 gdk-imlib11                        	       1        0        1        0        0
47927 gdl-astrolib                       	       1        0        0        0        1
47928 gdl-mpfit                          	       1        0        0        0        1
47929 gdm                                	       1        0        1        0        0
47930 gdmd                               	       1        0        1        0        0
47931 gdpc                               	       1        0        1        0        0
47932 gds-tools-11-7                     	       1        0        1        0        0
47933 geany-kvirc                        	       1        0        1        0        0
47934 geany-lsp                          	       1        1        0        0        0
47935 gecko-mediaplayer                  	       1        0        1        0        0
47936 geiser                             	       1        0        0        0        1
47937 gem-plugin-glfw3                   	       1        0        1        0        0
47938 gem-plugin-mpeg3                   	       1        0        1        0        0
47939 gem-plugin-sdl                     	       1        0        1        0        0
47940 gem-plugin-tiff                    	       1        0        1        0        0
47941 gemrb                              	       1        0        1        0        0
47942 gemrb-data                         	       1        0        0        0        1
47943 gems                               	       1        1        0        0        0
47944 genisovh                           	       1        1        0        0        0
47945 genometools-common                 	       1        0        0        0        1
47946 genparse                           	       1        0        1        0        0
47947 geoclue-examples                   	       1        0        1        0        0
47948 geoeasy                            	       1        0        1        0        0
47949 geoeasy-doc                        	       1        0        0        0        1
47950 geogebra-kde                       	       1        0        1        0        0
47951 geole-keyring                      	       1        0        0        0        1
47952 geonkick                           	       1        0        1        0        0
47953 geophar                            	       1        0        1        0        0
47954 geos-bin                           	       1        0        1        0        0
47955 germinate                          	       1        1        0        0        0
47956 gertty                             	       1        0        1        0        0
47957 getdp                              	       1        0        1        0        0
47958 getenvoy-envoy                     	       1        0        1        0        0
47959 gethwake                           	       1        0        1        0        0
47960 getlibs                            	       1        0        1        0        0
47961 gettext-base-dbgsym                	       1        0        1        0        0
47962 gettext-build-deps                 	       1        0        0        0        1
47963 gettext-dbgsym                     	       1        0        1        0        0
47964 gettext-kde                        	       1        0        1        0        0
47965 gfax                               	       1        0        1        0        0
47966 gfontview                          	       1        0        1        0        0
47967 gfortran-12-multilib-x86-64-linux-gnux32	       1        0        0        0        1
47968 gfortran-12-x86-64-linux-gnux32    	       1        0        1        0        0
47969 gfortran-13-i686-linux-gnu         	       1        0        1        0        0
47970 gfortran-14-i686-linux-gnu         	       1        0        1        0        0
47971 gfortran-15                        	       1        0        1        0        0
47972 gfortran-15-x86-64-linux-gnu       	       1        0        1        0        0
47973 gfortran-4.3-doc                   	       1        0        0        0        1
47974 gfortran-4.4                       	       1        0        1        0        0
47975 gfortran-4.9-doc                   	       1        0        0        0        1
47976 gfortran-4.9-multilib              	       1        0        0        0        1
47977 gfortran-5                         	       1        0        1        0        0
47978 gfortran-6-multilib                	       1        0        0        0        1
47979 gfortran-8-multilib                	       1        0        0        0        1
47980 gfortran-9-doc                     	       1        0        0        0        1
47981 gfortran-i686-linux-gnu            	       1        0        1        0        0
47982 gfs2-utils                         	       1        0        1        0        0
47983 ggcov                              	       1        0        1        0        0
47984 ggz                                	       1        0        0        0        1
47985 ggz-game-servers                   	       1        0        1        0        0
47986 ggz-gnome-client                   	       1        0        1        0        0
47987 ggz-gtk-client                     	       1        0        1        0        0
47988 ggz-gtk-games                      	       1        0        1        0        0
47989 ggz-gtk-games-data                 	       1        0        0        0        1
47990 ggz-kde-games                      	       1        0        1        0        0
47991 ggz-kde-games-data                 	       1        0        0        0        1
47992 ggz-sdl-games                      	       1        0        1        0        0
47993 ggz-sdl-games-data                 	       1        0        0        0        1
47994 ggz-txt-client                     	       1        0        1        0        0
47995 ggzd                               	       1        1        0        0        0
47996 ghc-8.4.4                          	       1        0        1        0        0
47997 ghfaxviewer                        	       1        0        1        0        0
47998 ghi                                	       1        0        1        0        0
47999 ghidra                             	       1        0        1        0        0
48000 ghmm                               	       1        0        1        0        0
48001 ghostscript-build-deps             	       1        0        0        0        1
48002 ghostscript-dbgsym                 	       1        0        1        0        0
48003 ghostscript-x-dbgsym               	       1        0        1        0        0
48004 giac-doc                           	       1        0        0        0        1
48005 gig-uiems                          	       1        0        0        0        1
48006 gigatribe                          	       1        0        1        0        0
48007 gij-4.0                            	       1        0        1        0        0
48008 gij-4.1                            	       1        0        1        0        0
48009 gij-4.2                            	       1        0        1        0        0
48010 gij-4.3                            	       1        0        1        0        0
48011 gimagereader-qt                    	       1        1        0        0        0
48012 gimmix                             	       1        0        1        0        0
48013 gimp-flegita                       	       1        0        1        0        0
48014 gimp-help-ko                       	       1        0        0        0        1
48015 gimp-help-lt                       	       1        0        0        0        1
48016 gimp-help-sl                       	       1        0        0        0        1
48017 gimp-manual                        	       1        0        0        0        1
48018 gimp-plugin-file-jxl               	       1        0        1        0        0
48019 gimp-plugin-ris                    	       1        0        1        0        0
48020 gimp-plugin-scaler-biakima         	       1        0        1        0        0
48021 gimp2.0-quiteinsane                	       1        0        1        0        0
48022 gimpprint-doc                      	       1        0        0        0        1
48023 gir-rust-code-generator            	       1        0        0        1        0
48024 gir-to-d                           	       1        0        1        0        0
48025 gir1.2-abi-3.0                     	       1        0        0        0        1
48026 gir1.2-accounts-1.0                	       1        0        1        0        0
48027 gir1.2-ags-6.0                     	       1        0        0        0        1
48028 gir1.2-agsaudio-6.0                	       1        0        0        0        1
48029 gir1.2-appstreamcompose-1.0        	       1        0        0        0        1
48030 gir1.2-avahi-0.6                   	       1        0        0        0        1
48031 gir1.2-brasero-3.1                 	       1        0        0        0        1
48032 gir1.2-cryptui-0.0                 	       1        0        0        0        1
48033 gir1.2-dee-1.0                     	       1        0        1        0        0
48034 gir1.2-edataserverui-1.2           	       1        0        0        0        1
48035 gir1.2-fcitx-1.0                   	       1        0        0        0        1
48036 gir1.2-ganv-1.0                    	       1        0        0        0        1
48037 gir1.2-gdl-3                       	       1        0        0        0        1
48038 gir1.2-gdm3                        	       1        0        1        0        0
48039 gir1.2-girepository-3.0            	       1        0        0        0        1
48040 gir1.2-girepository-3.0-dev        	       1        0        0        0        1
48041 gir1.2-gpaste-1.0                  	       1        0        0        0        1
48042 gir1.2-gssdp-1.0                   	       1        0        0        0        1
48043 gir1.2-gupnp-1.0                   	       1        0        0        0        1
48044 gir1.2-gupnp-av-1.0                	       1        0        0        0        1
48045 gir1.2-gupnpdlna-2.0               	       1        0        0        0        1
48046 gir1.2-gxps-0.1                    	       1        0        0        0        1
48047 gir1.2-inputpad-1.1                	       1        0        0        0        1
48048 gir1.2-keybinder-0.0               	       1        0        0        0        1
48049 gir1.2-libvirt-sandbox-1.0         	       1        0        0        0        1
48050 gir1.2-malcontentui-1              	       1        0        0        0        1
48051 gir1.2-manette-0.2                 	       1        0        0        0        1
48052 gir1.2-mate-desktop                	       1        0        0        0        1
48053 gir1.2-mediaart-1.0                	       1        0        0        0        1
48054 gir1.2-msg-1                       	       1        0        0        0        1
48055 gir1.2-mutter-12                   	       1        0        0        0        1
48056 gir1.2-mutter-9                    	       1        0        0        0        1
48057 gir1.2-mypaint-1.5                 	       1        0        0        0        1
48058 gir1.2-panel-1                     	       1        0        0        0        1
48059 gir1.2-rda-1.0                     	       1        0        0        0        1
48060 gir1.2-restextras-1.0              	       1        0        0        0        1
48061 gir1.2-snapd-2                     	       1        0        0        0        1
48062 gir1.2-urfkill-glib0               	       1        0        0        0        1
48063 gir1.2-vte-3.91                    	       1        0        0        0        1
48064 gis-data                           	       1        0        0        0        1
48065 gis-devel                          	       1        0        0        0        1
48066 git-annex-standalone               	       1        0        1        0        0
48067 git-arch                           	       1        0        1        0        0
48068 git-big-picture-build-deps         	       1        0        0        0        1
48069 git-bump                           	       1        0        1        0        0
48070 git-cinnabar                       	       1        0        0        1        0
48071 git-debpush                        	       1        0        0        1        0
48072 git-delta                          	       1        0        1        0        0
48073 git-load-dirs                      	       1        0        1        0        0
48074 git-merge-changelog-dbgsym         	       1        0        1        0        0
48075 git-notifier                       	       1        0        1        0        0
48076 git-revise                         	       1        0        1        0        0
48077 git-sh                             	       1        0        1        0        0
48078 git-stuff                          	       1        0        1        0        0
48079 git-tui                            	       1        0        1        0        0
48080 gitea                              	       1        0        1        0        0
48081 gitlab-ci-local                    	       1        0        0        1        0
48082 gitlab-shell                       	       1        0        1        0        0
48083 gitleaks                           	       1        0        0        1        0
48084 gitolite                           	       1        0        1        0        0
48085 gitso                              	       1        0        1        0        0
48086 gitstats                           	       1        0        1        0        0
48087 gittown                            	       1        0        1        0        0
48088 gittuf                             	       1        0        1        0        0
48089 gjdoc                              	       1        0        1        0        0
48090 gjs-tests                          	       1        0        0        0        1
48091 glade-common                       	       1        0        1        0        0
48092 glade-gtk2                         	       1        0        1        0        0
48093 gladish                            	       1        0        1        0        0
48094 gladtex                            	       1        0        1        0        0
48095 glam2                              	       1        0        1        0        0
48096 glance                             	       1        0        0        0        1
48097 glance-api                         	       1        0        1        0        0
48098 glance-common                      	       1        0        1        0        0
48099 glance-registry                    	       1        0        1        0        0
48100 glance-store-common                	       1        0        0        0        1
48101 glasscoder                         	       1        0        1        0        0
48102 glassfish-jmac-api                 	       1        0        0        0        1
48103 glassgui                           	       1        0        1        0        0
48104 glava                              	       1        0        1        0        0
48105 glee-dev                           	       1        0        1        0        0
48106 glewlwyd                           	       1        0        1        0        0
48107 glewlwyd-common                    	       1        0        0        0        1
48108 glgrib-data                        	       1        0        0        0        1
48109 glgrib-egl                         	       1        0        1        0        0
48110 glgrib-shaders                     	       1        0        0        0        1
48111 glmark2-drm                        	       1        0        1        0        0
48112 glmark2-es2-drm                    	       1        0        1        0        0
48113 globalprotect-openconnect          	       1        0        1        0        0
48114 globus-gridftp-server-progs        	       1        0        1        0        0
48115 glosung                            	       1        0        1        0        0
48116 glotski                            	       1        0        1        0        0
48117 glpi                               	       1        0        1        0        0
48118 glpk-doc                           	       1        0        0        0        1
48119 glunarclock                        	       1        0        1        0        0
48120 glusterfs-cli                      	       1        0        0        0        1
48121 glusterfs-server                   	       1        0        1        0        0
48122 glutg3                             	       1        0        0        0        1
48123 glymur-bin                         	       1        0        1        0        0
48124 gm2-12                             	       1        0        1        0        0
48125 gm2-13                             	       1        0        1        0        0
48126 gm2-13-doc                         	       1        0        0        0        1
48127 gm2-13-x86-64-linux-gnu            	       1        0        1        0        0
48128 gm2-14-doc                         	       1        0        0        0        1
48129 gm2-14-for-build                   	       1        0        0        0        1
48130 gm2-doc                            	       1        0        0        0        1
48131 gm2-for-build                      	       1        0        0        0        1
48132 gmameui                            	       1        0        1        0        0
48133 gmap                               	       1        0        1        0        0
48134 gmchess                            	       1        0        1        0        0
48135 gmediarender                       	       1        0        1        0        0
48136 gmerlin-doc                        	       1        0        0        0        1
48137 gmerlin-encoders                   	       1        0        1        0        0
48138 gmetad                             	       1        1        0        0        0
48139 gmime-bin                          	       1        0        1        0        0
48140 gmm                                	       1        0        1        0        0
48141 gmobilemedia                       	       1        0        1        0        0
48142 gmt-examples                       	       1        0        0        0        1
48143 gmt-gshhg                          	       1        0        0        0        1
48144 gmtkbabel                          	       1        0        1        0        0
48145 gnash-doc                          	       1        0        0        0        1
48146 gnat-10-doc                        	       1        0        0        0        1
48147 gnat-13-i686-linux-gnu             	       1        0        1        0        0
48148 gnat-15                            	       1        0        1        0        0
48149 gnat-15-x86-64-linux-gnu           	       1        0        1        0        0
48150 gnat-4.3-base                      	       1        0        0        0        1
48151 gnat-4.6-base                      	       1        0        0        0        1
48152 gnat-6                             	       1        0        1        0        0
48153 gnat-6-doc                         	       1        0        0        0        1
48154 gnat-8                             	       1        0        1        0        0
48155 gnat-9-doc                         	       1        0        0        0        1
48156 gnats                              	       1        0        1        0        0
48157 gnats-user                         	       1        0        1        0        0
48158 gnaural                            	       1        0        1        0        0
48159 gnee                               	       1        0        1        0        0
48160 gnibbles                           	       1        0        0        0        1
48161 gnobots2                           	       1        0        0        0        1
48162 gnokii-smsd                        	       1        0        1        0        0
48163 gnome-api-docs                     	       1        0        0        0        1
48164 gnome-bin                          	       1        0        1        0        0
48165 gnome-btdownload                   	       1        0        1        0        0
48166 gnome-calls-doc                    	       1        0        0        0        1
48167 gnome-codec-install                	       1        0        1        0        0
48168 gnome-connection-manager           	       1        0        0        0        1
48169 gnome-devel                        	       1        0        0        0        1
48170 gnome-devel-docs                   	       1        0        0        0        1
48171 gnome-disk-utility-build-deps      	       1        0        0        0        1
48172 gnome-disk-utility-dbgsym          	       1        0        1        0        0
48173 gnome-do                           	       1        0        1        0        0
48174 gnome-do-plugins                   	       1        0        1        0        0
48175 gnome-doc-tools                    	       1        0        1        0        0
48176 gnome-gpg                          	       1        0        1        0        0
48177 gnome-hearts                       	       1        0        1        0        0
48178 gnome-icon-theme-blankon           	       1        0        0        0        1
48179 gnome-icon-theme-dlg-neu           	       1        0        0        0        1
48180 gnome-keyring-manager              	       1        0        1        0        0
48181 gnome-keysign                      	       1        0        1        0        0
48182 gnome-libs-data                    	       1        0        0        0        1
48183 gnome-mag                          	       1        0        1        0        0
48184 gnome-media-common                 	       1        0        0        0        1
48185 gnome-mud                          	       1        0        1        0        0
48186 gnome-office                       	       1        0        0        0        1
48187 gnome-panel-control                	       1        0        1        0        0
48188 gnome-peercast                     	       1        0        1        0        0
48189 gnome-phone-manager                	       1        0        1        0        0
48190 gnome-photo-printer                	       1        0        1        0        0
48191 gnome-pkgview                      	       1        0        1        0        0
48192 gnome-platform-devel               	       1        0        0        0        1
48193 gnome-rdp                          	       1        0        1        0        0
48194 gnome-scan-common                  	       1        0        0        0        1
48195 gnome-shell-extension-arc-menu     	       1        0        0        0        1
48196 gnome-shell-extension-auto-move-windows	       1        0        0        0        1
48197 gnome-shell-extension-bluetooth-quick-connect	       1        0        0        0        1
48198 gnome-shell-extension-disconnect-wifi	       1        0        0        0        1
48199 gnome-shell-extension-draw-on-your-screen	       1        0        0        0        1
48200 gnome-shell-extension-drive-menu   	       1        0        0        0        1
48201 gnome-shell-extension-light-style  	       1        0        0        0        1
48202 gnome-shell-extension-move-clock   	       1        0        0        0        1
48203 gnome-shell-extension-multi-monitors	       1        0        0        0        1
48204 gnome-shell-extension-native-window-placement	       1        0        0        0        1
48205 gnome-shell-extension-runcat       	       1        0        0        0        1
48206 gnome-shell-extension-screenshot-window-sizer	       1        0        0        0        1
48207 gnome-shell-extension-shortcuts    	       1        0        0        0        1
48208 gnome-shell-extension-sound-device-chooser	       1        0        0        0        1
48209 gnome-shell-extension-tiling-assistant	       1        0        0        0        1
48210 gnome-shell-extension-trash        	       1        0        0        0        1
48211 gnome-shell-extension-user-theme   	       1        0        0        0        1
48212 gnome-shell-extension-vertical-overview	       1        0        0        0        1
48213 gnome-shell-extension-volume-mixer 	       1        0        1        0        0
48214 gnome-shell-extension-windows-navigator	       1        0        0        0        1
48215 gnome-shell-extension-workspace-indicator	       1        0        0        0        1
48216 gnome-shell-extension-workspaces-to-dock	       1        0        0        0        1
48217 gnome-shell-pomodoro               	       1        0        1        0        0
48218 gnome-shell-pomodoro-data          	       1        0        0        0        1
48219 gnome-software-doc                 	       1        0        0        0        1
48220 gnome-spell                        	       1        0        1        0        0
48221 gnome-u2ps                         	       1        0        1        0        0
48222 gnome-vfs-extfs                    	       1        0        1        0        0
48223 gnome-vfs-obexftp                  	       1        0        0        0        1
48224 gnome-video-effects-dev            	       1        0        0        0        1
48225 gnome-web-photo                    	       1        0        1        0        0
48226 gnomecatalog                       	       1        0        1        0        0
48227 gnomermind                         	       1        0        1        0        0
48228 gnomp3                             	       1        0        1        0        0
48229 gnotime                            	       1        0        1        0        0
48230 gnu-fdisk                          	       1        0        1        0        0
48231 gnu-smalltalk-browser              	       1        0        1        0        0
48232 gnubiff                            	       1        0        1        0        0
48233 gnuboy-sdl                         	       1        0        1        0        0
48234 gnuboy-x                           	       1        0        1        0        0
48235 gnucap-python                      	       1        0        0        0        1
48236 gnucash-build-deps                 	       1        0        0        0        1
48237 gnucash-dbgsym                     	       1        0        1        0        0
48238 gnudoku                            	       1        0        1        0        0
48239 gnuinos-keyring                    	       1        0        0        0        1
48240 gnulib-build-deps                  	       1        0        0        0        1
48241 gnumach-common                     	       1        0        0        0        1
48242 gnumed-client                      	       1        0        1        0        0
48243 gnumed-common                      	       1        0        0        0        1
48244 gnupg-idea                         	       1        0        1        0        0
48245 gnupg-pkcs11-scd-proxy             	       1        0        1        0        0
48246 gnupg-utils-dbgsym                 	       1        0        1        0        0
48247 gnuplot5                           	       1        0        0        0        1
48248 gnuplot5-doc                       	       1        0        1        0        0
48249 gnustep-back0.12                   	       1        0        0        0        1
48250 gnustep-back0.12-art               	       1        0        0        0        1
48251 gnustep-back0.20                   	       1        0        0        0        1
48252 gnustep-back0.20-art               	       1        0        0        0        1
48253 gnustep-back0.24                   	       1        0        0        0        1
48254 gnustep-back0.24-cairo             	       1        0        0        0        1
48255 gnustep-back0.27                   	       1        0        0        0        1
48256 gnustep-back0.27-cairo             	       1        0        0        0        1
48257 gnustep-back0.30                   	       1        0        0        0        1
48258 gnustep-back0.30-cairo             	       1        0        0        0        1
48259 gnustep-core-doc                   	       1        0        0        0        1
48260 gnustep-examples                   	       1        0        1        0        0
48261 gnutls26-doc                       	       1        0        0        0        1
48262 go-bindata                         	       1        0        1        0        0
48263 go-bluetooth                       	       1        0        1        0        0
48264 go-exploitdb                       	       1        1        0        0        0
48265 go-l2tp                            	       1        1        0        0        0
48266 go2                                	       1        0        1        0        0
48267 gobby-infinote                     	       1        0        0        0        1
48268 gobjc++-10                         	       1        0        0        0        1
48269 gobjc++-12-arm-linux-gnueabi       	       1        0        0        0        1
48270 gobjc++-12-arm-linux-gnueabihf     	       1        0        0        0        1
48271 gobjc++-12-multilib                	       1        0        0        0        1
48272 gobjc++-13                         	       1        0        0        0        1
48273 gobjc++-14-multilib                	       1        0        0        0        1
48274 gobjc++-6                          	       1        0        0        0        1
48275 gobjc++-8                          	       1        0        0        0        1
48276 gobjc++-arm-linux-gnueabi          	       1        0        0        0        1
48277 gobjc++-arm-linux-gnueabihf        	       1        0        0        0        1
48278 gobjc++-multilib                   	       1        0        0        0        1
48279 gobjc-10                           	       1        0        0        0        1
48280 gobjc-12-arm-linux-gnueabi         	       1        0        0        0        1
48281 gobjc-12-arm-linux-gnueabihf       	       1        0        0        0        1
48282 gobjc-12-multilib                  	       1        0        0        0        1
48283 gobjc-13                           	       1        0        0        0        1
48284 gobjc-14-multilib                  	       1        0        0        0        1
48285 gobjc-4.9                          	       1        0        0        0        1
48286 gobjc-4.9-multilib                 	       1        0        0        0        1
48287 gobjc-6-multilib                   	       1        0        0        0        1
48288 gobjc-arm-linux-gnueabi            	       1        0        0        0        1
48289 gobjc-arm-linux-gnueabihf          	       1        0        0        0        1
48290 gobliiins-demo-en-data             	       1        0        0        0        1
48291 goby                               	       1        0        1        0        0
48292 gocode                             	       1        0        1        0        0
48293 godot3-dbgsym                      	       1        0        1        0        0
48294 golang-1.10                        	       1        0        0        0        1
48295 golang-1.10-doc                    	       1        0        1        0        0
48296 golang-1.14                        	       1        0        0        0        1
48297 golang-1.14-doc                    	       1        0        1        0        0
48298 golang-1.14-go                     	       1        0        1        0        0
48299 golang-1.14-src                    	       1        0        1        0        0
48300 golang-1.16                        	       1        0        0        0        1
48301 golang-1.16-doc                    	       1        0        1        0        0
48302 golang-1.16-go                     	       1        0        1        0        0
48303 golang-1.16-src                    	       1        0        1        0        0
48304 golang-1.17                        	       1        0        0        0        1
48305 golang-1.17-doc                    	       1        0        0        0        1
48306 golang-1.17-go                     	       1        0        1        0        0
48307 golang-1.17-src                    	       1        0        1        0        0
48308 golang-1.6                         	       1        0        0        0        1
48309 golang-1.6-doc                     	       1        0        1        0        0
48310 golang-barcode-dev                 	       1        0        0        0        1
48311 golang-ed25519-dev                 	       1        0        0        0        1
48312 golang-filippo-edwards25519-dev    	       1        0        0        0        1
48313 golang-gir-gio-2.0-dev             	       1        0        1        0        0
48314 golang-github-0xax-notificator-dev 	       1        0        0        0        1
48315 golang-github-aead-poly1305-dev    	       1        0        0        0        1
48316 golang-github-alecthomas-assert-dev	       1        0        0        0        1
48317 golang-github-alecthomas-chroma-dev	       1        0        0        0        1
48318 golang-github-alecthomas-kong-dev  	       1        0        0        0        1
48319 golang-github-alecthomas-kong-hcl-dev	       1        0        0        0        1
48320 golang-github-alecthomas-repr-dev  	       1        0        0        0        1
48321 golang-github-alecthomas-units-dev 	       1        0        0        0        1
48322 golang-github-allegro-bigcache-dev 	       1        0        0        0        1
48323 golang-github-anacrolix-envpprof-dev	       1        0        0        0        1
48324 golang-github-anacrolix-ffprobe-dev	       1        0        0        0        1
48325 golang-github-anacrolix-log-dev    	       1        0        0        0        1
48326 golang-github-anacrolix-missinggo-dev	       1        0        0        0        1
48327 golang-github-anacrolix-tagflag-dev	       1        0        0        0        1
48328 golang-github-andybalholm-cascadia-dev	       1        0        0        0        1
48329 golang-github-apptainer-container-key-client-dev	       1        0        0        0        1
48330 golang-github-asaskevich-govalidator-dev	       1        0        0        0        1
48331 golang-github-aymanbagabas-go-osc52-dev	       1        0        0        0        1
48332 golang-github-aymerick-douceur-dev 	       1        0        0        0        1
48333 golang-github-beorn7-perks-dev     	       1        0        0        0        1
48334 golang-github-bits-and-blooms-bitset-dev	       1        0        0        0        1
48335 golang-github-bradfitz-iter-dev    	       1        0        0        0        1
48336 golang-github-btcsuite-fastsha256-dev	       1        0        0        0        1
48337 golang-github-charmbracelet-glamour-dev	       1        0        0        0        1
48338 golang-github-cilium-ebpf-dev      	       1        0        1        0        0
48339 golang-github-cli-browser-dev      	       1        0        0        0        1
48340 golang-github-cli-go-gh-dev        	       1        0        0        0        1
48341 golang-github-cli-safeexec-dev     	       1        0        0        0        1
48342 golang-github-cli-shurcool-graphql-dev	       1        0        0        0        1
48343 golang-github-d2r2-go-i2c-dev      	       1        0        0        0        1
48344 golang-github-danwakefield-fnmatch-dev	       1        0        0        0        1
48345 golang-github-dcso-bloom-cli       	       1        0        1        0        0
48346 golang-github-dgrijalva-jwt-go-dev 	       1        0        0        0        1
48347 golang-github-dlclark-regexp2-dev  	       1        0        0        0        1
48348 golang-github-docopt-docopt-go-dev 	       1        0        0        0        1
48349 golang-github-dustin-go-humanize-dev	       1        0        0        0        1
48350 golang-github-form3tech-oss-jwt-go-dev	       1        0        0        0        1
48351 golang-github-getlantern-hex-dev   	       1        0        0        0        1
48352 golang-github-glycerine-go-unsnap-stream-dev	       1        0        0        0        1
48353 golang-github-go-kit-log-dev       	       1        0        0        0        1
48354 golang-github-go-logfmt-logfmt-dev 	       1        0        0        0        1
48355 golang-github-go-macaron-inject-dev	       1        0        0        0        1
48356 golang-github-go-macaron-toolbox-dev	       1        0        0        0        1
48357 golang-github-go-openapi-analysis-dev	       1        0        0        0        1
48358 golang-github-go-openapi-errors-dev	       1        0        0        0        1
48359 golang-github-go-openapi-jsonpointer-dev	       1        0        0        0        1
48360 golang-github-go-openapi-jsonreference-dev	       1        0        0        0        1
48361 golang-github-go-openapi-loads-dev 	       1        0        0        0        1
48362 golang-github-go-openapi-spec-dev  	       1        0        0        0        1
48363 golang-github-go-openapi-strfmt-dev	       1        0        0        0        1
48364 golang-github-go-openapi-swag-dev  	       1        0        0        0        1
48365 golang-github-go-openapi-validate-dev	       1        0        0        0        1
48366 golang-github-go-sql-driver-mysql-dev	       1        0        0        0        1
48367 golang-github-gofrs-flock-dev      	       1        0        0        0        1
48368 golang-github-golang-groupcache-dev	       1        0        0        0        1
48369 golang-github-google-btree-dev     	       1        0        0        0        1
48370 golang-github-google-shlex-dev     	       1        0        0        0        1
48371 golang-github-google-uuid-dev      	       1        0        0        0        1
48372 golang-github-gorilla-csrf-dev     	       1        0        0        0        1
48373 golang-github-gorilla-css-dev      	       1        0        0        0        1
48374 golang-github-gorilla-handlers-dev 	       1        0        0        0        1
48375 golang-github-gorilla-securecookie-dev	       1        0        0        0        1
48376 golang-github-h2non-parth-dev      	       1        0        0        0        1
48377 golang-github-hashicorp-hcl-dev    	       1        0        0        0        1
48378 golang-github-henvic-httpretty-dev 	       1        0        0        0        1
48379 golang-github-hexops-gotextdiff-dev	       1        0        0        0        1
48380 golang-github-huandu-xstrings-dev  	       1        0        0        0        1
48381 golang-github-insomniacslk-dhcp-dev	       1        0        0        0        1
48382 golang-github-itchyny-gojq-dev     	       1        0        0        0        1
48383 golang-github-itchyny-timefmt-go-dev	       1        0        0        0        1
48384 golang-github-jacobsa-oglematchers-dev	       1        0        0        0        1
48385 golang-github-jkeiser-iter-dev     	       1        0        0        0        1
48386 golang-github-jochenvg-go-udev-dev 	       1        0        0        0        1
48387 golang-github-josharian-intern-dev 	       1        0        0        0        1
48388 golang-github-josharian-native-dev 	       1        0        0        0        1
48389 golang-github-jpillora-backoff-dev 	       1        0        0        0        1
48390 golang-github-jsimonetti-rtnetlink-dev	       1        0        0        0        1
48391 golang-github-json-iterator-go-dev 	       1        0        0        0        1
48392 golang-github-jtolds-gls-dev       	       1        0        0        0        1
48393 golang-github-julienschmidt-httprouter-dev	       1        0        0        0        1
48394 golang-github-kr-fs-dev            	       1        0        0        0        1
48395 golang-github-labstack-echo-dev    	       1        0        0        0        1
48396 golang-github-labstack-gommon-dev  	       1        0        0        0        1
48397 golang-github-lucasb-eyer-go-colorful-dev	       1        0        0        0        1
48398 golang-github-mailru-easyjson-dev  	       1        0        0        0        1
48399 golang-github-makenowjust-heredoc-dev	       1        0        0        0        1
48400 golang-github-mattn-go-runewidth-dev	       1        0        0        0        1
48401 golang-github-mdlayher-ethernet-dev	       1        0        0        0        1
48402 golang-github-mdlayher-netlink-dev 	       1        0        0        0        1
48403 golang-github-mdlayher-packet-dev  	       1        0        0        0        1
48404 golang-github-mdlayher-raw-dev     	       1        0        0        0        1
48405 golang-github-mdlayher-socket-dev  	       1        0        0        0        1
48406 golang-github-mgutz-ansi-dev       	       1        0        0        0        1
48407 golang-github-microcosm-cc-bluemonday-dev	       1        0        0        0        1
48408 golang-github-minio-sha256-simd-dev	       1        0        0        0        1
48409 golang-github-mitchellh-go-homedir-dev	       1        0        0        0        1
48410 golang-github-mitchellh-mapstructure-dev	       1        0        0        0        1
48411 golang-github-moby-sys-dev         	       1        0        0        0        1
48412 golang-github-modern-go-concurrent-dev	       1        0        0        0        1
48413 golang-github-modern-go-reflect2-dev	       1        0        0        0        1
48414 golang-github-muesli-reflow-dev    	       1        0        0        0        1
48415 golang-github-muesli-sasquatch-dev 	       1        0        0        0        1
48416 golang-github-muesli-termenv-dev   	       1        0        0        0        1
48417 golang-github-mwitkow-go-conntrack-dev	       1        0        0        0        1
48418 golang-github-nfnt-resize-dev      	       1        0        0        0        1
48419 golang-github-oklog-ulid-dev       	       1        0        0        0        1
48420 golang-github-olekukonko-tablewriter-dev	       1        0        0        0        1
48421 golang-github-opennota-urlesc-dev  	       1        0        0        0        1
48422 golang-github-paulrosania-go-charset-dev	       1        0        0        0        1
48423 golang-github-philhofer-fwd-dev    	       1        0        0        0        1
48424 golang-github-pkg-diff-dev         	       1        0        1        0        0
48425 golang-github-proglottis-gpgme-dev 	       1        0        1        0        0
48426 golang-github-prometheus-client-golang-dev	       1        0        0        0        1
48427 golang-github-prometheus-client-model-dev	       1        0        0        0        1
48428 golang-github-prometheus-common-dev	       1        0        0        0        1
48429 golang-github-prometheus-procfs-dev	       1        0        0        0        1
48430 golang-github-puerkitobio-goquery-dev	       1        0        0        0        1
48431 golang-github-puerkitobio-purell-dev	       1        0        0        0        1
48432 golang-github-rivo-uniseg-dev      	       1        0        0        0        1
48433 golang-github-roaringbitmap-roaring-dev	       1        0        0        0        1
48434 golang-github-rootless-containers-rootlesskit-dev	       1        0        0        0        1
48435 golang-github-ryszard-goskiplist-dev	       1        0        0        0        1
48436 golang-github-sirupsen-logrus-dev  	       1        0        0        0        1
48437 golang-github-smartystreets-assertions-dev	       1        0        0        0        1
48438 golang-github-smartystreets-goconvey-dev	       1        0        0        0        1
48439 golang-github-snapcore-snapd-dev   	       1        0        1        0        0
48440 golang-github-sylabs-json-resp-dev 	       1        0        0        0        1
48441 golang-github-thlib-go-timezone-local-dev	       1        0        0        0        1
48442 golang-github-tinylib-msgp-dev     	       1        0        0        0        1
48443 golang-github-u-root-uio-dev       	       1        0        0        0        1
48444 golang-github-unknwon-com-dev      	       1        0        0        0        1
48445 golang-github-valyala-bytebufferpool-dev	       1        0        0        0        1
48446 golang-github-valyala-fasttemplate-dev	       1        0        0        0        1
48447 golang-github-voxelbrain-goptions-dev	       1        0        0        0        1
48448 golang-github-yuin-goldmark-emoji-dev	       1        0        0        0        1
48449 golang-go.opencensus-dev           	       1        0        0        0        1
48450 golang-go.tools                    	       1        0        0        0        1
48451 golang-gocapability-dev            	       1        0        0        0        1
48452 golang-golang-x-time-dev           	       1        0        0        0        1
48453 golang-gopkg-alecthomas-kingpin.v2-dev	       1        0        0        0        1
48454 golang-gopkg-h2non-gock.v1-dev     	       1        0        0        0        1
48455 golang-gopkg-ini.v1-dev            	       1        0        0        0        1
48456 golang-gopkg-macaron.v1-dev        	       1        0        0        0        1
48457 golang-goptlib-dev                 	       1        0        0        0        1
48458 golang-grpc-gateway                	       1        0        1        0        0
48459 golang-notabug-themusicgod1-cp-dev 	       1        0        0        0        1
48460 golang-protobuf-extensions-dev     	       1        0        0        0        1
48461 golang-snappy-go-dev               	       1        0        0        0        1
48462 gom                                	       1        0        1        0        0
48463 google-android-build-tools-17-installer	       1        0        0        0        1
48464 google-android-build-tools-23-installer	       1        0        0        0        1
48465 google-android-build-tools-35.0.0-installer	       1        0        0        0        1
48466 google-android-ndk-installer       	       1        0        0        0        1
48467 google-android-ndk-r25c-installer  	       1        0        1        0        0
48468 google-android-platform-33-installer	       1        0        0        0        1
48469 google-android-platform-35-installer	       1        0        0        0        1
48470 google-android-sdk-docs-installer  	       1        0        0        0        1
48471 google-android-sources-35-installer	       1        0        0        0        1
48472 google-android-tools-installer     	       1        0        1        0        0
48473 google-cloud-cli-config-connector  	       1        0        0        1        0
48474 google-cloud-print-connector       	       1        0        1        0        0
48475 google-cloud-sdk                   	       1        0        0        0        1
48476 google-cloud-sdk-config-connector  	       1        0        0        0        1
48477 google-drive-ocamlfuse             	       1        0        1        0        0
48478 google-earth-ec-stable             	       1        0        1        0        0
48479 google-earth-stable                	       1        0        1        0        0
48480 google-gadgets-common              	       1        0        0        0        1
48481 google-gadgets-gst                 	       1        0        0        0        1
48482 google-gadgets-qt                  	       1        0        1        0        0
48483 google-wire                        	       1        0        1        0        0
48484 gopass                             	       1        0        1        0        0
48485 gopass-archive-keyring             	       1        0        0        0        1
48486 gopass-jsonapi                     	       1        0        1        0        0
48487 gophernicus                        	       1        0        1        0        0
48488 goreleaser                         	       1        0        1        0        0
48489 gorm.app                           	       1        0        1        0        0
48490 gosh                               	       1        1        0        0        0
48491 gpass                              	       1        0        1        0        0
48492 gpaste                             	       1        0        1        0        0
48493 gpaw-data                          	       1        0        0        0        1
48494 gpdf                               	       1        0        1        0        0
48495 gpe-icons                          	       1        0        0        0        1
48496 gperf-ace                          	       1        0        0        0        1
48497 gpg-agent-dbgsym                   	       1        0        1        0        0
48498 gpg-dbgsym                         	       1        0        1        0        0
48499 gpg-remailer                       	       1        0        1        0        0
48500 gpg-wks-client-dbgsym              	       1        0        1        0        0
48501 gpg-wks-server-dbgsym              	       1        0        1        0        0
48502 gpgconf-dbgsym                     	       1        0        1        0        0
48503 gpgkeys                            	       1        0        1        0        0
48504 gpgmngr                            	       1        0        1        0        0
48505 gpgp                               	       1        0        1        0        0
48506 gpgrt-tools-dbgsym                 	       1        0        1        0        0
48507 gpgsm-dbgsym                       	       1        0        1        0        0
48508 gpgv-dbgsym                        	       1        0        1        0        0
48509 gpib-modules-source                	       1        0        0        0        1
48510 gplhost-archive-keyring            	       1        0        0        0        1
48511 gpointing-device-settings          	       1        0        1        0        0
48512 gpr-build-deps                     	       1        0        0        0        1
48513 gprbuild-doc                       	       1        0        1        0        0
48514 gpro                               	       1        0        0        0        1
48515 gpsd-dbg                           	       1        0        1        0        0
48516 gpsdrive                           	       1        0        1        0        0
48517 gpsdrive-data                      	       1        0        0        0        1
48518 gpsdrive-scripts                   	       1        0        1        0        0
48519 gpsk31                             	       1        0        1        0        0
48520 gpsshogi-viewer                    	       1        0        1        0        0
48521 gptsync                            	       1        0        1        0        0
48522 gpu-burn                           	       1        0        1        0        0
48523 gqcam                              	       1        0        1        0        0
48524 gr-dab                             	       1        0        1        0        0
48525 gr-framework-plugin-qt6            	       1        0        0        0        1
48526 gradio                             	       1        0        1        0        0
48527 gradle-7.4.2                       	       1        0        1        0        0
48528 gradle-apt-plugin                  	       1        0        0        0        1
48529 gradle-ice-builder-plugin          	       1        0        0        0        1
48530 gradle-plugin-protobuf             	       1        0        0        0        1
48531 gradle-propdeps-plugin             	       1        0        0        0        1
48532 gradm2                             	       1        0        1        0        0
48533 gramadoir                          	       1        0        1        0        0
48534 graphdb-desktop                    	       1        0        1        0        0
48535 graphlan                           	       1        0        1        0        0
48536 graphql-playground-electron        	       1        0        0        0        1
48537 graphthing                         	       1        0        1        0        0
48538 greaseweazle-firmware-build-deps   	       1        0        0        0        1
48539 greenbone-security-assistant-common	       1        0        0        0        1
48540 greenfoot                          	       1        0        1        0        0
48541 greenwich                          	       1        0        1        0        0
48542 gridcoinresearchd                  	       1        0        0        1        0
48543 gridengine-client                  	       1        0        1        0        0
48544 gridengine-drmaa1.0                	       1        0        1        0        0
48545 gridengine-master                  	       1        0        1        0        0
48546 gridengine-qmon                    	       1        0        1        0        0
48547 gridtracker                        	       1        0        1        0        0
48548 grilo-plugins-0.2                  	       1        0        0        0        1
48549 grimrock                           	       1        0        1        0        0
48550 grml-debian-keyring                	       1        0        0        0        1
48551 grml-live                          	       1        0        1        0        0
48552 grml-live-addons                   	       1        0        0        0        1
48553 grml-network                       	       1        0        1        0        0
48554 groff-base-dbgsym                  	       1        0        1        0        0
48555 groff-dbgsym                       	       1        0        1        0        0
48556 grokking-the-gimp                  	       1        0        0        0        1
48557 gromacs                            	       1        0        1        0        0
48558 gromacs-data                       	       1        0        0        0        1
48559 gromacs-openmpi                    	       1        0        1        0        0
48560 gromit                             	       1        0        1        0        0
48561 groupoffice-com                    	       1        1        0        0        0
48562 groupoffice-mailserver             	       1        0        1        0        0
48563 growl-for-linux                    	       1        0        1        0        0
48564 grpc-proto                         	       1        0        0        0        1
48565 grub-cloud-amd64                   	       1        0        0        0        1
48566 grub-coreboot                      	       1        0        0        0        1
48567 grub-disk                          	       1        0        0        0        1
48568 grub-efi-amd64-bin-dummy           	       1        0        0        0        1
48569 grub-efi-amd64-dbg                 	       1        0        1        0        0
48570 grub-reboot-poweroff               	       1        0        0        0        1
48571 grub-themes-vimix                  	       1        0        0        0        1
48572 grub-xen                           	       1        0        0        0        1
48573 gruvbox-gtk                        	       1        0        1        0        0
48574 gs-gpl                             	       1        0        0        0        1
48575 gsad                               	       1        0        1        0        0
48576 gsasl-dbgsym                       	       1        0        1        0        0
48577 gsender                            	       1        0        1        0        0
48578 gsfonts-wadalab-common             	       1        0        1        0        0
48579 gsfonts-wadalab-gothic             	       1        0        0        0        1
48580 gsfonts-wadalab-mincho             	       1        0        0        0        1
48581 gskcrypt64                         	       1        0        0        0        1
48582 gskssl64                           	       1        0        1        0        0
48583 gspca-source                       	       1        0        0        0        1
48584 gsplus                             	       1        0        1        0        0
48585 gss-ntlmssp                        	       1        0        0        0        1
48586 gssdp-tools                        	       1        0        1        0        0
48587 gstreamer0.10-chromaprint          	       1        0        1        0        0
48588 gstreamer0.10-doc                  	       1        0        0        0        1
48589 gstreamer0.10-gnonlin-doc          	       1        0        0        0        1
48590 gstreamer0.10-plugins-bad-doc      	       1        0        0        0        1
48591 gstreamer0.10-plugins-base-apps    	       1        0        1        0        0
48592 gstreamer0.10-plugins-base-doc     	       1        0        0        0        1
48593 gstreamer0.10-plugins-gl-doc       	       1        0        0        0        1
48594 gstreamer0.10-plugins-good-doc     	       1        0        0        0        1
48595 gstreamer0.10-plugins-really-bad   	       1        0        0        0        1
48596 gstreamer0.10-plugins-ugly-doc     	       1        0        0        0        1
48597 gstreamer0.10-qapt                 	       1        0        0        0        1
48598 gstreamer0.10-sdl                  	       1        0        1        0        0
48599 gstreamer0.8-swfdec                	       1        0        1        0        0
48600 gstreamer1.0-omx-bellagio-config   	       1        0        0        0        1
48601 gstreamer1.0-omx-generic-config    	       1        0        0        0        1
48602 gstreamer1.0-plugins-bad-apps-dbgsym	       1        0        1        0        0
48603 gstreamer1.0-plugins-bad-dbgsym    	       1        0        1        0        0
48604 gstreamer1.0-plugins-good-dbgsym   	       1        0        1        0        0
48605 gstreamer1.0-plugins-rtp-dbgsym    	       1        0        1        0        0
48606 gstreamer1.0-plugins-ugly-dbgsym   	       1        0        1        0        0
48607 gstreamer1.0-python3-plugin-loader 	       1        0        0        0        1
48608 gstreamer1.0-vaapi-doc             	       1        0        0        0        1
48609 gtimelog                           	       1        0        1        0        0
48610 gtk-4-tests                        	       1        0        0        0        1
48611 gtk-engines-begtk                  	       1        0        1        0        0
48612 gtk-engines-eazel                  	       1        0        1        0        0
48613 gtk-engines-geramik                	       1        0        0        0        1
48614 gtk-engines-geramik-data           	       1        0        0        0        1
48615 gtk-engines-lighthouseblue         	       1        0        1        0        0
48616 gtk-engines-metal                  	       1        0        1        0        0
48617 gtk-engines-notif                  	       1        0        1        0        0
48618 gtk-engines-pixmap                 	       1        0        1        0        0
48619 gtk-engines-plastig                	       1        0        0        0        1
48620 gtk-engines-qtpixmap               	       1        0        1        0        0
48621 gtk-engines-raleigh                	       1        0        1        0        0
48622 gtk-engines-redmond95              	       1        0        1        0        0
48623 gtk-engines-thingeramik            	       1        0        0        0        1
48624 gtk-engines-thingeramik-data       	       1        0        0        0        1
48625 gtk-engines-thinice                	       1        0        1        0        0
48626 gtk-engines-xenophilia             	       1        0        1        0        0
48627 gtk-gnutella-utils                 	       1        0        1        0        0
48628 gtk-imonc                          	       1        0        1        0        0
48629 gtk-qt-engine                      	       1        0        1        0        0
48630 gtk2-engines-geramik               	       1        0        0        0        1
48631 gtk2-engines-industrial            	       1        0        0        0        1
48632 gtk2-engines-plastig               	       1        0        0        0        1
48633 gtk2-engines-qtpixmap              	       1        1        0        0        0
48634 gtk2-engines-sapwood               	       1        0        1        0        0
48635 gtk2-engines-thingeramik           	       1        0        0        0        1
48636 gtk2hs-buildtools                  	       1        0        1        0        0
48637 gtk3-classic-module                	       1        0        1        0        0
48638 gtk3-engines-oxygen                	       1        0        0        0        1
48639 gtkcrypto                          	       1        0        1        0        0
48640 gtkdiskfree                        	       1        0        1        0        0
48641 gtkfontsel                         	       1        0        1        0        0
48642 gtkorphan                          	       1        0        1        0        0
48643 gtkparasite                        	       1        0        1        0        0
48644 gtkrsync                           	       1        0        1        0        0
48645 gtm                                	       1        0        1        0        0
48646 gtml                               	       1        0        1        0        0
48647 gtranslator                        	       1        0        1        0        0
48648 gtweakui                           	       1        0        1        0        0
48649 guam                               	       1        0        1        0        0
48650 guarda                             	       1        0        0        0        1
48651 gubbins                            	       1        0        1        0        0
48652 guess-concurrency                  	       1        0        0        1        0
48653 gui-apt-key                        	       1        0        1        0        0
48654 guile-1.6-slib                     	       1        0        0        0        1
48655 guile-1.8-dev                      	       1        0        1        0        0
48656 guile-1.8-doc                      	       1        0        0        0        1
48657 guile-1.8-doc-non-dfsg             	       1        0        0        0        1
48658 guile-avahi                        	       1        0        0        0        1
48659 guile-cairo-dev                    	       1        0        1        0        0
48660 guile-zstd                         	       1        0        0        0        1
48661 gulp                               	       1        0        1        0        0
48662 gunicorn-examples                  	       1        0        0        0        1
48663 gupnp-dlna-tools                   	       1        0        1        0        0
48664 gutenbrowser                       	       1        0        1        0        0
48665 gvfs-backends-dbgsym               	       1        0        1        0        0
48666 gvfs-build-deps                    	       1        0        0        0        1
48667 gvfs-daemons-dbgsym                	       1        0        1        0        0
48668 gvm                                	       1        1        0        0        0
48669 gvm-tools                          	       1        1        0        0        0
48670 gvmd                               	       1        1        0        0        0
48671 gvmd-common                        	       1        1        0        0        0
48672 gvpe                               	       1        0        1        0        0
48673 gwenview-i18n-trinity              	       1        0        0        0        1
48674 gwget                              	       1        0        1        0        0
48675 gwhere                             	       1        0        1        0        0
48676 gwsetup                            	       1        1        0        0        0
48677 gxineplugin                        	       1        0        1        0        0
48678 gxplugins                          	       1        0        1        0        0
48679 gxset                              	       1        0        1        0        0
48680 gxvoxtonebender                    	       1        0        1        0        0
48681 gxw-glade                          	       1        0        0        0        1
48682 gzdoom-build-deps                  	       1        0        0        0        1
48683 gzip-win32                         	       1        0        0        0        1
48684 hackrf-doc                         	       1        0        0        0        1
48685 hal-doc                            	       1        0        0        0        1
48686 haml-elisp                         	       1        0        1        0        0
48687 hamradiomenus                      	       1        0        0        0        1
48688 haproxy-doc                        	       1        0        0        0        1
48689 haproxyctl                         	       1        0        1        0        0
48690 harden-environment                 	       1        0        0        0        1
48691 harden-servers                     	       1        0        0        0        1
48692 harden-tools                       	       1        0        0        0        1
48693 haroopad                           	       1        1        0        0        0
48694 haskell-debian-utils               	       1        0        1        0        0
48695 haskell-platform                   	       1        0        0        0        1
48696 haskell-platform-doc               	       1        0        0        0        1
48697 haskell-status-notifier-item-utils 	       1        0        1        0        0
48698 haskell-utils                      	       1        0        1        0        0
48699 haveno                             	       1        0        1        0        0
48700 hcloud-cli                         	       1        0        1        0        0
48701 hdd-cleaner                        	       1        0        1        0        0
48702 hdf-compass                        	       1        0        1        0        0
48703 hdf-compass-doc                    	       1        0        0        0        1
48704 hdf5-filter-plugin                 	       1        0        0        0        1
48705 hdf5-filter-plugin-blosc-serial    	       1        0        1        0        0
48706 hdf5-filter-plugin-zfp-serial      	       1        0        0        0        1
48707 hdf5-plugin-lzf                    	       1        0        0        0        1
48708 hdhomerun-config                   	       1        0        1        0        0
48709 hdrecover                          	       1        0        1        0        0
48710 headache                           	       1        0        1        0        0
48711 headsetcontrol                     	       1        0        1        0        0
48712 health-check                       	       1        0        1        0        0
48713 heimdal-kcm                        	       1        1        0        0        0
48714 heimdal-kdc                        	       1        0        1        0        0
48715 heimdal-servers                    	       1        0        0        1        0
48716 heimdall-frontend                  	       1        0        1        0        0
48717 heimer                             	       1        0        1        0        0
48718 helio-workstation                  	       1        0        1        0        0
48719 helix-cli                          	       1        0        0        0        1
48720 helix-cli-base                     	       1        0        1        0        0
48721 helix-git-connector                	       1        0        1        0        0
48722 hello-debhelper                    	       1        0        0        0        1
48723 hellodemo                          	       1        0        0        0        1
48724 helpdeco                           	       1        0        1        0        0
48725 helpdelete                         	       1        0        1        0        0
48726 heretic-shareware-wad              	       1        0        0        0        1
48727 heretic-wad                        	       1        0        0        0        1
48728 hermes1                            	       1        0        0        0        1
48729 hermes1-dev                        	       1        0        1        0        0
48730 heroes-common                      	       1        0        0        0        1
48731 heroes-ggi                         	       1        0        1        0        0
48732 heroes-sdl                         	       1        0        1        0        0
48733 heroku                             	       1        0        1        0        0
48734 hesiod                             	       1        0        1        0        0
48735 heudiconv                          	       1        0        1        0        0
48736 hexcat                             	       1        0        1        0        0
48737 hexen-deathkings-wad               	       1        0        0        0        1
48738 hexen-demo-wad                     	       1        0        0        0        1
48739 hexen-wad                          	       1        0        0        0        1
48740 hexen2-data                        	       1        0        0        0        1
48741 hexen2-hexenworld-data             	       1        0        0        0        1
48742 hexen2-portals-data                	       1        0        0        0        1
48743 hexen2-reg-data                    	       1        0        0        0        1
48744 hexter-syx-dx7-banks               	       1        0        0        0        1
48745 hextype                            	       1        0        1        0        0
48746 hexxagon-text                      	       1        0        1        0        0
48747 hfst-ospell                        	       1        0        1        0        0
48748 hiawatha                           	       1        1        0        0        0
48749 hidrd                              	       1        0        1        0        0
48750 highlight-pointer                  	       1        0        1        0        0
48751 highlight.js-doc                   	       1        0        0        0        1
48752 hiki                               	       1        0        1        0        0
48753 hilive                             	       1        0        1        0        0
48754 hintview                           	       1        0        1        0        0
48755 hip-base                           	       1        0        0        0        1
48756 hipblas-asan                       	       1        0        1        0        0
48757 hipblas-common-dev                 	       1        0        1        0        0
48758 hipify-perl                        	       1        0        1        0        0
48759 hipsparselt                        	       1        0        1        0        0
48760 hipsparselt-dev                    	       1        0        1        0        0
48761 hisat2                             	       1        0        1        0        0
48762 hitch                              	       1        1        0        0        0
48763 hjson-go                           	       1        0        1        0        0
48764 hl1110cupswrapper                  	       1        0        0        0        1
48765 hl1110lpr                          	       1        0        0        0        1
48766 hl1200cupswrapper                  	       1        0        0        0        1
48767 hl1200lpr                          	       1        0        0        0        1
48768 hl2240lpr                          	       1        0        0        0        1
48769 hl4040cncupswrapper                	       1        0        0        0        1
48770 hl4040cnlpr                        	       1        0        1        0        0
48771 hl4140cncupswrapper                	       1        0        0        0        1
48772 hl4140cnlpr                        	       1        0        1        0        0
48773 hl6050dlpr                         	       1        0        1        0        0
48774 hledger-interest                   	       1        0        1        0        0
48775 hll2310dpdrv                       	       1        0        0        0        1
48776 hll2320dcupswrapper                	       1        0        0        0        1
48777 hll2320dlpr                        	       1        0        0        0        1
48778 hll2340dcupswrapper                	       1        0        0        0        1
48779 hll2340dlpr                        	       1        0        0        0        1
48780 hll2350dwpdrv                      	       1        0        0        0        1
48781 hll2360dcupswrapper                	       1        0        0        0        1
48782 hll2360dlpr                        	       1        0        0        0        1
48783 hll2460dwpdrv                      	       1        0        0        0        1
48784 hll3230cdwpdrv                     	       1        0        1        0        0
48785 hll3270cdwpdrv                     	       1        0        1        0        0
48786 hll3280cdwpdrv                     	       1        0        0        0        1
48787 hll5000dcupswrapper                	       1        0        0        0        1
48788 hll5000dlpr                        	       1        0        0        0        1
48789 hll5100dncupswrapper               	       1        0        0        0        1
48790 hll5100dnlpr                       	       1        0        0        0        1
48791 hll5200dwcupswrapper               	       1        0        0        0        1
48792 hll5200dwlpr                       	       1        0        0        0        1
48793 hll5210dnpdrv                      	       1        0        0        0        1
48794 hll6200dwcupswrapper               	       1        0        0        0        1
48795 hll6200dwlpr                       	       1        0        0        0        1
48796 hol88-help                         	       1        0        0        0        1
48797 holap                              	       1        0        1        0        0
48798 holdingnuts                        	       1        0        1        0        0
48799 holdingnuts-server                 	       1        0        1        0        0
48800 holotz-castle-editor               	       1        0        1        0        0
48801 homm3-demo-data                    	       1        0        0        0        1
48802 host-api                           	       1        0        1        0        0
48803 hostap-utils                       	       1        0        1        0        0
48804 hostfiles                          	       1        0        1        0        0
48805 hostminder                         	       1        0        1        0        0
48806 hostmot2-firmware-3x20-1           	       1        0        1        0        0
48807 hostmot2-firmware-4i65             	       1        0        1        0        0
48808 hostmot2-firmware-4i68             	       1        0        1        0        0
48809 hostmot2-firmware-5i20             	       1        0        1        0        0
48810 hostmot2-firmware-5i22-1           	       1        0        1        0        0
48811 hostmot2-firmware-5i22-1.5         	       1        0        1        0        0
48812 hostmot2-firmware-5i23             	       1        0        1        0        0
48813 hostmot2-firmware-7i43-2           	       1        0        1        0        0
48814 hostmot2-firmware-7i43-4           	       1        0        1        0        0
48815 hostmot2-firmware-all              	       1        0        0        0        1
48816 hostsed                            	       1        1        0        0        0
48817 hoteldruid                         	       1        0        1        0        0
48818 hotkey-setup                       	       1        0        1        0        0
48819 hotkeys                            	       1        0        1        0        0
48820 howdy                              	       1        0        1        0        0
48821 hoz                                	       1        0        1        0        0
48822 hoz-gui                            	       1        0        1        0        0
48823 hp-scripting-tools                 	       1        0        1        0        0
48824 hp48cc                             	       1        0        1        0        0
48825 hpack                              	       1        0        1        0        0
48826 hpacucli                           	       1        0        1        0        0
48827 hpsa-dkms                          	       1        0        1        0        0
48828 hpsdrconnector                     	       1        0        1        0        0
48829 hpsockd                            	       1        1        0        0        0
48830 hpssacli                           	       1        0        1        0        0
48831 hqx                                	       1        0        1        0        0
48832 hrd                                	       1        0        1        0        0
48833 hsa-ext-rocr-dev                   	       1        0        0        0        1
48834 hsakmt-roct                        	       1        0        0        0        1
48835 hsftp                              	       1        0        1        0        0
48836 hsmwiz                             	       1        0        1        0        0
48837 htcheck                            	       1        0        1        0        0
48838 htcondor                           	       1        0        0        0        1
48839 html-helper-mode                   	       1        0        1        0        0
48840 html2text-dbgsym                   	       1        0        1        0        0
48841 htmlmin                            	       1        0        1        0        0
48842 htop-build-deps                    	       1        0        0        0        1
48843 htop-dbgsym                        	       1        0        1        0        0
48844 htp                                	       1        0        1        0        0
48845 hts-tvheadend                      	       1        0        0        0        1
48846 htsengine                          	       1        0        1        0        0
48847 httest                             	       1        0        1        0        0
48848 huludesktop                        	       1        0        1        0        0
48849 human-theme                        	       1        0        0        0        1
48850 humanfriendly                      	       1        0        1        0        0
48851 hunspell-eo                        	       1        0        0        0        1
48852 hunspell-fr-modern                 	       1        0        0        0        1
48853 hunspell-se                        	       1        0        0        0        1
48854 hx                                 	       1        0        0        1        0
48855 hydrogen-patterns                  	       1        0        0        0        1
48856 hyfetch-git-dummy-builddeps        	       1        0        0        0        1
48857 hyperfine-musl                     	       1        0        1        0        0
48858 hyperion                           	       1        0        1        0        0
48859 hyphen-af                          	       1        0        0        0        1
48860 hyphen-as                          	       1        0        0        0        1
48861 hyphen-bn                          	       1        0        0        0        1
48862 hyphen-da                          	       1        0        0        0        1
48863 hyphen-el                          	       1        0        0        0        1
48864 hyphen-is                          	       1        0        0        0        1
48865 hyphen-kn                          	       1        0        0        0        1
48866 hyphen-mr                          	       1        0        0        0        1
48867 hyphen-pt-br                       	       1        0        0        0        1
48868 hyphen-ta                          	       1        0        0        0        1
48869 hyprcursor-util                    	       1        0        1        0        0
48870 hyprland-protocols                 	       1        0        0        0        1
48871 hyprwayland-scanner                	       1        0        1        0        0
48872 i3-next-workspace                  	       1        0        1        0        0
48873 i3-swap-focus                      	       1        0        1        0        0
48874 i3ipc-glib                         	       1        0        1        0        0
48875 i3xrocks                           	       1        0        1        0        0
48876 i3xrocks-cpu-usage                 	       1        0        0        0        1
48877 i3xrocks-net-traffic               	       1        0        0        0        1
48878 i3xrocks-time                      	       1        0        0        0        1
48879 iaito                              	       1        0        1        0        0
48880 ibackup                            	       1        0        1        0        0
48881 ibod                               	       1        0        1        0        0
48882 ibus-array                         	       1        0        0        0        1
48883 ibus-input-pad                     	       1        0        0        0        1
48884 ibus-keyman                        	       1        0        0        0        1
48885 ibus-kmfl                          	       1        0        0        0        1
48886 ibus-pinyin                        	       1        0        1        0        0
48887 ibus-table-telex                   	       1        0        0        0        1
48888 ibus-table-vni                     	       1        0        0        0        1
48889 ibus-tegaki                        	       1        0        1        0        0
48890 ibus-unikey                        	       1        0        1        0        0
48891 ibverbs-utils                      	       1        0        1        0        0
48892 ical2html                          	       1        0        1        0        0
48893 icc-utils                          	       1        0        0        0        1
48894 ice                                	       1        0        1        0        0
48895 iceape-dom-inspector               	       1        0        0        0        1
48896 icecat-l10n-de                     	       1        0        1        0        0
48897 icecat-l10n-es-es                  	       1        0        1        0        0
48898 icecream                           	       1        0        1        0        0
48899 icecream-sundae                    	       1        0        1        0        0
48900 icedove-l10n-en-gb                 	       1        0        0        0        1
48901 icedtea-7-plugin                   	       1        0        0        0        1
48902 iceowl-l10n-de                     	       1        0        0        0        1
48903 iceweasel-l10n-cs                  	       1        0        0        0        1
48904 iceweasel-l10n-es-ar               	       1        0        0        0        1
48905 iceweasel-l10n-es-cl               	       1        0        0        0        1
48906 iceweasel-l10n-es-mx               	       1        0        0        0        1
48907 iceweasel-l10n-it                  	       1        0        0        0        1
48908 iceweasel-l10n-ja                  	       1        0        0        0        1
48909 iceweasel-l10n-pl                  	       1        0        0        0        1
48910 iceweasel-l10n-sk                  	       1        0        0        0        1
48911 iceweasel-l10n-tr                  	       1        0        0        0        1
48912 icinga-cube                        	       1        0        0        0        1
48913 icinga-cube-web                    	       1        1        0        0        0
48914 icinga-graphite                    	       1        0        0        0        1
48915 icinga-graphite-web                	       1        1        0        0        0
48916 icinga-l10n                        	       1        0        0        0        1
48917 icinga-web                         	       1        1        0        0        0
48918 icinga-web-pnp                     	       1        0        0        0        1
48919 icinga-x509                        	       1        0        0        0        1
48920 icinga-x509-daemon                 	       1        1        0        0        0
48921 icinga-x509-php                    	       1        1        0        0        0
48922 icinga-x509-web                    	       1        0        0        0        1
48923 icinga2-studio                     	       1        0        1        0        0
48924 icingadb                           	       1        1        0        0        0
48925 icingadb-redis                     	       1        1        0        0        0
48926 icingadb-web                       	       1        1        0        0        0
48927 icingaweb2-module-director         	       1        0        1        0        0
48928 icingaweb2-module-incubator        	       1        0        1        0        0
48929 icingaweb2-module-pnp              	       1        0        1        0        0
48930 icingaweb2-module-reactbundle      	       1        0        1        0        0
48931 icmake                             	       1        0        1        0        0
48932 icmptx                             	       1        0        1        0        0
48933 icon-ipl                           	       1        0        1        0        0
48934 icon-slicer                        	       1        0        1        0        0
48935 icont                              	       1        0        1        0        0
48936 id3ed                              	       1        0        1        0        0
48937 idecrypt                           	       1        1        0        0        0
48938 ideviceactivation                  	       1        0        1        0        0
48939 idjc                               	       1        0        1        0        0
48940 idle-python3.10                    	       1        0        1        0        0
48941 ifcopenshell                       	       1        0        1        0        0
48942 ifcplugin                          	       1        0        1        0        0
48943 ifgate                             	       1        1        0        0        0
48944 ifscheme                           	       1        0        1        0        0
48945 igalician-minimos                  	       1        0        1        0        0
48946 igf-vracip                         	       1        0        1        0        0
48947 ignore-me                          	       1        0        1        0        0
48948 ii-esu                             	       1        0        1        0        0
48949 iii                                	       1        0        1        0        0
48950 ilia                               	       1        0        1        0        0
48951 ilorest                            	       1        0        1        0        0
48952 im                                 	       1        0        1        0        0
48953 im-switch                          	       1        0        1        0        0
48954 ima-adpcm                          	       1        0        1        0        0
48955 image-factory                      	       1        0        1        0        0
48956 image-transport-tools              	       1        0        1        0        0
48957 imagemagick-7                      	       1        0        0        1        0
48958 imagemagick-7.q16hdri              	       1        0        1        0        0
48959 imagevis3d                         	       1        0        1        0        0
48960 imageworsener                      	       1        0        1        0        0
48961 imagewriter                        	       1        0        1        0        0
48962 imanx                              	       1        0        1        0        0
48963 imapproxy                          	       1        1        0        0        0
48964 imediff2                           	       1        0        1        0        0
48965 img-pvr-rogue                      	       1        0        1        0        0
48966 imgsizer                           	       1        0        1        0        0
48967 imgtxtenh                          	       1        0        1        0        0
48968 imgui-build-deps                   	       1        0        0        0        1
48969 imhangul-common                    	       1        0        0        0        1
48970 imhangul-gtk3                      	       1        0        0        0        1
48971 imhex                              	       1        0        1        0        0
48972 imlib11-dev                        	       1        0        1        0        0
48973 imlib2                             	       1        0        0        0        1
48974 immagini                           	       1        0        0        0        1
48975 imthreshold                        	       1        0        1        0        0
48976 imview-doc                         	       1        0        0        0        1
48977 in-toto                            	       1        0        1        0        0
48978 inav-configurator                  	       1        0        0        0        1
48979 include-gardener-build-deps        	       1        0        0        0        1
48980 incus-tools                        	       1        0        1        0        0
48981 incus-ui-canonical                 	       1        0        1        0        0
48982 indi-weewx-json                    	       1        1        0        0        0
48983 indicator-cpufreq                  	       1        0        1        0        0
48984 indicator-keylock                  	       1        0        1        0        0
48985 indicator-sensors-build-deps       	       1        0        0        0        1
48986 indicator-sensors-dbgsym           	       1        0        1        0        0
48987 indicator-updatemanager            	       1        0        1        0        0
48988 indigo                             	       1        0        1        0        0
48989 indigo-control-panel               	       1        0        1        0        0
48990 industrial-icon-theme              	       1        0        0        0        1
48991 inetsim                            	       1        0        1        0        0
48992 infamous-plugins                   	       1        0        1        0        0
48993 infinit                            	       1        0        1        0        0
48994 influxdb2                          	       1        0        1        0        0
48995 influxdb2-cli                      	       1        0        1        0        0
48996 infonotary-client-software         	       1        0        1        0        0
48997 inform-docs                        	       1        0        0        0        1
48998 init-compat                        	       1        0        0        0        1
48999 initng                             	       1        0        1        0        0
49000 inkscape-build-deps                	       1        0        0        0        1
49001 ino-headers-doc                    	       1        0        0        0        1
49002 insomnium                          	       1        0        1        0        0
49003 install-doc                        	       1        0        0        0        1
49004 install-mimic                      	       1        0        1        0        0
49005 installation-guide-arm64           	       1        0        0        0        1
49006 installation-guide-armel           	       1        0        0        0        1
49007 installation-guide-armhf           	       1        0        0        0        1
49008 installation-guide-kfreebsd-amd64  	       1        0        0        0        1
49009 installation-guide-mips            	       1        0        0        0        1
49010 installation-guide-mips64el        	       1        0        0        0        1
49011 installation-guide-ppc64el         	       1        0        0        0        1
49012 installation-guide-s390x           	       1        0        0        0        1
49013 installwatch                       	       1        0        1        0        0
49014 insync-dolphin                     	       1        0        0        0        1
49015 insync-nemo                        	       1        0        0        0        1
49016 int-fiction                        	       1        0        1        0        0
49017 int-fiction-installer              	       1        0        1        0        0
49018 intel-basekit-env-2024.0           	       1        0        0        0        1
49019 intel-basekit-env-2024.1           	       1        0        0        0        1
49020 intel-basekit-getting-started-2024.0	       1        0        0        0        1
49021 intel-basekit-getting-started-2024.1	       1        0        0        0        1
49022 intel-comp-l-all-vars-19.1.0-166   	       1        0        1        0        0
49023 intel-comp-nomcu-vars-19.1.0-166   	       1        0        1        0        0
49024 intel-conda-index-tool-19.1.0-166  	       1        0        1        0        0
49025 intel-conda-intel-openmp-linux-64-shadow-package-19.1.0-166	       1        0        0        0        1
49026 intel-conda-mkl-devel-linux-64-shadow-package-2020.0-166	       1        0        0        0        1
49027 intel-conda-mkl-include-linux-64-shadow-package-2020.0-166	       1        0        0        0        1
49028 intel-conda-mkl-linux-64-shadow-package-2020.0-166	       1        0        0        0        1
49029 intel-conda-mkl-static-linux-64-shadow-package-2020.0-166	       1        0        0        0        1
49030 intel-conda-tbb-linux-64-shadow-package-2020.0-166	       1        0        0        0        1
49031 intel-gmmlib                       	       1        0        0        0        1
49032 intel-hpckit                       	       1        0        0        0        1
49033 intel-hpckit-env-2024.0            	       1        0        0        0        1
49034 intel-hpckit-env-2024.1            	       1        0        0        0        1
49035 intel-hpckit-getting-started-2024.0	       1        0        0        0        1
49036 intel-hpckit-getting-started-2024.1	       1        0        0        0        1
49037 intel-igc-core                     	       1        0        1        0        0
49038 intel-igc-core-2                   	       1        0        1        0        0
49039 intel-igc-opencl                   	       1        0        0        0        1
49040 intel-igc-opencl-2                 	       1        0        0        0        1
49041 intel-mkl-64bit-2020.0-088         	       1        0        0        0        1
49042 intel-mkl-cluster-2020.0-166       	       1        0        1        0        0
49043 intel-mkl-cluster-c-2020.0-166     	       1        0        0        0        1
49044 intel-mkl-cluster-f-2020.0-166     	       1        0        0        0        1
49045 intel-mkl-cluster-rt-2020.0-166    	       1        0        1        0        0
49046 intel-mkl-common-2020.0-166        	       1        0        1        0        0
49047 intel-mkl-common-c-2020.0-166      	       1        0        1        0        0
49048 intel-mkl-common-c-ps-2020.0-166   	       1        0        1        0        0
49049 intel-mkl-common-f-2020.0-166      	       1        0        0        0        1
49050 intel-mkl-common-ps-2020.0-166     	       1        0        1        0        0
49051 intel-mkl-core-2020.0-166          	       1        0        1        0        0
49052 intel-mkl-core-c-2020.0-166        	       1        0        0        0        1
49053 intel-mkl-core-f-2020.0-166        	       1        0        0        0        1
49054 intel-mkl-core-ps-2020.0-166       	       1        0        1        0        0
49055 intel-mkl-core-rt-2020.0-166       	       1        0        1        0        0
49056 intel-mkl-doc-2020                 	       1        0        0        0        1
49057 intel-mkl-doc-ps-2020              	       1        0        0        0        1
49058 intel-mkl-f95-2020.0-166           	       1        0        1        0        0
49059 intel-mkl-f95-common-2020.0-166    	       1        0        0        0        1
49060 intel-mkl-gnu-2020.0-166           	       1        0        1        0        0
49061 intel-mkl-gnu-c-2020.0-166         	       1        0        0        0        1
49062 intel-mkl-gnu-f-2020.0-166         	       1        0        1        0        0
49063 intel-mkl-gnu-f-rt-2020.0-166      	       1        0        1        0        0
49064 intel-mkl-gnu-rt-2020.0-166        	       1        0        1        0        0
49065 intel-mkl-pgi-2020.0-166           	       1        0        1        0        0
49066 intel-mkl-pgi-c-2020.0-166         	       1        0        0        0        1
49067 intel-mkl-pgi-rt-2020.0-166        	       1        0        1        0        0
49068 intel-mkl-psxe-2020.0-088          	       1        0        0        0        1
49069 intel-mkl-tbb-2020.0-166           	       1        0        1        0        0
49070 intel-mkl-tbb-rt-2020.0-166        	       1        0        1        0        0
49071 intel-ocloc                        	       1        0        1        0        0
49072 intel-oneapi-base-toolkit          	       1        0        0        0        1
49073 intel-oneapi-base-toolkit-env-2025.0	       1        0        0        0        1
49074 intel-oneapi-base-toolkit-getting-started-2025.0	       1        0        0        0        1
49075 intel-oneapi-ccl-2021.11           	       1        0        1        0        0
49076 intel-oneapi-ccl-2021.12           	       1        0        1        0        0
49077 intel-oneapi-ccl-2021.14           	       1        0        1        0        0
49078 intel-oneapi-ccl-devel-2021.11     	       1        0        1        0        0
49079 intel-oneapi-ccl-devel-2021.12     	       1        0        1        0        0
49080 intel-oneapi-ccl-devel-2021.14     	       1        0        1        0        0
49081 intel-oneapi-common-licensing-2023.2.0	       1        0        0        0        1
49082 intel-oneapi-common-licensing-2024.0	       1        0        0        0        1
49083 intel-oneapi-common-licensing-2024.1	       1        0        0        0        1
49084 intel-oneapi-common-licensing-2024.2	       1        0        0        0        1
49085 intel-oneapi-common-oneapi-vars-2024.0	       1        0        0        0        1
49086 intel-oneapi-common-oneapi-vars-2024.1	       1        0        0        0        1
49087 intel-oneapi-common-oneapi-vars-2024.2	       1        0        0        0        1
49088 intel-oneapi-compiler-cpp-eclipse-cfg-2024.0	       1        0        0        0        1
49089 intel-oneapi-compiler-cpp-eclipse-cfg-2024.1	       1        0        0        0        1
49090 intel-oneapi-compiler-cpp-eclipse-cfg-2025.0	       1        0        0        0        1
49091 intel-oneapi-compiler-dpcpp-cpp-2024.0	       1        0        0        0        1
49092 intel-oneapi-compiler-dpcpp-cpp-2024.1	       1        0        0        0        1
49093 intel-oneapi-compiler-dpcpp-cpp-2025.0	       1        0        0        0        1
49094 intel-oneapi-compiler-dpcpp-cpp-common-2024.0	       1        0        1        0        0
49095 intel-oneapi-compiler-dpcpp-cpp-common-2024.1	       1        0        1        0        0
49096 intel-oneapi-compiler-dpcpp-cpp-common-2025.0	       1        0        1        0        0
49097 intel-oneapi-compiler-dpcpp-cpp-runtime-2023.2.0	       1        0        1        0        0
49098 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.0	       1        0        0        0        1
49099 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.1	       1        0        0        0        1
49100 intel-oneapi-compiler-dpcpp-cpp-runtime-2024.2	       1        0        0        0        1
49101 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.0	       1        0        0        0        1
49102 intel-oneapi-compiler-dpcpp-eclipse-cfg-2024.1	       1        0        0        0        1
49103 intel-oneapi-compiler-dpcpp-eclipse-cfg-2025.0	       1        0        0        0        1
49104 intel-oneapi-compiler-fortran-2024.0	       1        0        1        0        0
49105 intel-oneapi-compiler-fortran-2024.1	       1        0        1        0        0
49106 intel-oneapi-compiler-fortran-common-2024.0	       1        0        1        0        0
49107 intel-oneapi-compiler-fortran-common-2024.1	       1        0        1        0        0
49108 intel-oneapi-compiler-fortran-runtime-2024.0	       1        0        0        0        1
49109 intel-oneapi-compiler-fortran-runtime-2024.1	       1        0        0        0        1
49110 intel-oneapi-compiler-shared-2024.0	       1        0        1        0        0
49111 intel-oneapi-compiler-shared-2024.1	       1        0        1        0        0
49112 intel-oneapi-compiler-shared-2025.0	       1        0        1        0        0
49113 intel-oneapi-compiler-shared-common-2024.0	       1        0        1        0        0
49114 intel-oneapi-compiler-shared-common-2024.1	       1        0        1        0        0
49115 intel-oneapi-compiler-shared-common-2025.0	       1        0        1        0        0
49116 intel-oneapi-compiler-shared-runtime-2023.2.0	       1        0        1        0        0
49117 intel-oneapi-compiler-shared-runtime-2024.0	       1        0        1        0        0
49118 intel-oneapi-compiler-shared-runtime-2024.1	       1        0        1        0        0
49119 intel-oneapi-compiler-shared-runtime-2024.2	       1        0        0        0        1
49120 intel-oneapi-condaindex            	       1        0        1        0        0
49121 intel-oneapi-dal-2024.0            	       1        0        0        0        1
49122 intel-oneapi-dal-2024.2            	       1        0        0        0        1
49123 intel-oneapi-dal-2024.6            	       1        0        0        0        1
49124 intel-oneapi-dal-2025.0            	       1        0        0        0        1
49125 intel-oneapi-dal-common-2024.0     	       1        0        0        0        1
49126 intel-oneapi-dal-common-2024.2     	       1        0        0        0        1
49127 intel-oneapi-dal-common-2024.6     	       1        0        0        0        1
49128 intel-oneapi-dal-common-2025.0     	       1        0        0        0        1
49129 intel-oneapi-dal-common-devel-2024.0	       1        0        1        0        0
49130 intel-oneapi-dal-common-devel-2024.2	       1        0        1        0        0
49131 intel-oneapi-dal-common-devel-2024.6	       1        0        1        0        0
49132 intel-oneapi-dal-common-devel-2025.0	       1        0        1        0        0
49133 intel-oneapi-dal-devel-2024.0      	       1        0        1        0        0
49134 intel-oneapi-dal-devel-2024.2      	       1        0        1        0        0
49135 intel-oneapi-dal-devel-2024.6      	       1        0        1        0        0
49136 intel-oneapi-dal-devel-2025.0      	       1        0        1        0        0
49137 intel-oneapi-dev-utilities-2024.0  	       1        0        1        0        0
49138 intel-oneapi-dev-utilities-2024.1  	       1        0        1        0        0
49139 intel-oneapi-dev-utilities-2025.0  	       1        0        1        0        0
49140 intel-oneapi-dev-utilities-eclipse-cfg-2024.0	       1        0        0        0        1
49141 intel-oneapi-dev-utilities-eclipse-cfg-2024.1	       1        0        0        0        1
49142 intel-oneapi-dev-utilities-eclipse-cfg-2025.0	       1        0        0        0        1
49143 intel-oneapi-diagnostics-utility   	       1        0        0        0        1
49144 intel-oneapi-diagnostics-utility-2024.0	       1        0        1        0        0
49145 intel-oneapi-diagnostics-utility-2024.1	       1        0        1        0        0
49146 intel-oneapi-dnnl-2024.0           	       1        0        0        0        1
49147 intel-oneapi-dnnl-2024.1           	       1        0        0        0        1
49148 intel-oneapi-dnnl-2025.0           	       1        0        0        0        1
49149 intel-oneapi-dnnl-devel-2024.0     	       1        0        1        0        0
49150 intel-oneapi-dnnl-devel-2024.1     	       1        0        1        0        0
49151 intel-oneapi-dnnl-devel-2025.0     	       1        0        1        0        0
49152 intel-oneapi-dpcpp-cpp-2024.0      	       1        0        1        0        0
49153 intel-oneapi-dpcpp-cpp-2024.1      	       1        0        1        0        0
49154 intel-oneapi-dpcpp-cpp-2025.0      	       1        0        1        0        0
49155 intel-oneapi-dpcpp-ct-2024.0       	       1        0        1        0        0
49156 intel-oneapi-dpcpp-ct-2024.1       	       1        0        1        0        0
49157 intel-oneapi-dpcpp-ct-2025.0       	       1        0        1        0        0
49158 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.0	       1        0        0        0        1
49159 intel-oneapi-dpcpp-ct-eclipse-cfg-2024.1	       1        0        0        0        1
49160 intel-oneapi-dpcpp-ct-eclipse-cfg-2025.0	       1        0        0        0        1
49161 intel-oneapi-dpcpp-debugger-2024.0 	       1        0        1        0        0
49162 intel-oneapi-dpcpp-debugger-2024.1 	       1        0        1        0        0
49163 intel-oneapi-dpcpp-debugger-2025.0 	       1        0        1        0        0
49164 intel-oneapi-icc-eclipse-plugin-cpp-2024.0	       1        0        0        0        1
49165 intel-oneapi-icc-eclipse-plugin-cpp-2024.1	       1        0        0        0        1
49166 intel-oneapi-icc-eclipse-plugin-cpp-2025.0	       1        0        0        0        1
49167 intel-oneapi-inspector             	       1        0        1        0        0
49168 intel-oneapi-ipp-2021.10           	       1        0        0        0        1
49169 intel-oneapi-ipp-2021.11           	       1        0        0        0        1
49170 intel-oneapi-ipp-2022.0            	       1        0        0        0        1
49171 intel-oneapi-ipp-common-2021.10    	       1        0        0        0        1
49172 intel-oneapi-ipp-common-2021.11    	       1        0        0        0        1
49173 intel-oneapi-ipp-common-devel-2021.10	       1        0        1        0        0
49174 intel-oneapi-ipp-common-devel-2021.11	       1        0        1        0        0
49175 intel-oneapi-ipp-devel-2021.10     	       1        0        1        0        0
49176 intel-oneapi-ipp-devel-2021.11     	       1        0        1        0        0
49177 intel-oneapi-ipp-devel-2022.0      	       1        0        1        0        0
49178 intel-oneapi-ippcp-2021.11         	       1        0        0        0        1
49179 intel-oneapi-ippcp-2021.9          	       1        0        0        0        1
49180 intel-oneapi-ippcp-2025.0          	       1        0        0        0        1
49181 intel-oneapi-ippcp-common-2021.11  	       1        0        0        0        1
49182 intel-oneapi-ippcp-common-2021.9   	       1        0        0        0        1
49183 intel-oneapi-ippcp-common-devel-2021.11	       1        0        1        0        0
49184 intel-oneapi-ippcp-common-devel-2021.9	       1        0        1        0        0
49185 intel-oneapi-ippcp-devel-2021.11   	       1        0        1        0        0
49186 intel-oneapi-ippcp-devel-2021.9    	       1        0        1        0        0
49187 intel-oneapi-ippcp-devel-2025.0    	       1        0        1        0        0
49188 intel-oneapi-itac                  	       1        0        0        0        1
49189 intel-oneapi-itac-2022.0           	       1        0        1        0        0
49190 intel-oneapi-itac-2022.1           	       1        0        1        0        0
49191 intel-oneapi-libdpstd-devel-2022.3 	       1        0        1        0        0
49192 intel-oneapi-libdpstd-devel-2022.5 	       1        0        1        0        0
49193 intel-oneapi-libdpstd-devel-2022.7 	       1        0        1        0        0
49194 intel-oneapi-mkl-2023.2.0          	       1        0        1        0        0
49195 intel-oneapi-mkl-2024.0            	       1        0        0        0        1
49196 intel-oneapi-mkl-2025.0            	       1        0        0        0        1
49197 intel-oneapi-mkl-classic-2025.0    	       1        0        0        0        1
49198 intel-oneapi-mkl-classic-devel-2024.1	       1        0        0        0        1
49199 intel-oneapi-mkl-classic-include-2024.1	       1        0        0        0        1
49200 intel-oneapi-mkl-classic-include-common-2024.1	       1        0        1        0        0
49201 intel-oneapi-mkl-cluster-2024.1    	       1        0        0        0        1
49202 intel-oneapi-mkl-cluster-devel-2024.1	       1        0        1        0        0
49203 intel-oneapi-mkl-cluster-devel-common-2024.1	       1        0        0        0        1
49204 intel-oneapi-mkl-common-2023.2.0   	       1        0        0        0        1
49205 intel-oneapi-mkl-common-2024.0     	       1        0        0        0        1
49206 intel-oneapi-mkl-common-devel-2023.2.0	       1        0        1        0        0
49207 intel-oneapi-mkl-common-devel-2024.0	       1        0        1        0        0
49208 intel-oneapi-mkl-core-2024.1       	       1        0        0        0        1
49209 intel-oneapi-mkl-core-common-2024.1	       1        0        0        0        1
49210 intel-oneapi-mkl-core-devel-2024.1 	       1        0        1        0        0
49211 intel-oneapi-mkl-core-devel-common-2024.1	       1        0        1        0        0
49212 intel-oneapi-mkl-devel-2023.2.0    	       1        0        1        0        0
49213 intel-oneapi-mkl-devel-2024.0      	       1        0        1        0        0
49214 intel-oneapi-mkl-devel-2024.1      	       1        0        0        0        1
49215 intel-oneapi-mkl-sycl-2024.1       	       1        0        0        0        1
49216 intel-oneapi-mkl-sycl-blas-2024.1  	       1        0        0        0        1
49217 intel-oneapi-mkl-sycl-data-fitting-2024.1	       1        0        0        0        1
49218 intel-oneapi-mkl-sycl-devel-2024.1 	       1        0        1        0        0
49219 intel-oneapi-mkl-sycl-devel-common-2024.1	       1        0        0        0        1
49220 intel-oneapi-mkl-sycl-dft-2024.1   	       1        0        0        0        1
49221 intel-oneapi-mkl-sycl-include-2024.1	       1        0        0        0        1
49222 intel-oneapi-mkl-sycl-lapack-2024.1	       1        0        0        0        1
49223 intel-oneapi-mkl-sycl-rng-2024.1   	       1        0        0        0        1
49224 intel-oneapi-mkl-sycl-sparse-2024.1	       1        0        0        0        1
49225 intel-oneapi-mkl-sycl-stats-2024.1 	       1        0        0        0        1
49226 intel-oneapi-mkl-sycl-vm-2024.1    	       1        0        0        0        1
49227 intel-oneapi-mpi-2021.11           	       1        0        1        0        0
49228 intel-oneapi-mpi-2021.12           	       1        0        1        0        0
49229 intel-oneapi-mpi-2021.14           	       1        0        1        0        0
49230 intel-oneapi-mpi-devel             	       1        0        0        0        1
49231 intel-oneapi-mpi-devel-2021.11     	       1        0        1        0        0
49232 intel-oneapi-mpi-devel-2021.12     	       1        0        1        0        0
49233 intel-oneapi-mpi-devel-2021.14     	       1        0        1        0        0
49234 intel-oneapi-openmp-2023.2.0       	       1        0        1        0        0
49235 intel-oneapi-openmp-2024.0         	       1        0        1        0        0
49236 intel-oneapi-openmp-2024.1         	       1        0        1        0        0
49237 intel-oneapi-openmp-2024.2         	       1        0        1        0        0
49238 intel-oneapi-openmp-common-2023.2.0	       1        0        0        0        1
49239 intel-oneapi-openmp-common-2024.0  	       1        0        0        0        1
49240 intel-oneapi-openmp-common-2024.1  	       1        0        0        0        1
49241 intel-oneapi-openmp-common-2024.2  	       1        0        0        0        1
49242 intel-oneapi-runtime-compilers     	       1        0        1        0        0
49243 intel-oneapi-runtime-compilers-2024	       1        0        1        0        0
49244 intel-oneapi-runtime-compilers-common-2024	       1        0        0        0        1
49245 intel-oneapi-runtime-dpcpp-cpp     	       1        0        1        0        0
49246 intel-oneapi-runtime-dpcpp-cpp-2024	       1        0        0        0        1
49247 intel-oneapi-runtime-dpcpp-cpp-common	       1        0        1        0        0
49248 intel-oneapi-runtime-dpcpp-cpp-common-2024	       1        0        0        0        1
49249 intel-oneapi-runtime-dpcpp-sycl-core	       1        0        0        0        1
49250 intel-oneapi-runtime-dpcpp-sycl-core-2024	       1        0        0        0        1
49251 intel-oneapi-runtime-dpcpp-sycl-cpu-rt	       1        0        0        0        1
49252 intel-oneapi-runtime-dpcpp-sycl-fpga-emul	       1        0        1        0        0
49253 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu	       1        0        1        0        0
49254 intel-oneapi-runtime-dpcpp-sycl-opencl-cpu-2024	       1        0        0        0        1
49255 intel-oneapi-runtime-dpcpp-sycl-rt 	       1        0        0        0        1
49256 intel-oneapi-runtime-mkl-2024      	       1        0        0        0        1
49257 intel-oneapi-runtime-mkl-common    	       1        0        1        0        0
49258 intel-oneapi-runtime-mkl-common-2024	       1        0        0        0        1
49259 intel-oneapi-runtime-opencl        	       1        0        1        0        0
49260 intel-oneapi-runtime-opencl-2024   	       1        0        1        0        0
49261 intel-oneapi-runtime-openmp        	       1        0        1        0        0
49262 intel-oneapi-runtime-openmp-2024   	       1        0        0        0        1
49263 intel-oneapi-runtime-openmp-opencl-shared-2024	       1        0        0        0        1
49264 intel-oneapi-runtime-tbb           	       1        0        1        0        0
49265 intel-oneapi-runtime-tbb-2021      	       1        0        0        0        1
49266 intel-oneapi-runtime-tbb-common    	       1        0        1        0        0
49267 intel-oneapi-runtime-tbb-common-2021	       1        0        0        0        1
49268 intel-oneapi-runtime-tcm-1         	       1        0        0        0        1
49269 intel-oneapi-tbb-2021.10.0         	       1        0        1        0        0
49270 intel-oneapi-tbb-2021.11           	       1        0        0        0        1
49271 intel-oneapi-tbb-2021.12           	       1        0        0        0        1
49272 intel-oneapi-tbb-2021.13           	       1        0        0        0        1
49273 intel-oneapi-tbb-common-2021.10.0  	       1        0        0        0        1
49274 intel-oneapi-tbb-common-2021.11    	       1        0        0        0        1
49275 intel-oneapi-tbb-common-2021.12    	       1        0        0        0        1
49276 intel-oneapi-tbb-common-2021.13    	       1        0        0        0        1
49277 intel-oneapi-tbb-common-devel-2021.11	       1        0        1        0        0
49278 intel-oneapi-tbb-common-devel-2021.12	       1        0        1        0        0
49279 intel-oneapi-tbb-common-devel-2021.13	       1        0        1        0        0
49280 intel-oneapi-tbb-devel-2021.11     	       1        0        1        0        0
49281 intel-oneapi-tbb-devel-2021.12     	       1        0        1        0        0
49282 intel-oneapi-tbb-devel-2021.13     	       1        0        1        0        0
49283 intel-oneapi-tbb-devel-2022.0      	       1        0        1        0        0
49284 intel-oneapi-tcm-1.0               	       1        0        0        0        1
49285 intel-oneapi-tcm-1.1               	       1        0        0        0        1
49286 intel-oneapi-tlt-2024.0            	       1        0        0        0        1
49287 intel-oneapi-tlt-2024.1            	       1        0        0        0        1
49288 intel-oneapi-tlt-2025.0            	       1        0        0        0        1
49289 intel-opencl                       	       1        0        1        0        0
49290 intel-openmp-19.1.0-166            	       1        0        1        0        0
49291 intel-psxe-common-2020.0-088       	       1        0        1        0        0
49292 intel-psxe-common-doc-2020         	       1        0        0        0        1
49293 intel-pti-0.10                     	       1        0        0        0        1
49294 intel-pti-dev                      	       1        0        0        0        1
49295 intel-pti-dev-0.10                 	       1        0        0        1        0
49296 intel-tbb-libs-2020.0-166          	       1        0        1        0        0
49297 interimap                          	       1        0        1        0        0
49298 internet-watchdog                  	       1        0        1        0        0
49299 intranet-utils-2pir                	       1        0        1        0        0
49300 invesalius-bin-dbgsym              	       1        0        1        0        0
49301 invesalius-build-deps              	       1        0        0        0        1
49302 invesalius-examples                	       1        0        0        0        1
49303 io.solarwallet.app                 	       1        0        1        0        0
49304 iog                                	       1        0        1        0        0
49305 ion2                               	       1        1        0        0        0
49306 ioport                             	       1        0        1        0        0
49307 ipchains                           	       1        0        1        0        0
49308 ipig                               	       1        0        1        0        0
49309 ipip                               	       1        0        1        0        0
49310 ipol-tools                         	       1        0        1        0        0
49311 ippl                               	       1        0        1        0        0
49312 ippusbxd                           	       1        0        1        0        0
49313 iproute-dev                        	       1        0        1        0        0
49314 iptables-converter-doc             	       1        0        0        0        1
49315 ipv6pref                           	       1        0        1        0        0
49316 ipwatchd                           	       1        1        0        0        0
49317 ipx                                	       1        0        1        0        0
49318 ipython-doc                        	       1        0        0        0        1
49319 ipython3-qtconsole                 	       1        0        0        0        1
49320 iqtree                             	       1        0        1        0        0
49321 ircd-irc2                          	       1        0        1        0        0
49322 irclog2html                        	       1        0        1        0        0
49323 ircp-tray                          	       1        0        1        0        0
49324 ire                                	       1        0        1        0        0
49325 ire-rotj                           	       1        0        1        0        0
49326 ire-the-flat                       	       1        0        1        0        0
49327 iridium-browser                    	       1        0        1        0        0
49328 irony                              	       1        0        1        0        0
49329 irony-mode                         	       1        0        0        0        1
49330 irpas                              	       1        0        1        0        0
49331 irssi-plugin-robustirc             	       1        0        0        0        1
49332 irssi-text                         	       1        0        0        0        1
49333 isapnptools                        	       1        0        1        0        0
49334 isc-kea-common                     	       1        0        1        0        0
49335 isc-kea-dhcp4                      	       1        0        1        0        0
49336 isc-kea-dhcp6                      	       1        0        1        0        0
49337 isc-stork-agent                    	       1        0        1        0        0
49338 isc-stork-server                   	       1        0        1        0        0
49339 iscan-plugin-gt-f670               	       1        0        1        0        0
49340 iscan-plugin-gt-s600               	       1        0        1        0        0
49341 iscan-plugin-gt-x770               	       1        0        1        0        0
49342 iscan-plugin-perfection-v370       	       1        0        1        0        0
49343 iscan-plugin-perfection-v550       	       1        0        1        0        0
49344 iscsi-scst                         	       1        0        1        0        0
49345 isdnactivecards                    	       1        0        1        0        0
49346 isdnbutton                         	       1        0        1        0        0
49347 isdnutils-doc                      	       1        0        0        0        1
49348 isdnutils-xtools                   	       1        0        1        0        0
49349 isdnvboxserver                     	       1        0        1        0        0
49350 isight-firmware-tools              	       1        0        1        0        0
49351 iso-flag-png                       	       1        0        0        0        1
49352 itamae                             	       1        0        1        0        0
49353 itcl3.1                            	       1        0        1        0        0
49354 itk3.1                             	       1        0        1        0        0
49355 itksnap                            	       1        0        1        0        0
49356 ivpn                               	       1        0        1        0        0
49357 ivritex                            	       1        0        0        0        1
49358 ivy-debian-helper                  	       1        0        1        0        0
49359 iwidgets3.1                        	       1        0        0        0        1
49360 j2cli                              	       1        0        1        0        0
49361 jabber-muc                         	       1        0        1        0        0
49362 jabber-querybot                    	       1        0        1        0        0
49363 jack-delay                         	       1        0        1        0        0
49364 jackass                            	       1        0        1        0        0
49365 jade                               	       1        0        1        0        0
49366 jag-data                           	       1        0        0        0        1
49367 jalview                            	       1        0        1        0        0
49368 jameica-doc                        	       1        0        0        0        1
49369 jaranalyzer                        	       1        0        1        0        0
49370 jasmin-sable                       	       1        0        1        0        0
49371 jaspersoftstudio                   	       1        0        1        0        0
49372 jattach                            	       1        0        1        0        0
49373 java-1.8.0-amazon-corretto-jdk     	       1        0        1        0        0
49374 java-11-amazon-corretto-jdk        	       1        0        1        0        0
49375 java-gcj-compat                    	       1        0        0        0        1
49376 java-gcj-compat-headless           	       1        0        1        0        0
49377 java-policy                        	       1        0        0        0        1
49378 java2-common                       	       1        0        1        0        0
49379 java2html                          	       1        0        1        0        0
49380 javacc5                            	       1        0        1        0        0
49381 jaxb                               	       1        0        1        0        0
49382 jaxe                               	       1        0        1        0        0
49383 jazip                              	       1        1        0        0        0
49384 jbibtex-bin                        	       1        0        1        0        0
49385 jc2rec                             	       1        0        1        0        0
49386 jcat                               	       1        0        0        1        0
49387 jchroot                            	       1        0        1        0        0
49388 jdk-10                             	       1        0        1        0        0
49389 jdk-11.0.6                         	       1        0        1        0        0
49390 jdk-11.0.8                         	       1        0        1        0        0
49391 jdk-13.0.2                         	       1        0        1        0        0
49392 jdk-14                             	       1        0        1        0        0
49393 jdk-14.0.1                         	       1        0        1        0        0
49394 jdk-14.0.2                         	       1        0        1        0        0
49395 jdk-15                             	       1        0        1        0        0
49396 jdk-15.0.1                         	       1        0        1        0        0
49397 jdk-16.0.1                         	       1        0        1        0        0
49398 jdk-20                             	       1        0        1        0        0
49399 jdk-9                              	       1        0        1        0        0
49400 jdk1.8                             	       1        0        1        0        0
49401 jdns                               	       1        0        1        0        0
49402 jdresolve                          	       1        0        1        0        0
49403 jeepyb                             	       1        0        1        0        0
49404 jel-java                           	       1        0        0        0        1
49405 jello                              	       1        0        1        0        0
49406 jellyfin-ffmpeg5                   	       1        1        0        0        0
49407 jellyfin-ffmpeg7                   	       1        0        1        0        0
49408 jellyfish                          	       1        0        1        0        0
49409 jenkins-buildenv-devuan            	       1        0        0        0        1
49410 jetty9                             	       1        0        1        0        0
49411 jexiftoolgui                       	       1        0        1        0        0
49412 jfp-fonts                          	       1        0        0        0        1
49413 jfritz                             	       1        1        0        0        0
49414 jgraph                             	       1        0        1        0        0
49415 jibri                              	       1        0        0        0        1
49416 jigl                               	       1        0        1        0        0
49417 jigsaw-generator                   	       1        0        1        0        0
49418 jikes                              	       1        0        1        0        0
49419 jikes-dbgsym                       	       1        0        1        0        0
49420 jlint                              	       1        0        1        0        0
49421 jmagick7-docs                      	       1        0        0        0        1
49422 jmathedit                          	       1        0        1        0        0
49423 jmdlx                              	       1        0        1        0        0
49424 jmeter-java                        	       1        0        1        0        0
49425 jmodeltest                         	       1        0        1        0        0
49426 jmol-applet                        	       1        0        0        0        1
49427 jockey-common                      	       1        0        1        0        0
49428 joint-state-publisher              	       1        0        1        0        0
49429 joint-state-publisher-gui          	       1        0        1        0        0
49430 jool-dkms                          	       1        1        0        0        0
49431 jool-tools                         	       1        0        1        0        0
49432 joplin                             	       1        1        0        0        0
49433 jose-util                          	       1        0        1        0        0
49434 josm-plugins                       	       1        0        0        0        1
49435 jpdfbookmarks                      	       1        0        1        0        0
49436 jpdftweak                          	       1        0        1        0        0
49437 jpeg-decomp                        	       1        0        1        0        0
49438 jpeg-recompress                    	       1        0        1        0        0
49439 jpeg2pdf                           	       1        0        1        0        0
49440 jpegquant                          	       1        0        1        0        0
49441 jpegrepair                         	       1        0        1        0        0
49442 jpegsnoop                          	       1        0        1        0        0
49443 jpgcrush                           	       1        0        1        0        0
49444 jpge                               	       1        0        1        0        0
49445 jprofiler                          	       1        0        1        0        0
49446 jquery-alternative-doc             	       1        0        0        0        1
49447 jquery-jplayer-pinkflag            	       1        0        0        0        1
49448 jruby-maven-plugins                	       1        0        0        0        1
49449 jruby-openssl                      	       1        0        1        0        0
49450 jsamp                              	       1        0        1        0        0
49451 jscalibrator                       	       1        0        1        0        0
49452 jsoko                              	       1        1        0        0        0
49453 jta                                	       1        0        0        0        1
49454 jtreg                              	       1        0        1        0        0
49455 jtreg7                             	       1        0        1        0        0
49456 juced-plugins-lv2                  	       1        0        1        0        0
49457 junior-art                         	       1        0        0        0        1
49458 junior-games-adventure             	       1        0        0        0        1
49459 junior-games-net                   	       1        0        0        0        1
49460 junior-games-sim                   	       1        0        0        0        1
49461 junior-toys                        	       1        0        0        0        1
49462 junior-writing                     	       1        0        0        0        1
49463 jupyter-sphinx-theme-doc           	       1        0        0        0        1
49464 jupyterhub                         	       1        0        1        0        0
49465 just                               	       1        0        1        0        0
49466 jxl                                	       1        0        1        0        0
49467 k6                                 	       1        0        1        0        0
49468 k9s                                	       1        0        1        0        0
49469 kaboom                             	       1        0        1        0        0
49470 kadu-common                        	       1        0        0        0        1
49471 kadu-themes                        	       1        0        0        0        1
49472 kaffe                              	       1        0        1        0        0
49473 kaffe-pthreads                     	       1        0        1        0        0
49474 kaffeine-mozilla-trinity           	       1        0        1        0        0
49475 kaffeine-trinity-dbg               	       1        0        1        0        0
49476 kagestudio                         	       1        0        1        0        0
49477 kalamine                           	       1        0        1        0        0
49478 kalgebramobile                     	       1        0        1        0        0
49479 kalk                               	       1        0        1        0        0
49480 kalternatives                      	       1        0        1        0        0
49481 kanadic                            	       1        0        0        0        1
49482 kanatest                           	       1        1        0        0        0
49483 kanboard                           	       1        0        1        0        0
49484 kanboard-cli                       	       1        0        1        0        0
49485 kanidm                             	       1        1        0        0        0
49486 kanidm-unixd                       	       1        0        0        1        0
49487 kanjidic-xml                       	       1        0        0        0        1
49488 kanjidraw                          	       1        0        1        0        0
49489 kannel                             	       1        0        1        0        0
49490 kanotix-archive-keyring            	       1        0        0        0        1
49491 kanotix-keyring                    	       1        0        0        0        1
49492 kanotix-keyrings                   	       1        0        0        0        1
49493 kanotix-menu                       	       1        0        0        0        1
49494 kapidox6                           	       1        0        0        1        0
49495 kappanhang                         	       1        0        1        0        0
49496 kapptemplate-trinity               	       1        0        1        0        0
49497 katapult-trinity                   	       1        0        1        0        0
49498 kazehakase                         	       1        0        1        0        0
49499 kazehakase-gecko                   	       1        0        1        0        0
49500 kbabel-trinity                     	       1        0        1        0        0
49501 kbabel-trinity-dev                 	       1        0        1        0        0
49502 kbookreader-trinity                	       1        0        1        0        0
49503 kbstate                            	       1        0        1        0        0
49504 kbtin                              	       1        0        1        0        0
49505 kbugbuster-trinity                 	       1        0        1        0        0
49506 kcemu                              	       1        0        1        0        0
49507 kcemu-common                       	       1        0        1        0        0
49508 kcov                               	       1        0        1        0        0
49509 kcptun                             	       1        0        1        0        0
49510 kcpuload-trinity                   	       1        0        1        0        0
49511 kcron                              	       1        0        0        0        1
49512 kdat                               	       1        0        1        0        0
49513 kdc2tiff                           	       1        0        1        0        0
49514 kde-config-baloo-advanced          	       1        0        1        0        0
49515 kde-config-phonon-xine             	       1        0        1        0        0
49516 kde-i18n-cs-trinity                	       1        0        0        0        1
49517 kde-i18n-de                        	       1        0        0        0        1
49518 kde-i18n-engb-trinity              	       1        0        0        0        1
49519 kde-i18n-es-trinity                	       1        0        0        0        1
49520 kde-i18n-fr-trinity                	       1        0        0        0        1
49521 kde-i18n-pl-trinity                	       1        0        0        0        1
49522 kde-i18n-ru-trinity                	       1        0        0        0        1
49523 kde-i18n-sk-trinity                	       1        0        0        0        1
49524 kde-icons-gorilla                  	       1        0        0        0        1
49525 kde-icons-kneu                     	       1        0        0        0        1
49526 kde-icons-korilla                  	       1        0        0        0        1
49527 kde-icons-noia                     	       1        0        0        0        1
49528 kde-icons-nuovext                  	       1        0        0        0        1
49529 kde-icons-oxygen                   	       1        0        0        0        1
49530 kde-inotify-survey                 	       1        1        0        0        0
49531 kde-l10n-engb                      	       1        0        0        0        1
49532 kde-l10n-eu                        	       1        0        0        0        1
49533 kde-l10n-fr                        	       1        0        0        0        1
49534 kde-plasma-netbook                 	       1        0        0        0        1
49535 kde-style-oxygen-transparent       	       1        0        1        0        0
49536 kde-systemsettings-trinity         	       1        0        0        0        1
49537 kde-wallpapers-lineartreworked     	       1        0        0        0        1
49538 kdeaccessibility-doc-html          	       1        0        0        0        1
49539 kdeaccessibility-trinity-doc-html  	       1        0        0        0        1
49540 kdeaddons-trinity-doc-html         	       1        0        0        0        1
49541 kdeadmin-doc-html                  	       1        0        0        0        1
49542 kdeadmin-trinity-doc-html          	       1        0        0        0        1
49543 kdeartwork-dbg                     	       1        0        1        0        0
49544 kdeartwork-style                   	       1        1        0        0        0
49545 kdeartwork-theme-window            	       1        0        1        0        0
49546 kdebase                            	       1        0        0        0        1
49547 kdebase-data-trinity               	       1        0        0        0        1
49548 kdebase-doc-html                   	       1        0        0        0        1
49549 kdebase-kio-smb-trinity            	       1        0        0        0        1
49550 kdebase-trinity-doc-html           	       1        0        0        0        1
49551 kdeedu-doc-html                    	       1        0        0        0        1
49552 kdeedu-trinity-doc-html            	       1        0        0        0        1
49553 kdeeject                           	       1        0        1        0        0
49554 kdegames-doc-html                  	       1        0        0        0        1
49555 kdegraphics-doc-html               	       1        0        0        0        1
49556 kdegraphics-trinity-doc-html       	       1        0        0        0        1
49557 kdelibs                            	       1        0        0        0        1
49558 kdelibs-data-trinity               	       1        0        0        0        1
49559 kdelibs-trinity                    	       1        0        0        0        1
49560 kdelibs4c2a-trinity                	       1        0        0        0        1
49561 kdelibs5-dev                       	       1        0        1        0        0
49562 kdelirc                            	       1        0        0        0        1
49563 kdemultimedia-doc-html             	       1        0        0        0        1
49564 kdemultimedia-trinity-doc-html     	       1        0        0        0        1
49565 kdenetwork-doc-html                	       1        0        0        0        1
49566 kdenetwork-trinity-doc-html        	       1        0        0        0        1
49567 kdeprint                           	       1        0        1        0        0
49568 kdesdk-dolphin-plugins             	       1        0        0        0        1
49569 kdesdk-misc                        	       1        0        1        0        0
49570 kdesignerplugin                    	       1        0        0        0        1
49571 kdeutils-doc-html                  	       1        0        0        0        1
49572 kdevdesigner-trinity               	       1        0        1        0        0
49573 kdevelop-trinity                   	       1        0        0        0        1
49574 kdevplatform-l10n                  	       1        0        0        0        1
49575 kdevplatform10-libs                	       1        0        1        0        0
49576 kdm-gdmcompat                      	       1        0        1        0        0
49577 kdm-theme-aperture                 	       1        0        0        0        1
49578 kdm-theme-bespin                   	       1        0        0        0        1
49579 kdm-theme-tibanna                  	       1        0        0        0        1
49580 kdoctools-dev                      	       1        0        0        0        1
49581 kdpkg-trinity                      	       1        0        1        0        0
49582 kdrill                             	       1        0        1        0        0
49583 kedit                              	       1        0        1        0        0
49584 keep                               	       1        0        1        0        0
49585 keeweb-desktop                     	       1        0        1        0        0
49586 kelbt                              	       1        0        1        0        0
49587 kenolaba                           	       1        0        1        0        0
49588 kephra                             	       1        0        1        0        0
49589 kerio-control-vpnclient            	       1        0        1        0        0
49590 kernel-image-5.10.0-22-amd64-di    	       1        0        0        0        1
49591 kernel-mft-dkms                    	       1        0        1        0        0
49592 kernel-patch-nfs-ngroups           	       1        0        0        0        1
49593 kernel-patch-scripts               	       1        0        1        0        0
49594 ket-keyring                        	       1        0        0        0        1
49595 ketchup                            	       1        0        1        0        0
49596 ketm                               	       1        0        1        0        0
49597 ketm-data                          	       1        0        0        0        1
49598 key-mapper                         	       1        1        0        0        0
49599 key-mon                            	       1        0        1        0        0
49600 key2odp                            	       1        0        1        0        0
49601 keyanalyze                         	       1        0        0        0        1
49602 keybinder-doc                      	       1        0        0        0        1
49603 keyboards-rg                       	       1        0        1        0        0
49604 keyboxd                            	       1        0        1        0        0
49605 keydb                              	       1        0        0        0        1
49606 keydb-server                       	       1        0        1        0        0
49607 keydb-tools                        	       1        0        1        0        0
49608 keygen2keylog                      	       1        0        1        0        0
49609 keystone                           	       1        0        1        0        0
49610 keysync                            	       1        0        1        0        0
49611 keytouch-data                      	       1        0        0        0        1
49612 kfaxview                           	       1        0        1        0        0
49613 kfouleggs                          	       1        0        1        0        0
49614 kfreebsd-source-10.3               	       1        0        0        0        1
49615 kftpgrabber-trinity                	       1        0        1        0        0
49616 kghostview                         	       1        0        1        0        0
49617 kgrapheditor                       	       1        0        1        0        0
49618 khmer                              	       1        0        1        0        0
49619 kiauto                             	       1        0        1        0        0
49620 kibot                              	       1        0        1        0        0
49621 kicad-doc-ca                       	       1        0        0        0        1
49622 kicad-doc-ja                       	       1        0        0        0        1
49623 kicad-doc-pl                       	       1        0        0        0        1
49624 kickpass                           	       1        0        1        0        0
49625 kiki                               	       1        0        1        0        0
49626 kile-i18n-de                       	       1        0        0        0        1
49627 kile-trinity-i18n-pl               	       1        0        0        0        1
49628 killswitch                         	       1        0        1        0        0
49629 kilo                               	       1        0        1        0        0
49630 kima-trinity                       	       1        0        1        0        0
49631 kimwitu                            	       1        0        1        0        0
49632 kimwitu-doc                        	       1        0        0        0        1
49633 kindlecomicconverter               	       1        0        1        0        0
49634 kindletool                         	       1        0        1        0        0
49635 kineticstools                      	       1        0        1        0        0
49636 kineticstools-data                 	       1        0        0        0        1
49637 king-probe                         	       1        0        1        0        0
49638 kio-apt                            	       1        0        1        0        0
49639 kio-dev                            	       1        0        0        0        1
49640 kio-ftps                           	       1        0        1        0        0
49641 kio-locate                         	       1        0        1        0        0
49642 kirigami-addons-dev                	       1        0        0        0        1
49643 kismet-adsb-icao-data              	       1        0        0        0        1
49644 kismet-capture-linux-bluetooth     	       1        0        1        0        0
49645 kismet-capture-linux-wifi          	       1        0        1        0        0
49646 kismet-capture-nrf-51822           	       1        0        1        0        0
49647 kismet-capture-nrf-52840           	       1        0        1        0        0
49648 kismet-capture-nrf-mousejack       	       1        0        1        0        0
49649 kismet-capture-nxp-kw41z           	       1        0        1        0        0
49650 kismet-capture-rz-killerbee        	       1        0        1        0        0
49651 kismet-capture-ti-cc-2531          	       1        0        1        0        0
49652 kismet-capture-ti-cc-2540          	       1        0        1        0        0
49653 kismet-capture-ubertooth-one       	       1        0        1        0        0
49654 kismet-core                        	       1        0        1        0        0
49655 kismet-logtools                    	       1        0        1        0        0
49656 kiso                               	       1        0        1        0        0
49657 kissfft-tools                      	       1        0        1        0        0
49658 kitware-archive-keyring            	       1        0        0        0        1
49659 kivio-data                         	       1        0        0        0        1
49660 kiwi-systemdeps                    	       1        0        0        0        1
49661 kiwi-systemdeps-bootloaders        	       1        0        0        0        1
49662 kiwi-systemdeps-containers         	       1        0        0        0        1
49663 kiwi-systemdeps-core               	       1        0        0        0        1
49664 kiwi-systemdeps-disk-images        	       1        0        0        0        1
49665 kiwi-systemdeps-filesystems        	       1        0        0        0        1
49666 kiwi-systemdeps-iso-media          	       1        0        0        0        1
49667 kiwix-lib-git                      	       1        0        1        0        0
49668 klangfalter-lv2                    	       1        0        1        0        0
49669 klatin                             	       1        0        1        0        0
49670 klaus                              	       1        0        0        0        1
49671 kmc                                	       1        0        1        0        0
49672 kmer                               	       1        0        0        0        1
49673 kmer-examples                      	       1        0        0        0        1
49674 kmfl-keyboard-ipa                  	       1        0        0        0        1
49675 kmilo-legacy-trinity               	       1        0        1        0        0
49676 kmldonkey                          	       1        0        1        0        0
49677 kmobiletools                       	       1        0        1        0        0
49678 kmod-build-deps                    	       1        0        0        0        1
49679 kmod-dbgsym                        	       1        0        1        0        0
49680 kmod-zfs-5.10.0-18-amd64           	       1        0        1        0        0
49681 kmod-zfs-devel-5.10.0-15-amd64     	       1        0        0        0        1
49682 kmscon                             	       1        0        1        0        0
49683 kmtrace                            	       1        0        1        0        0
49684 kmtrace-trinity                    	       1        0        1        0        0
49685 kmymoney2-common-trinity           	       1        0        0        0        1
49686 kmymoney2-trinity                  	       1        0        1        0        0
49687 knetload-trinity                   	       1        0        1        0        0
49688 knetworkconf                       	       1        0        1        0        0
49689 knewsticker-scripts-trinity        	       1        0        0        0        1
49690 knmap-trinity                      	       1        0        1        0        0
49691 knnimdenoiser                      	       1        0        1        0        0
49692 knocker                            	       1        0        1        0        0
49693 knode                              	       1        0        1        0        0
49694 knot-dnssecutils                   	       1        0        1        0        0
49695 knutclient-trinity                 	       1        0        1        0        0
49696 knxd                               	       1        0        1        0        0
49697 knxd-tools                         	       1        0        1        0        0
49698 kodi-audiodecoder-modplug          	       1        0        0        0        1
49699 kodi-eventclients-dev              	       1        0        0        0        1
49700 kodi-eventclients-dev-common       	       1        0        1        0        0
49701 kodi-game-libretro-bsnes-mercury-accuracy	       1        0        0        0        1
49702 kodi-game-libretro-bsnes-mercury-balanced	       1        0        0        0        1
49703 kodi-game-libretro-bsnes-mercury-performance	       1        0        0        0        1
49704 kodi-pvr-vbox                      	       1        0        0        0        1
49705 kodi-skin-confluence               	       1        0        0        0        1
49706 kodi-tools-texturepacker           	       1        0        1        0        0
49707 koffice-i18n-cs-trinity            	       1        0        0        0        1
49708 koffice-i18n-ru                    	       1        0        0        0        1
49709 koffice-trinity-doc-html           	       1        0        0        0        1
49710 koha                               	       1        0        1        0        0
49711 koha-deps                          	       1        0        0        0        1
49712 koha-elasticsearch                 	       1        0        0        0        1
49713 koha-l10n                          	       1        0        0        0        1
49714 koha-perldeps                      	       1        0        0        0        1
49715 kolab                              	       1        0        0        0        1
49716 kolab-cli                          	       1        0        1        0        0
49717 kolab-conf                         	       1        0        1        0        0
49718 kolab-freebusy                     	       1        0        1        0        0
49719 kolab-imap                         	       1        0        0        0        1
49720 kolab-ldap                         	       1        0        0        0        1
49721 kolab-mta                          	       1        0        0        0        1
49722 kolab-saslauthd                    	       1        0        1        0        0
49723 kolab-schema                       	       1        0        0        0        1
49724 kolab-server                       	       1        0        1        0        0
49725 kolab-syncroton                    	       1        0        1        0        0
49726 kolab-webadmin                     	       1        0        1        0        0
49727 kolab-webclient                    	       1        0        0        0        1
49728 kolab-xml                          	       1        0        1        0        0
49729 kompozer                           	       1        0        1        0        0
49730 kongress                           	       1        0        1        0        0
49731 koodo-reader                       	       1        0        0        0        1
49732 kooha                              	       1        0        0        1        0
49733 kooka                              	       1        0        1        0        0
49734 kooldock-trinity                   	       1        0        1        0        0
49735 kopano-backup                      	       1        1        0        0        0
49736 kopano-client                      	       1        1        0        0        0
49737 kopano-common                      	       1        0        1        0        0
49738 kopano-dagent                      	       1        1        0        0        0
49739 kopano-dagent-pytils               	       1        1        0        0        0
49740 kopano-gateway                     	       1        1        0        0        0
49741 kopano-ical                        	       1        1        0        0        0
49742 kopano-lang                        	       1        1        0        0        0
49743 kopano-monitor                     	       1        0        1        0        0
49744 kopano-python-utils                	       1        0        1        0        0
49745 kopano-search                      	       1        1        0        0        0
49746 kopano-server                      	       1        1        0        0        0
49747 kopano-server-packages             	       1        0        0        0        1
49748 kopano-spooler                     	       1        1        0        0        0
49749 kopano-webapp                      	       1        1        0        0        0
49750 kopano-webapp-plugin-files         	       1        1        0        0        0
49751 kopano-webapp-plugin-filesbackend-owncloud	       1        1        0        0        0
49752 kopete-otr-trinity                 	       1        0        1        0        0
49753 kopete-silc-plugin                 	       1        0        1        0        0
49754 koth                               	       1        0        1        0        0
49755 kpartsplugin                       	       1        0        1        0        0
49756 kpatch                             	       1        0        1        0        0
49757 kpatch-build                       	       1        0        1        0        0
49758 kpatch-dkms                        	       1        0        1        0        0
49759 kplayer-trinity                    	       1        0        1        0        0
49760 kpogre                             	       1        0        1        0        0
49761 kq                                 	       1        0        1        0        0
49762 kq-data                            	       1        0        0        0        1
49763 kqemu-common                       	       1        0        0        0        1
49764 krakend                            	       1        0        1        0        0
49765 kretsim                            	       1        0        1        0        0
49766 krita-gemini                       	       1        0        0        0        1
49767 kross-dev                          	       1        0        1        0        0
49768 krunner-symbols                    	       1        0        0        0        1
49769 krusader-icons-trinity             	       1        0        0        0        1
49770 ksame                              	       1        0        0        0        1
49771 ksaneplugin                        	       1        0        1        0        0
49772 kscd                               	       1        0        1        0        0
49773 kscreenlocker-dev                  	       1        0        1        0        0
49774 kse                                	       1        0        1        0        0
49775 ksensors                           	       1        0        1        0        0
49776 ksmbd-tools                        	       1        0        1        0        0
49777 ksniffer                           	       1        0        1        0        0
49778 ksokoban                           	       1        0        1        0        0
49779 ksplash-engine-moodin-trinity      	       1        0        1        0        0
49780 ksplash-theme-bespin               	       1        0        0        0        1
49781 ksplice                            	       1        1        0        0        0
49782 kspy-trinity                       	       1        0        1        0        0
49783 kstreamripper-trinity              	       1        0        1        0        0
49784 ksvg                               	       1        0        1        0        0
49785 ksystemlog-trinity                 	       1        0        1        0        0
49786 ksysv                              	       1        0        1        0        0
49787 kthresher                          	       1        0        1        0        0
49788 kttsd                              	       1        0        0        0        1
49789 kttsd-contrib-plugins-trinity      	       1        0        1        0        0
49790 kubecolor                          	       1        0        1        0        0
49791 kubetail                           	       1        0        1        0        0
49792 kuiviewer-trinity                  	       1        0        1        0        0
49793 kup-client                         	       1        0        1        0        0
49794 kupfer                             	       1        0        1        0        0
49795 kvazaar                            	       1        0        1        0        0
49796 kviewshell                         	       1        0        1        0        0
49797 kvirc-data-trinity                 	       1        0        1        0        0
49798 kvirc-trinity                      	       1        0        1        0        0
49799 kwalify                            	       1        0        1        0        0
49800 kwayland-dev                       	       1        0        0        1        0
49801 kwayland-doc                       	       1        0        0        0        1
49802 kweather                           	       1        0        1        0        0
49803 kwin-effect-xrdesktop              	       1        0        0        0        1
49804 kwin4                              	       1        0        1        0        0
49805 kword-data                         	       1        0        0        0        1
49806 kxgencert                          	       1        0        1        0        0
49807 kxstudio-default-settings          	       1        0        1        0        0
49808 kxstudio-menu                      	       1        0        0        0        1
49809 kxstudio-meta-audio-plugins-vamp   	       1        0        0        0        1
49810 kxterm                             	       1        0        1        0        0
49811 kylin-nm                           	       1        0        1        0        0
49812 kyodialog                          	       1        0        1        0        0
49813 kyua                               	       1        0        1        0        0
49814 la                                 	       1        0        1        0        0
49815 labjack-exodriver                  	       1        0        1        0        0
49816 labjackpython                      	       1        0        1        0        0
49817 labltk                             	       1        0        1        0        0
49818 labview-2023-community-exe         	       1        0        0        0        1
49819 labview-2023-exe-libs              	       1        0        0        0        1
49820 labview-2023-rte                   	       1        0        0        0        1
49821 lacme                              	       1        0        1        0        0
49822 laditools                          	       1        0        1        0        0
49823 lame-extras                        	       1        0        1        0        0
49824 laminar                            	       1        0        0        0        1
49825 laminarc                           	       1        0        1        0        0
49826 laminard                           	       1        0        1        0        0
49827 lammps-data                        	       1        0        0        0        1
49828 lapce                              	       1        0        1        0        0
49829 larswm                             	       1        0        1        0        0
49830 lasagne-doc                        	       1        0        0        0        1
49831 lastpass-cli                       	       1        0        1        0        0
49832 latex-coffee-stains                	       1        0        0        0        1
49833 latrace                            	       1        1        0        0        0
49834 launchpad-getkeys                  	       1        0        1        0        0
49835 launchpad-integration              	       1        0        0        0        1
49836 lava-common                        	       1        0        1        0        0
49837 lava-lxc-mocker                    	       1        0        1        0        0
49838 lavacli                            	       1        0        1        0        0
49839 layout                             	       1        0        1        0        0
49840 lazarus-1.6                        	       1        0        0        0        1
49841 lazarus-3.8                        	       1        0        0        0        1
49842 lazarus-doc-1.6                    	       1        0        0        0        1
49843 lazarus-doc-3.8                    	       1        0        0        0        1
49844 lazarus-ide-1.2.4                  	       1        0        1        0        0
49845 lazarus-ide-1.6                    	       1        0        1        0        0
49846 lazarus-ide-gtk2-1.2.4             	       1        0        1        0        0
49847 lazarus-ide-gtk2-1.6               	       1        0        1        0        0
49848 lazarus-ide-gtk2-3.8               	       1        0        1        0        0
49849 lazarus-ide-qt5-3.0                	       1        0        1        0        0
49850 lazarus-ide-qt5-3.8                	       1        0        1        0        0
49851 lazarus-src-1.2.4                  	       1        0        1        0        0
49852 lazarus-src-1.6                    	       1        0        1        0        0
49853 lazarus-src-3.8                    	       1        0        1        0        0
49854 lazpaint                           	       1        0        1        0        0
49855 lbackup-2pir                       	       1        0        1        0        0
49856 lbackup-config-2pir                	       1        0        1        0        0
49857 lboot                              	       1        1        0        0        0
49858 lcap                               	       1        0        1        0        0
49859 lcarsde-app-menu                   	       1        0        1        0        0
49860 lcarsde-application-starter        	       1        0        1        0        0
49861 lcarsde-logout                     	       1        0        1        0        0
49862 lcarsde-onboard-theme              	       1        0        0        0        1
49863 lcarswm                            	       1        0        1        0        0
49864 lcl-gtk2-1.2.4                     	       1        0        1        0        0
49865 lcl-nogui-1.2.4                    	       1        0        1        0        0
49866 lcl-qt5-3.0                        	       1        0        1        0        0
49867 lcl-qt5-3.8                        	       1        0        1        0        0
49868 lcl-units-1.2.4                    	       1        0        1        0        0
49869 lcl-utils-1.2.4                    	       1        0        1        0        0
49870 lcmaps-basic-interface             	       1        0        1        0        0
49871 lcmaps-globus-interface            	       1        0        1        0        0
49872 lcmaps-openssl-interface           	       1        0        1        0        0
49873 lcxterm                            	       1        0        1        0        0
49874 ldm-themes                         	       1        0        0        0        1
49875 ldp-docbook-dsssl                  	       1        0        0        0        1
49876 ldp-docbook-xsl                    	       1        0        0        0        1
49877 ldraw-mklist                       	       1        0        1        0        0
49878 ldtp                               	       1        0        1        0        0
49879 leabook                            	       1        0        0        0        1
49880 leaff                              	       1        0        1        0        0
49881 leaktracer                         	       1        0        1        0        0
49882 lecm                               	       1        0        1        0        0
49883 leela                              	       1        0        1        0        0
49884 legcord                            	       1        0        1        0        0
49885 lenmus                             	       1        0        1        0        0
49886 lens                               	       1        0        1        0        0
49887 less-dbgsym                        	       1        0        1        0        0
49888 lesstif2-dev                       	       1        0        1        0        0
49889 letodms                            	       1        0        1        0        0
49890 letsencrypt.sh                     	       1        1        0        0        0
49891 letterize                          	       1        0        1        0        0
49892 levee                              	       1        0        1        0        0
49893 leveldb-doc                        	       1        0        0        0        1
49894 lexicon                            	       1        0        1        0        0
49895 lexmark-network-scan               	       1        1        0        0        0
49896 lexmark-ppd-files-lmado            	       1        0        0        0        1
49897 lg-all                             	       1        0        0        0        1
49898 lg-base                            	       1        0        0        0        1
49899 lg-issue01to08                     	       1        0        0        0        1
49900 lg-issue09                         	       1        0        0        0        1
49901 lg-issue10                         	       1        0        0        0        1
49902 lg-issue100                        	       1        0        0        0        1
49903 lg-issue101                        	       1        0        0        0        1
49904 lg-issue102                        	       1        0        0        0        1
49905 lg-issue103                        	       1        0        0        0        1
49906 lg-issue104                        	       1        0        0        0        1
49907 lg-issue105                        	       1        0        0        0        1
49908 lg-issue106                        	       1        0        0        0        1
49909 lg-issue107                        	       1        0        0        0        1
49910 lg-issue108                        	       1        0        0        0        1
49911 lg-issue109                        	       1        0        0        0        1
49912 lg-issue11                         	       1        0        0        0        1
49913 lg-issue110                        	       1        0        0        0        1
49914 lg-issue111                        	       1        0        0        0        1
49915 lg-issue112                        	       1        0        0        0        1
49916 lg-issue113                        	       1        0        0        0        1
49917 lg-issue12                         	       1        0        0        0        1
49918 lg-issue13                         	       1        0        0        0        1
49919 lg-issue14                         	       1        0        0        0        1
49920 lg-issue15                         	       1        0        0        0        1
49921 lg-issue16                         	       1        0        0        0        1
49922 lg-issue17                         	       1        0        0        0        1
49923 lg-issue18                         	       1        0        0        0        1
49924 lg-issue19                         	       1        0        0        0        1
49925 lg-issue20                         	       1        0        0        0        1
49926 lg-issue21                         	       1        0        0        0        1
49927 lg-issue22                         	       1        0        0        0        1
49928 lg-issue23                         	       1        0        0        0        1
49929 lg-issue24                         	       1        0        0        0        1
49930 lg-issue25                         	       1        0        0        0        1
49931 lg-issue26                         	       1        0        0        0        1
49932 lg-issue27                         	       1        0        0        0        1
49933 lg-issue28                         	       1        0        0        0        1
49934 lg-issue29                         	       1        0        0        0        1
49935 lg-issue30                         	       1        0        0        0        1
49936 lg-issue31                         	       1        0        0        0        1
49937 lg-issue32                         	       1        0        0        0        1
49938 lg-issue33                         	       1        0        0        0        1
49939 lg-issue34                         	       1        0        0        0        1
49940 lg-issue35                         	       1        0        0        0        1
49941 lg-issue36                         	       1        0        0        0        1
49942 lg-issue37                         	       1        0        0        0        1
49943 lg-issue38                         	       1        0        0        0        1
49944 lg-issue39                         	       1        0        0        0        1
49945 lg-issue40                         	       1        0        0        0        1
49946 lg-issue41                         	       1        0        0        0        1
49947 lg-issue42                         	       1        0        0        0        1
49948 lg-issue43                         	       1        0        0        0        1
49949 lg-issue44                         	       1        0        0        0        1
49950 lg-issue45                         	       1        0        0        0        1
49951 lg-issue46                         	       1        0        0        0        1
49952 lg-issue47                         	       1        0        0        0        1
49953 lg-issue48                         	       1        0        0        0        1
49954 lg-issue49                         	       1        0        0        0        1
49955 lg-issue50                         	       1        0        0        0        1
49956 lg-issue51                         	       1        0        0        0        1
49957 lg-issue52                         	       1        0        0        0        1
49958 lg-issue53                         	       1        0        0        0        1
49959 lg-issue54                         	       1        0        0        0        1
49960 lg-issue55                         	       1        0        0        0        1
49961 lg-issue56                         	       1        0        0        0        1
49962 lg-issue57                         	       1        0        0        0        1
49963 lg-issue58                         	       1        0        0        0        1
49964 lg-issue59                         	       1        0        0        0        1
49965 lg-issue60                         	       1        0        0        0        1
49966 lg-issue61                         	       1        0        0        0        1
49967 lg-issue62                         	       1        0        0        0        1
49968 lg-issue63                         	       1        0        0        0        1
49969 lg-issue64                         	       1        0        0        0        1
49970 lg-issue65                         	       1        0        0        0        1
49971 lg-issue66                         	       1        0        0        0        1
49972 lg-issue67                         	       1        0        0        0        1
49973 lg-issue68                         	       1        0        0        0        1
49974 lg-issue69                         	       1        0        0        0        1
49975 lg-issue70                         	       1        0        0        0        1
49976 lg-issue71                         	       1        0        0        0        1
49977 lg-issue72                         	       1        0        0        0        1
49978 lg-issue73                         	       1        0        0        0        1
49979 lg-issue74                         	       1        0        0        0        1
49980 lg-issue75                         	       1        0        0        0        1
49981 lg-issue76                         	       1        0        0        0        1
49982 lg-issue77                         	       1        0        0        0        1
49983 lg-issue78                         	       1        0        0        0        1
49984 lg-issue79                         	       1        0        0        0        1
49985 lg-issue80                         	       1        0        0        0        1
49986 lg-issue81                         	       1        0        0        0        1
49987 lg-issue82                         	       1        0        0        0        1
49988 lg-issue83                         	       1        0        0        0        1
49989 lg-issue84                         	       1        0        0        0        1
49990 lg-issue85                         	       1        0        0        0        1
49991 lg-issue86                         	       1        0        0        0        1
49992 lg-issue87                         	       1        0        0        0        1
49993 lg-issue88                         	       1        0        0        0        1
49994 lg-issue89                         	       1        0        0        0        1
49995 lg-issue90                         	       1        0        0        0        1
49996 lg-issue91                         	       1        0        0        0        1
49997 lg-issue92                         	       1        0        0        0        1
49998 lg-issue93                         	       1        0        0        0        1
49999 lg-issue94                         	       1        0        0        0        1
50000 lg-issue95                         	       1        0        0        0        1
50001 lg-issue96                         	       1        0        0        0        1
50002 lg-issue97                         	       1        0        0        0        1
50003 lg-issue98                         	       1        0        0        0        1
50004 lg-issue99                         	       1        0        0        0        1
50005 lib-utils                          	       1        0        0        0        1
50006 lib25519-dev                       	       1        0        1        0        0
50007 lib2geom-dev                       	       1        0        1        0        0
50008 lib32asan5-x32-cross               	       1        0        0        0        1
50009 lib32asan6-ppc64-cross             	       1        0        0        0        1
50010 lib32atomic1-dbgsym                	       1        0        1        0        0
50011 lib32atomic1-ppc64-cross           	       1        0        0        0        1
50012 lib32cr0                           	       1        0        0        0        1
50013 lib32gcc-10-dev-ppc64-cross        	       1        0        0        0        1
50014 lib32gcc-8-dev-x32-cross           	       1        0        0        0        1
50015 lib32gcc-s1-dbgsym                 	       1        0        1        0        0
50016 lib32gcc-s1-ppc64-cross            	       1        0        0        0        1
50017 lib32gcc1-x32-cross                	       1        0        0        0        1
50018 lib32gfortran-12-dev-x32-cross     	       1        0        0        0        1
50019 lib32gfortran-4.9-dev              	       1        0        0        0        1
50020 lib32gfortran-6-dev                	       1        0        0        0        1
50021 lib32gfortran-8-dev                	       1        0        0        0        1
50022 lib32gfortran3                     	       1        0        0        0        1
50023 lib32gfortran5-dbgsym              	       1        0        1        0        0
50024 lib32gfortran5-x32-cross           	       1        0        0        0        1
50025 lib32go-13-dev                     	       1        0        0        0        1
50026 lib32go22                          	       1        0        0        0        1
50027 lib32gomp1-dbgsym                  	       1        0        1        0        0
50028 lib32gomp1-ppc64-cross             	       1        0        0        0        1
50029 lib32gphobos-13-dev                	       1        0        0        0        1
50030 lib32gphobos4                      	       1        0        0        0        1
50031 lib32gphobos4-dbgsym               	       1        0        1        0        0
50032 lib32itm1-dbgsym                   	       1        0        1        0        0
50033 lib32itm1-ppc64-cross              	       1        0        0        0        1
50034 lib32objc-12-dev                   	       1        0        0        0        1
50035 lib32objc-13-dev                   	       1        0        0        0        1
50036 lib32objc-14-dev                   	       1        0        0        0        1
50037 lib32objc-4.9-dev                  	       1        0        0        0        1
50038 lib32objc-6-dev                    	       1        0        0        0        1
50039 lib32objc4-dbgsym                  	       1        0        1        0        0
50040 lib32quadmath0-dbgsym              	       1        0        1        0        0
50041 lib32readline5                     	       1        0        0        0        1
50042 lib32readline6                     	       1        0        0        0        1
50043 lib32stdc++-10-dev-ppc64-cross     	       1        0        0        0        1
50044 lib32stdc++-12-dev-x32-cross       	       1        0        0        0        1
50045 lib32stdc++-6-dev                  	       1        0        1        0        0
50046 lib32stdc++6-10-dbg                	       1        0        1        0        0
50047 lib32stdc++6-12-dbg                	       1        0        1        0        0
50048 lib32stdc++6-dbgsym                	       1        0        1        0        0
50049 lib32stdc++6-ppc64-cross           	       1        0        0        0        1
50050 lib32tinfo-dev                     	       1        0        1        0        0
50051 lib32ubsan1-ppc64-cross            	       1        0        0        0        1
50052 lib3mf-doc                         	       1        0        0        0        1
50053 lib64asan3                         	       1        0        0        0        1
50054 lib64asan5                         	       1        0        0        0        1
50055 lib64asan5-i386-cross              	       1        0        0        0        1
50056 lib64asan5-x32-cross               	       1        0        0        0        1
50057 lib64asan6-i386-cross              	       1        0        0        0        1
50058 lib64asan8                         	       1        0        0        0        1
50059 lib64atomic1-mipsel-cross          	       1        0        0        0        1
50060 lib64cilkrts5                      	       1        0        0        0        1
50061 lib64gcc-11-dev-i386-cross         	       1        0        0        0        1
50062 lib64gcc-12-dev                    	       1        0        0        0        1
50063 lib64gcc-12-dev-mips-cross         	       1        0        0        0        1
50064 lib64gcc-12-dev-mipsel-cross       	       1        0        0        0        1
50065 lib64gcc-14-dev-i386-cross         	       1        0        0        0        1
50066 lib64gcc-4.7-dev                   	       1        0        0        0        1
50067 lib64gcc-6-dev                     	       1        0        0        0        1
50068 lib64gcc-8-dev-i386-cross          	       1        0        0        0        1
50069 lib64gcc-8-dev-x32-cross           	       1        0        0        0        1
50070 lib64gcc-s1-mips-cross             	       1        0        0        0        1
50071 lib64gcc-s1-mipsel-cross           	       1        0        0        0        1
50072 lib64gcc1-i386-cross               	       1        0        0        0        1
50073 lib64gcc1-x32-cross                	       1        0        0        0        1
50074 lib64gfortran-12-dev-x32-cross     	       1        0        0        0        1
50075 lib64gfortran5-x32-cross           	       1        0        0        0        1
50076 lib64go-11-dev-i386-cross          	       1        0        0        0        1
50077 lib64go19-i386-cross               	       1        0        0        0        1
50078 lib64gomp1-mipsel-cross            	       1        0        0        0        1
50079 lib64mpx2                          	       1        0        0        0        1
50080 lib64mpx2-i386-cross               	       1        0        0        0        1
50081 lib64ncurses-dev                   	       1        0        1        0        0
50082 lib64ncurses6                      	       1        0        0        0        1
50083 lib64ncursesw6                     	       1        0        0        0        1
50084 lib64readline-dev                  	       1        0        1        0        0
50085 lib64readline8                     	       1        0        0        0        1
50086 lib64stdc++-12-dev-mips-cross      	       1        0        0        0        1
50087 lib64stdc++-12-dev-mipsel-cross    	       1        0        0        0        1
50088 lib64stdc++-12-dev-x32-cross       	       1        0        0        0        1
50089 lib64stdc++-6-dev                  	       1        0        1        0        0
50090 lib64stdc++6-mips-cross            	       1        0        0        0        1
50091 lib64stdc++6-mipsel-cross          	       1        0        0        0        1
50092 lib64tinfo6                        	       1        0        0        0        1
50093 lib64ubsan0                        	       1        0        0        0        1
50094 lib64z1                            	       1        0        0        0        1
50095 libaacplus-dev                     	       1        0        1        0        0
50096 libaal-dev                         	       1        0        1        0        0
50097 libabigail-dev                     	       1        0        1        0        0
50098 libabiword-dev                     	       1        0        1        0        0
50099 libabw-0.0-0                       	       1        0        0        0        1
50100 libabw-dev                         	       1        0        1        0        0
50101 libabz0                            	       1        0        0        0        1
50102 libacars2                          	       1        0        0        0        1
50103 libaccessors-perl                  	       1        0        1        0        0
50104 libaccinj64-9.2                    	       1        0        0        0        1
50105 libaccounts-glib-dev               	       1        0        1        0        0
50106 libaccounts-qt-doc                 	       1        0        0        0        1
50107 libaccounts-qt5-dev                	       1        0        1        0        0
50108 libaccountsservice-dev             	       1        0        1        0        0
50109 libace-6.0.3                       	       1        0        0        0        1
50110 libace-6.2.8                       	       1        0        0        0        1
50111 libace-6.3.3                       	       1        0        0        0        1
50112 libace-6.4.5                       	       1        0        0        0        1
50113 libace-8.0.1                       	       1        0        0        0        1
50114 libace-dev                         	       1        0        1        0        0
50115 libace-doc                         	       1        0        1        0        0
50116 libacme-poe-knee-perl              	       1        0        1        0        0
50117 libacr38u                          	       1        0        1        0        0
50118 libactiverecord-ruby1.9.1          	       1        0        0        0        1
50119 libactivesupport-ruby1.9.1         	       1        0        0        0        1
50120 libaddressable-ruby1.9.1           	       1        0        0        0        1
50121 libadduser-pluginloader-perl       	       1        0        1        0        0
50122 libadns1-bin                       	       1        0        1        0        0
50123 libadns1-dev                       	       1        0        1        0        0
50124 libadolc2                          	       1        0        0        0        1
50125 libadolc2t64                       	       1        0        0        0        1
50126 libadplug0c2a                      	       1        0        0        0        1
50127 libadwaita-1-doc                   	       1        0        0        0        1
50128 libadwaita-1-examples              	       1        0        1        0        0
50129 libaether-ant-tasks-java           	       1        0        0        0        1
50130 libaether-java                     	       1        0        0        0        1
50131 libafflib-dev                      	       1        0        1        0        0
50132 libafflib0                         	       1        0        0        0        1
50133 libafs-pag-perl                    	       1        0        0        0        1
50134 libafterburner.fx-java             	       1        0        0        0        1
50135 libagress0                         	       1        0        0        0        1
50136 libags-audio3                      	       1        0        0        0        1
50137 libags-audio6t64                   	       1        0        0        0        1
50138 libags-gui6t64                     	       1        0        0        0        1
50139 libags6t64                         	       1        0        0        0        1
50140 libai-decisiontree-perl            	       1        0        0        0        1
50141 libai-fann-perl                    	       1        0        0        0        1
50142 libajantv2-dev                     	       1        0        1        0        0
50143 libalac-dev                        	       1        0        1        0        0
50144 libalac0                           	       1        0        0        0        1
50145 libalberta5                        	       1        0        0        0        1
50146 libaldmb1t64                       	       1        0        0        0        1
50147 libalglib3.14                      	       1        0        0        0        1
50148 libalgorithm-hyperloglog-perl      	       1        0        0        0        1
50149 libalgorithm-lbfgs-perl            	       1        0        0        0        1
50150 libalgorithm-naivebayes-perl       	       1        0        1        0        0
50151 libalgorithm-numerical-sample-perl 	       1        0        1        0        0
50152 libalgorithm-svm-perl              	       1        0        0        0        1
50153 libalias-perl                      	       1        0        0        0        1
50154 libalien-build-perl                	       1        0        1        0        0
50155 libalien-gnuplot-perl              	       1        0        0        0        1
50156 libalkimia5                        	       1        0        0        0        1
50157 liballeggl4.4                      	       1        0        0        0        1
50158 liballegro-acodec5.0               	       1        0        0        0        1
50159 liballegro-audio5.0                	       1        0        0        0        1
50160 liballegro-dialog5.0               	       1        0        0        0        1
50161 liballegro-image5.0                	       1        0        0        0        1
50162 liballegro-physfs5.0               	       1        0        0        0        1
50163 liballegro-ttf5.0                  	       1        0        0        0        1
50164 liballegro4.2                      	       1        0        1        0        0
50165 liballegro5.0                      	       1        0        0        0        1
50166 liballegro5.2-dbgsym               	       1        0        1        0        0
50167 liballelecount-perl                	       1        0        1        0        0
50168 libalogg1                          	       1        0        0        0        1
50169 libalpm13t64                       	       1        0        0        0        1
50170 libalt-base-perl                   	       1        0        1        0        0
50171 libalt-perl                        	       1        0        1        0        0
50172 libalzabo-perl                     	       1        0        1        0        0
50173 libamazon-s3-perl                  	       1        0        1        0        0
50174 libamazon-sqs-simple-perl          	       1        0        1        0        0
50175 libamdhip64-doc                    	       1        0        0        0        1
50176 libament-index-cpp-dev             	       1        0        1        0        0
50177 libamrita2-ruby1.9.1               	       1        0        0        0        1
50178 libamrnb3                          	       1        0        0        0        1
50179 libamrwb3                          	       1        0        0        0        1
50180 libandroid-json-org-java-doc       	       1        0        0        0        1
50181 libandroidsdk-swtmenubar-java      	       1        0        0        0        1
50182 libangles-dev                      	       1        0        1        0        0
50183 libangular-maven-plugin-java       	       1        0        0        0        1
50184 libanthy0                          	       1        0        0        0        1
50185 libantic-dev                       	       1        0        1        0        0
50186 libantlr-java-gcj                  	       1        0        1        0        0
50187 libantlr3-gunit-java               	       1        0        0        0        1
50188 libantlr3.2-gunit-java             	       1        0        0        0        1
50189 libantlr3c-3.2-0                   	       1        0        0        0        1
50190 libantlr3c-dev                     	       1        0        1        0        0
50191 libanyevent-connection-perl        	       1        0        1        0        0
50192 libanyevent-connector-perl         	       1        0        1        0        0
50193 libanyevent-dbd-pg-perl            	       1        0        1        0        0
50194 libanyevent-fcgi-perl              	       1        0        1        0        0
50195 libanyevent-forkmanager-perl       	       1        0        1        0        0
50196 libanyevent-http-scopedclient-perl 	       1        0        1        0        0
50197 libanyevent-httpd-perl             	       1        0        1        0        0
50198 libanyevent-memcached-perl         	       1        0        1        0        0
50199 libanyevent-processor-perl         	       1        0        1        0        0
50200 libanyevent-redis-perl             	       1        0        1        0        0
50201 libanyevent-riperedis-perl         	       1        0        0        1        0
50202 libanyevent-websocket-client-perl  	       1        0        1        0        0
50203 libanyevent-xmpp-perl              	       1        0        1        0        0
50204 libanyevent-xspromises-perl        	       1        0        0        0        1
50205 libao-ocaml                        	       1        0        1        0        0
50206 libao-ocaml-dev                    	       1        0        1        0        0
50207 libaopalliance-java-doc            	       1        0        0        0        1
50208 libapache-admin-config-perl        	       1        0        1        0        0
50209 libapache-asp-perl                 	       1        0        1        0        0
50210 libapache-authenhook-perl          	       1        0        0        0        1
50211 libapache-authznetldap-perl        	       1        0        1        0        0
50212 libapache-dbilogger-perl           	       1        0        1        0        0
50213 libapache-gallery-perl             	       1        0        1        0        0
50214 libapache-htgroup-perl             	       1        0        1        0        0
50215 libapache-htpasswd-perl            	       1        0        1        0        0
50216 libapache-mod-jk-doc               	       1        0        0        0        1
50217 libapache-mod-security             	       1        0        0        0        1
50218 libapache-opennlp-java             	       1        0        0        0        1
50219 libapache-poi-java-doc             	       1        0        0        0        1
50220 libapache-session-browseable-perl  	       1        0        1        0        0
50221 libapache-session-ldap-perl        	       1        0        1        0        0
50222 libapache-session-memcached-perl   	       1        0        1        0        0
50223 libapache-session-mongodb-perl     	       1        0        1        0        0
50224 libapache-session-sqlite3-perl     	       1        0        1        0        0
50225 libapache-session-wrapper-perl     	       1        0        1        0        0
50226 libapache-sessionx-perl            	       1        0        1        0        0
50227 libapache-singleton-perl           	       1        0        1        0        0
50228 libapache-ssllookup-perl           	       1        0        0        0        1
50229 libapache2-authcassimple-perl      	       1        0        1        0        0
50230 libapache2-authcookie-perl         	       1        0        1        0        0
50231 libapache2-mod-auth-pgsql          	       1        1        0        0        0
50232 libapache2-mod-auth-radius         	       1        0        1        0        0
50233 libapache2-mod-authn-yubikey       	       1        0        1        0        0
50234 libapache2-mod-authnz-pam          	       1        0        1        0        0
50235 libapache2-mod-bw                  	       1        0        1        0        0
50236 libapache2-mod-form                	       1        0        1        0        0
50237 libapache2-mod-gnutls              	       1        0        1        0        0
50238 libapache2-mod-lisp                	       1        1        0        0        0
50239 libapache2-mod-mime-xattr          	       1        0        1        0        0
50240 libapache2-mod-mono                	       1        0        1        0        0
50241 libapache2-mod-php7.2              	       1        0        1        0        0
50242 libapache2-mod-rivet               	       1        1        0        0        0
50243 libapache2-mod-rivet-doc           	       1        0        0        0        1
50244 libapache2-mod-ruid2               	       1        1        0        0        0
50245 libapache2-mod-watchcat            	       1        1        0        0        0
50246 libapache2-mod-xforward            	       1        0        1        0        0
50247 libapache2-request-perl            	       1        0        0        0        1
50248 libapache2-sitecontrol-perl        	       1        0        1        0        0
50249 libapertium3-3.5-1                 	       1        0        0        0        1
50250 libaperture-0-0                    	       1        0        0        0        1
50251 libapi-gitforge-perl               	       1        0        0        1        0
50252 libapm-dev                         	       1        0        1        0        0
50253 libapophenia2                      	       1        0        0        0        1
50254 libapp-cache-perl                  	       1        0        1        0        0
50255 libapp-cell-perl                   	       1        0        1        0        0
50256 libapp-cli-perl                    	       1        0        1        0        0
50257 libapp-control-perl                	       1        0        1        0        0
50258 libapp-cpants-lint-perl            	       1        0        1        0        0
50259 libapp-info-perl                   	       1        0        1        0        0
50260 libapp-options-perl                	       1        0        1        0        0
50261 libapp-perlrdf-command-query-perl  	       1        0        1        0        0
50262 libapp-rad-perl                    	       1        0        1        0        0
50263 libapp-repl-perl                   	       1        0        1        0        0
50264 libapp-st-perl                     	       1        0        1        0        0
50265 libapp-termcast-perl               	       1        0        1        0        0
50266 libapparmor1-dbgsym                	       1        0        1        0        0
50267 libappconfig-std-perl              	       1        0        1        0        0
50268 libappimage0                       	       1        0        0        0        1
50269 libappmenu-gtk2-parser-dev         	       1        0        0        0        1
50270 libappstreamqt5-dev                	       1        0        1        0        0
50271 libapr1-dbg                        	       1        0        1        0        0
50272 libapreq2-3                        	       1        1        0        0        0
50273 libapreq2-3t64                     	       1        0        0        0        1
50274 libapriltag3                       	       1        0        0        0        1
50275 libaprutil1-dbg                    	       1        0        1        0        0
50276 libapt-pkg6.0-dbgsym               	       1        0        1        0        0
50277 libapulse1                         	       1        0        1        0        0
50278 libaqbanking-doc                   	       1        0        0        0        1
50279 libaqbanking34-plugins             	       1        0        1        0        0
50280 libaqhbci20                        	       1        0        0        0        1
50281 libarchive-any-create-perl         	       1        0        1        0        0
50282 libarchive-any-lite-perl           	       1        0        1        0        0
50283 libarchive-ar-perl                 	       1        0        1        0        0
50284 libarchive-tar-wrapper-perl        	       1        0        1        0        0
50285 libares0                           	       1        0        0        0        1
50286 libarkrpg0c2a                      	       1        0        1        0        0
50287 libarray-base-perl                 	       1        0        0        0        1
50288 libarray-refelem-perl              	       1        0        0        0        1
50289 libarrayfire-cpu3                  	       1        0        0        0        1
50290 libarrayfire-doc                   	       1        0        1        0        0
50291 libarrayfire-opencl-dev            	       1        0        0        0        1
50292 libarrayfire-opencl3               	       1        0        0        0        1
50293 libarrayfire-unified-dev           	       1        0        0        0        1
50294 libarrayfire-unified3              	       1        0        0        0        1
50295 libart2                            	       1        0        0        0        1
50296 libart2.0-cil-dev                  	       1        0        1        0        0
50297 libarts1-trinity-dev               	       1        0        1        0        0
50298 libartsc0-trinity-dev              	       1        0        1        0        0
50299 libasan0-dbg                       	       1        0        0        0        1
50300 libasan3-armhf-cross               	       1        0        0        0        1
50301 libasan3-dbg                       	       1        0        1        0        0
50302 libasan6-powerpc-cross             	       1        0        0        0        1
50303 libasan6-s390x-cross               	       1        0        0        0        1
50304 libasan6-sparc64-cross             	       1        0        0        0        1
50305 libasan8-ppc64el-cross             	       1        0        0        0        1
50306 libasedrive-serial                 	       1        0        0        0        1
50307 libask                             	       1        0        1        0        0
50308 libasm0                            	       1        0        0        0        1
50309 libasmtools-java                   	       1        0        0        0        1
50310 libasound2-plugins-dbgsym          	       1        0        1        0        0
50311 libaspect-perl                     	       1        0        1        0        0
50312 libaspect0                         	       1        0        0        0        1
50313 libaspectj-maven-plugin-java       	       1        0        0        0        1
50314 libasr-dev                         	       1        0        1        0        0
50315 libassimp3v5                       	       1        0        0        0        1
50316 libassuan-mingw-w64-dev            	       1        0        1        0        0
50317 libast2-dev                        	       1        0        1        0        0
50318 libastcenc-dev                     	       1        0        1        0        0
50319 libastcenc3d                       	       1        0        0        0        1
50320 libasterisk-agi-perl               	       1        0        1        0        0
50321 libastring-ocaml                   	       1        0        1        0        0
50322 libastring-ocaml-dev               	       1        0        1        0        0
50323 libastro-perl                      	       1        0        1        0        0
50324 libastyle-dev                      	       1        0        1        0        0
50325 libatf-c++-2                       	       1        0        0        0        1
50326 libatf-c-1                         	       1        0        0        0        1
50327 libatf-dev                         	       1        0        1        0        0
50328 libatk1-ruby                       	       1        0        0        0        1
50329 libatlas-cpp-0.6-1                 	       1        0        0        0        1
50330 libatlas-dev                       	       1        0        1        0        0
50331 libatlas-ecmwf-0                   	       1        0        0        0        1
50332 libatlas-test                      	       1        0        0        0        1
50333 libatombus-perl                    	       1        0        1        0        0
50334 libatomic1-m68k-cross              	       1        0        0        0        1
50335 libatomic1-mips64-cross            	       1        0        0        0        1
50336 libatomic1-mips64el-cross          	       1        0        0        0        1
50337 libatomic1-mips64r6el-cross        	       1        0        0        0        1
50338 libatomic1-ppc64el-cross           	       1        0        0        0        1
50339 libatomicparsley-dev               	       1        0        0        0        1
50340 libatompub-perl                    	       1        0        1        0        0
50341 libattean-perl                     	       1        0        1        0        0
50342 libatteanx-compatibility-trine-perl	       1        0        1        0        0
50343 libatteanx-endpoint-perl           	       1        0        1        0        0
50344 libatteanx-parser-jsonld-perl      	       1        0        1        0        0
50345 libatteanx-serializer-rdfa-perl    	       1        0        0        1        0
50346 libatteanx-store-dbi-perl          	       1        0        0        1        0
50347 libatteanx-store-ldf-perl          	       1        0        1        0        0
50348 libatteanx-store-lmdb-perl         	       1        0        0        1        0
50349 libatteanx-store-sparql-perl       	       1        0        1        0        0
50350 libattribute-storage-perl          	       1        0        0        0        1
50351 libaubio-doc                       	       1        0        0        0        1
50352 libaudio-ecasound-perl             	       1        0        0        0        1
50353 libaudio-moosic-perl               	       1        0        1        0        0
50354 libaudiomask1                      	       1        0        0        0        1
50355 libaugeas-ruby1.9.1                	       1        0        0        0        1
50356 libauparse-dev                     	       1        0        1        0        0
50357 libauth-yubikey-webclient-perl     	       1        0        1        0        0
50358 libauthen-htpasswd-perl            	       1        0        1        0        0
50359 libauthen-sasl-cyrus-perl          	       1        0        0        0        1
50360 libauthen-simple-smb-perl          	       1        0        1        0        0
50361 libauthen-smb-perl                 	       1        0        0        0        1
50362 libauthen-u2f-perl                 	       1        0        1        0        0
50363 libauthen-u2f-tester-perl          	       1        0        1        0        0
50364 libautobox-transform-perl          	       1        0        1        0        0
50365 libavahi-core5                     	       1        0        0        0        1
50366 libavahi-core6                     	       1        0        0        0        1
50367 libavahi-tqt-dev                   	       1        0        1        0        0
50368 libavcodec-5-dev                   	       1        0        1        0        0
50369 libavcodec-extra-55                	       1        0        0        0        1
50370 libavcodec-extra58-dbgsym          	       1        0        1        0        0
50371 libavcodec-ffmpeg56                	       1        0        0        0        1
50372 libavcodec58-dbgsym                	       1        0        1        0        0
50373 libavcodec61-dbgsym                	       1        0        1        0        0
50374 libavdevice58-dbgsym               	       1        0        1        0        0
50375 libavdevice61-dbgsym               	       1        0        1        0        0
50376 libavfilter-extra10                	       1        0        0        0        1
50377 libavfilter-extra7-dbgsym          	       1        0        1        0        0
50378 libavfilter-extra9                 	       1        0        0        0        1
50379 libavfilter0                       	       1        0        0        0        1
50380 libavfilter1                       	       1        0        0        0        1
50381 libavfilter10-dbgsym               	       1        0        1        0        0
50382 libavfilter7-dbgsym                	       1        0        1        0        0
50383 libavformat-extra60                	       1        0        0        0        1
50384 libavformat58-dbgsym               	       1        0        1        0        0
50385 libavformat61-dbgsym               	       1        0        1        0        0
50386 libavidemux0                       	       1        0        0        0        1
50387 libavif13                          	       1        0        0        0        1
50388 libavif7                           	       1        0        0        0        1
50389 libavif7-gdk-pixbuf                	       1        0        0        0        1
50390 libavkys-dev                       	       1        0        0        1        0
50391 libavl-dev                         	       1        0        1        0        0
50392 libavl1                            	       1        0        0        0        1
50393 libavogadro1                       	       1        0        1        0        0
50394 libavresample4-dbgsym              	       1        0        1        0        0
50395 libavro-compiler-java              	       1        0        0        0        1
50396 libavro-java                       	       1        0        0        0        1
50397 libavro-maven-plugin-java          	       1        0        0        0        1
50398 libavutil-5-dev                    	       1        0        1        0        0
50399 libavutil56-dbgsym                 	       1        0        1        0        0
50400 libavutil59-dbgsym                 	       1        0        1        0        0
50401 libaws-bin                         	       1        0        1        0        0
50402 libaws-signature4-perl             	       1        0        1        0        0
50403 libaws20-dev                       	       1        0        1        0        0
50404 libaws6                            	       1        0        0        0        1
50405 libayatana-indicator3-tools        	       1        0        0        0        1
50406 libb-hooks-op-ppaddr-perl          	       1        0        0        0        1
50407 libb-lint-perl                     	       1        0        1        0        0
50408 libb-perlreq-perl                  	       1        0        0        0        1
50409 libbabeltrace2-0                   	       1        0        0        0        1
50410 libbabeltrace2-dev                 	       1        0        0        1        0
50411 libbabeltrace2-python-plugin-provider	       1        0        0        0        1
50412 libbabl-0.0-0-dev                  	       1        0        1        0        0
50413 libbabl-0.0-doc                    	       1        0        0        0        1
50414 libbacktrace-dev                   	       1        0        1        0        0
50415 libbacktrace0                      	       1        0        0        0        1
50416 libball1.5                         	       1        0        0        0        1
50417 libball1.5-data                    	       1        0        0        0        1
50418 libballview1.5                     	       1        0        0        0        1
50419 libbamf3-dev                       	       1        0        1        0        0
50420 libbaresip                         	       1        0        0        0        1
50421 libbase-java-openoffice.org        	       1        0        0        0        1
50422 libbase58-0                        	       1        0        0        0        1
50423 libbash                            	       1        0        1        0        0
50424 libbasix-dev                       	       1        0        1        0        0
50425 libbasix0.5                        	       1        0        0        0        1
50426 libbatteries-ocaml-dev             	       1        0        1        0        0
50427 libbatteries-ocaml-doc             	       1        0        0        0        1
50428 libbcmail-java-doc                 	       1        0        0        0        1
50429 libbcmail-java-gcj                 	       1        0        1        0        0
50430 libbcprov-java-gcj                 	       1        0        1        0        0
50431 libbctoolbox1t64                   	       1        0        0        0        1
50432 libbdplus-dev                      	       1        0        1        0        0
50433 libbearssl0                        	       1        0        0        0        1
50434 libbeckon-clojure                  	       1        0        0        0        1
50435 libbeecrypt-dev                    	       1        0        1        0        0
50436 libbeecrypt7                       	       1        0        0        0        1
50437 libbeegfs-ib                       	       1        0        0        0        1
50438 libbellesip-dev                    	       1        0        1        0        0
50439 libbellesip2                       	       1        0        0        0        1
50440 libbellesip2t64                    	       1        0        0        0        1
50441 libbelr-dev                        	       1        0        1        0        0
50442 libbemenu-x11                      	       1        0        0        0        1
50443 libbenchmark-tools                 	       1        0        0        0        1
50444 libbenchmark1.9.1                  	       1        0        0        0        1
50445 libberylsettings-dev               	       1        0        1        0        0
50446 libberylsettings0                  	       1        0        1        0        0
50447 libbg1-doc                         	       1        0        0        0        1
50448 libbgcode-dev                      	       1        0        0        1        0
50449 libbibutils1                       	       1        0        0        0        1
50450 libbigwig0                         	       1        0        0        0        1
50451 libbind-config-parser-perl         	       1        0        1        0        0
50452 libbiojava6-java                   	       1        0        0        0        1
50453 libbiosig2                         	       1        0        0        0        1
50454 libbitcoinconsensus-dev            	       1        0        1        0        0
50455 libbitcoinconsensus0               	       1        0        0        0        1
50456 libblacs-openmpi1                  	       1        0        0        0        1
50457 libbladerf1                        	       1        0        1        0        0
50458 libblasr5.3.4                      	       1        0        0        0        1
50459 libblasr5.3.5                      	       1        0        0        0        1
50460 libblis3-openmp                    	       1        0        1        0        0
50461 libblis3-pthread                   	       1        0        0        0        1
50462 libblis3-serial                    	       1        0        0        0        1
50463 libbliss-dev                       	       1        0        0        0        1
50464 libbliss-dev-common                	       1        0        1        0        0
50465 libblitz-doc                       	       1        0        0        0        1
50466 libblitz0-dev                      	       1        0        1        0        0
50467 libblkmaker-0.1-6                  	       1        0        0        0        1
50468 libblockdev-dm2                    	       1        0        0        0        1
50469 libblockdev-fs-dev                 	       1        0        1        0        0
50470 libblockdev-lvm-dbus2              	       1        0        0        0        1
50471 libblockdev-part-dev               	       1        0        1        0        0
50472 libblocksruntime1                  	       1        0        0        0        1
50473 libbloom-dev                       	       1        0        1        0        0
50474 libbloom2                          	       1        1        0        0        0
50475 libblosc2-2                        	       1        0        0        0        1
50476 libblosc2-3                        	       1        0        0        0        1
50477 libbluedevil1                      	       1        1        0        0        0
50478 libbluray0                         	       1        0        0        0        1
50479 libbmusb-dev                       	       1        0        1        0        0
50480 libbmusb6                          	       1        0        1        0        0
50481 libbobcat3                         	       1        0        0        0        1
50482 libboilerpipe-java                 	       1        0        0        0        1
50483 libboinc-app-dev                   	       1        0        1        0        0
50484 libbolt-16-dev                     	       1        0        1        0        0
50485 libbond-dev                        	       1        0        1        0        0
50486 libbondcpp-dev                     	       1        0        1        0        0
50487 libbonobo2-bin                     	       1        0        1        0        0
50488 libboo2.0.9-cil                    	       1        0        1        0        0
50489 libboogie-cil                      	       1        0        1        0        0
50490 libboost-atomic1.71-dev            	       1        0        0        0        1
50491 libboost-atomic1.71.0              	       1        0        0        0        1
50492 libboost-atomic1.71.0-dbgsym       	       1        0        1        0        0
50493 libboost-atomic1.74.0-dbgsym       	       1        0        1        0        0
50494 libboost-chrono1.49-dev            	       1        0        1        0        0
50495 libboost-chrono1.71-dev            	       1        0        0        0        1
50496 libboost-chrono1.71.0              	       1        0        0        0        1
50497 libboost-chrono1.71.0-dbgsym       	       1        0        1        0        0
50498 libboost-chrono1.74.0-dbgsym       	       1        0        1        0        0
50499 libboost-context1.74.0-dbgsym      	       1        0        1        0        0
50500 libboost-contract-dev              	       1        0        0        0        1
50501 libboost-coroutine1.74.0-dbgsym    	       1        0        1        0        0
50502 libboost-date-time1.33.1           	       1        0        0        0        1
50503 libboost-date-time1.34.1           	       1        0        0        0        1
50504 libboost-date-time1.49-dev         	       1        0        1        0        0
50505 libboost-date-time1.71-dev         	       1        0        0        0        1
50506 libboost-date-time1.71.0-dbgsym    	       1        0        1        0        0
50507 libboost-date-time1.74.0-dbgsym    	       1        0        1        0        0
50508 libboost-filesystem1.33.1          	       1        0        0        0        1
50509 libboost-filesystem1.34.1          	       1        0        0        0        1
50510 libboost-filesystem1.49-dev        	       1        0        1        0        0
50511 libboost-filesystem1.54.0          	       1        0        0        0        1
50512 libboost-filesystem1.58.0          	       1        0        0        0        1
50513 libboost-filesystem1.71-dev        	       1        0        0        0        1
50514 libboost-filesystem1.71.0-dbgsym   	       1        0        1        0        0
50515 libboost-filesystem1.74.0-dbgsym   	       1        0        1        0        0
50516 libboost-graph-parallel1.49-dev    	       1        0        1        0        0
50517 libboost-graph1.34.1               	       1        0        0        0        1
50518 libboost-graph1.49-dev             	       1        0        1        0        0
50519 libboost-iostreams1.34.1           	       1        0        0        0        1
50520 libboost-iostreams1.48.0           	       1        0        0        0        1
50521 libboost-iostreams1.49-dev         	       1        0        1        0        0
50522 libboost-iostreams1.53.0           	       1        0        0        0        1
50523 libboost-iostreams1.58.0           	       1        0        0        0        1
50524 libboost-iostreams1.74.0-dbgsym    	       1        0        1        0        0
50525 libboost-locale1.49-dev            	       1        0        1        0        0
50526 libboost-locale1.71-dev            	       1        0        0        0        1
50527 libboost-locale1.71.0-dbgsym       	       1        0        1        0        0
50528 libboost-locale1.74.0-dbgsym       	       1        0        1        0        0
50529 libboost-log1.74.0-dbgsym          	       1        0        1        0        0
50530 libboost-math1.49-dev              	       1        0        1        0        0
50531 libboost-mpi-python1.55-dev        	       1        0        0        0        1
50532 libboost-mpi-python1.55.0          	       1        0        1        0        0
50533 libboost-mpi1.55-dev               	       1        0        0        0        1
50534 libboost-nowide1.74.0-dbgsym       	       1        0        1        0        0
50535 libboost-program-options1.34.1     	       1        0        0        0        1
50536 libboost-program-options1.42.0     	       1        0        0        0        1
50537 libboost-program-options1.49-dev   	       1        0        1        0        0
50538 libboost-program-options1.58.0     	       1        0        0        0        1
50539 libboost-program-options1.74.0-dbgsym	       1        0        1        0        0
50540 libboost-python1.33.1              	       1        0        0        0        1
50541 libboost-python1.49-dev            	       1        0        1        0        0
50542 libboost-python1.58.0              	       1        0        0        0        1
50543 libboost-python1.74.0-dbgsym       	       1        0        1        0        0
50544 libboost-random1.49-dev            	       1        0        1        0        0
50545 libboost-random1.71-dev            	       1        0        0        0        1
50546 libboost-random1.71.0              	       1        0        0        0        1
50547 libboost-random1.71.0-dbgsym       	       1        0        1        0        0
50548 libboost-random1.74.0-dbgsym       	       1        0        1        0        0
50549 libboost-regex1.33.1               	       1        0        0        0        1
50550 libboost-regex1.34.1               	       1        0        0        0        1
50551 libboost-regex1.49-dev             	       1        0        1        0        0
50552 libboost-regex1.54.0               	       1        0        0        0        1
50553 libboost-regex1.71-dev             	       1        0        0        0        1
50554 libboost-regex1.71.0-dbgsym        	       1        0        1        0        0
50555 libboost-regex1.74.0-dbgsym        	       1        0        1        0        0
50556 libboost-serialization1.34.1       	       1        0        0        0        1
50557 libboost-serialization1.49-dev     	       1        0        1        0        0
50558 libboost-serialization1.71-dev     	       1        0        0        0        1
50559 libboost-serialization1.71.0       	       1        0        0        0        1
50560 libboost-serialization1.71.0-dbgsym	       1        0        1        0        0
50561 libboost-serialization1.74.0-dbgsym	       1        0        1        0        0
50562 libboost-signals1.34.1             	       1        0        0        0        1
50563 libboost-signals1.42.0             	       1        0        0        0        1
50564 libboost-system1.49-dev            	       1        0        1        0        0
50565 libboost-system1.58.0              	       1        0        0        0        1
50566 libboost-system1.71-dev            	       1        0        0        0        1
50567 libboost-system1.71.0              	       1        0        0        0        1
50568 libboost-system1.71.0-dbgsym       	       1        0        1        0        0
50569 libboost-system1.74.0-dbgsym       	       1        0        1        0        0
50570 libboost-test1.34.1                	       1        0        0        0        1
50571 libboost-test1.49-dev              	       1        0        1        0        0
50572 libboost-test1.74.0-dbgsym         	       1        0        1        0        0
50573 libboost-thread1.33.1              	       1        0        0        0        1
50574 libboost-thread1.34.1              	       1        0        0        0        1
50575 libboost-thread1.49-dev            	       1        0        1        0        0
50576 libboost-thread1.54.0              	       1        0        0        0        1
50577 libboost-thread1.71-dev            	       1        0        0        0        1
50578 libboost-thread1.71.0-dbgsym       	       1        0        1        0        0
50579 libboost-thread1.74.0-dbgsym       	       1        0        1        0        0
50580 libboost-timer1.49-dev             	       1        0        1        0        0
50581 libboost-wave1.34.1                	       1        0        0        0        1
50582 libboost-wave1.49-dev              	       1        0        1        0        0
50583 libboost1.46-doc                   	       1        0        1        0        0
50584 libboost1.49-dev                   	       1        0        1        0        0
50585 libboost1.49-doc                   	       1        0        1        0        0
50586 libboost1.67-doc                   	       1        0        1        0        0
50587 libboost1.71-dev                   	       1        0        1        0        0
50588 libboost1.71-doc                   	       1        0        1        0        0
50589 libboost1.81-doc                   	       1        0        1        0        0
50590 libboost1.83-doc                   	       1        0        0        1        0
50591 libbos-ocaml                       	       1        0        1        0        0
50592 libbos-ocaml-dev                   	       1        0        1        0        0
50593 libbotan-2-15                      	       1        0        0        0        1
50594 libbotan-kpxc-2                    	       1        0        1        0        0
50595 libbox2d-doc                       	       1        0        0        0        1
50596 libboxfort-dev                     	       1        0        1        0        0
50597 libbpf4.19                         	       1        0        0        0        1
50598 libbpfcc-dev                       	       1        0        1        0        0
50599 libbpfjit-dev                      	       1        0        1        0        0
50600 libbpfjit1                         	       1        0        0        0        1
50601 libbpg-bin                         	       1        0        1        0        0
50602 libbpp-core4                       	       1        0        0        0        1
50603 libbpp-phyl-omics3                 	       1        0        0        0        1
50604 libbpp-phyl12                      	       1        0        0        0        1
50605 libbpp-seq-omics3                  	       1        0        0        0        1
50606 libbpp-seq12                       	       1        0        0        0        1
50607 libbrasero-media3-dev              	       1        0        1        0        0
50608 libbrlapi0.8-dbgsym                	       1        0        1        0        0
50609 libbroadvoice1                     	       1        0        0        0        1
50610 libbsapi                           	       1        0        1        0        0
50611 libbsc-dev                         	       1        0        1        0        0
50612 libbsc3                            	       1        0        0        0        1
50613 libbsd-arc4random-perl             	       1        0        0        0        1
50614 libbsf-java-doc                    	       1        0        0        0        1
50615 libbson-doc                        	       1        0        0        0        1
50616 libbson-xs-perl                    	       1        0        0        0        1
50617 libbt-dev                          	       1        0        0        0        1
50618 libbtf1.1.0                        	       1        0        0        0        1
50619 libbtf1.2.0                        	       1        0        0        0        1
50620 libbtrfsutil-dev                   	       1        0        1        0        0
50621 libbtrfsutil1t64                   	       1        0        0        0        1
50622 libbuilder-ruby1.9.1               	       1        0        0        0        1
50623 libbullet-extras-dev               	       1        0        1        0        0
50624 libbullet-extras3.06               	       1        0        0        0        1
50625 libbullet-extras3.24               	       1        0        0        0        1
50626 libbullet3.24t64                   	       1        0        0        0        1
50627 libbulletcollision2.82             	       1        0        0        0        1
50628 libbulletdynamics2.82              	       1        0        0        0        1
50629 libbulletml-dev                    	       1        0        1        0        0
50630 libbulletsoftbody2.82              	       1        0        0        0        1
50631 libbunny-ruby1.9.1                 	       1        0        0        0        1
50632 libbusiness-creditcard-perl        	       1        0        1        0        0
50633 libbusiness-onlinepayment-authorizenet-perl	       1        0        1        0        0
50634 libbusiness-onlinepayment-payflowpro-perl	       1        0        1        0        0
50635 libbusiness-onlinepayment-perl     	       1        0        1        0        0
50636 libbzip3-1                         	       1        0        0        0        1
50637 libc++-7-dev                       	       1        0        1        0        0
50638 libc++-9-dev                       	       1        1        0        0        0
50639 libc++1-9                          	       1        0        1        0        0
50640 libc++abi-13-dev                   	       1        0        1        0        0
50641 libc++abi-9-dev                    	       1        0        1        0        0
50642 libc++abi-dev                      	       1        0        0        0        1
50643 libc++abi1-13                      	       1        0        1        0        0
50644 libc++abi1-9                       	       1        0        1        0        0
50645 libc-bin-dbgsym                    	       1        0        1        0        0
50646 libc-icap-mod-squidclamav          	       1        0        1        0        0
50647 libc3p0-java-doc                   	       1        0        0        0        1
50648 libc6-dev-amd64-cross              	       1        0        1        0        0
50649 libc6-dev-i386-amd64-cross         	       1        0        1        0        0
50650 libc6-dev-m68k-cross               	       1        0        1        0        0
50651 libc6-dev-mips64-cross             	       1        0        1        0        0
50652 libc6-dev-mips64-mipsel-cross      	       1        0        1        0        0
50653 libc6-dev-mips64el-cross           	       1        0        1        0        0
50654 libc6-dev-mips64r6el-cross         	       1        0        1        0        0
50655 libc6-dev-mipsn32-mipsel-cross     	       1        0        1        0        0
50656 libc6-dev-powerpc-ppc64-cross      	       1        0        1        0        0
50657 libc6-dev-ppc64el-cross            	       1        0        1        0        0
50658 libc6-dev-s390x-cross              	       1        0        1        0        0
50659 libc6-m68k-cross                   	       1        0        0        0        1
50660 libc6-mips64-cross                 	       1        0        0        0        1
50661 libc6-mips64-mipsel-cross          	       1        0        0        0        1
50662 libc6-mips64el-cross               	       1        0        0        0        1
50663 libc6-mips64r6el-cross             	       1        0        0        0        1
50664 libc6-mipsn32-mipsel-cross         	       1        0        0        0        1
50665 libc6-powerpc-ppc64-cross          	       1        0        0        0        1
50666 libc6-ppc64el-cross                	       1        0        0        0        1
50667 libc6.1-dev-alpha-cross            	       1        0        1        0        0
50668 libcaffe-cpu1                      	       1        0        0        0        1
50669 libcairo-5c-dev                    	       1        0        0        0        1
50670 libcairo-ocaml                     	       1        0        1        0        0
50671 libcairo-ruby                      	       1        0        0        0        1
50672 libcairomm-1.0-doc                 	       1        0        0        0        1
50673 libcairomm-1.16-doc                	       1        0        0        0        1
50674 libcaja-extension-dev              	       1        0        1        0        0
50675 libcalendar-ocaml                  	       1        0        1        0        0
50676 libcalendar-ocaml-dev              	       1        0        1        0        0
50677 libcalendaring                     	       1        0        0        0        1
50678 libcall-context-perl               	       1        0        1        0        0
50679 libcam-pdf-perl                    	       1        0        1        0        0
50680 libcamel-1.2-43                    	       1        0        0        0        1
50681 libcamel-1.2-54                    	       1        0        0        0        1
50682 libcamera-calibration-parsers-dev  	       1        0        1        0        0
50683 libcamera-calibration-parsers0d    	       1        0        0        0        1
50684 libcamera-doc                      	       1        0        0        0        1
50685 libcamera-info-manager-dev         	       1        0        1        0        0
50686 libcamera-info-manager0d           	       1        0        0        0        1
50687 libcamera0.1                       	       1        0        0        0        1
50688 libcamitk4                         	       1        0        1        0        0
50689 libcamlp-streams-ocaml-dev         	       1        0        1        0        0
50690 libcamp0.8                         	       1        0        0        0        1
50691 libcanary-stability-perl           	       1        0        1        0        0
50692 libcanl-c2                         	       1        0        0        0        1
50693 libcanl-c4                         	       1        0        0        0        1
50694 libcanlock-dev                     	       1        0        1        0        0
50695 libcantor-dev                      	       1        0        0        1        0
50696 libcapnp-0.8.0                     	       1        0        0        0        1
50697 libcapnp-0.9.1                     	       1        0        0        0        1
50698 libcapnp-1.0.1                     	       1        0        0        0        1
50699 libcapnp-1.1.0                     	       1        0        0        0        1
50700 libcapsule-maven-nextflow-java     	       1        0        0        0        1
50701 libcarp-object-perl                	       1        0        1        0        0
50702 libcarrotsearch-hppc-java          	       1        0        0        0        1
50703 libcasa-casa7                      	       1        0        0        0        1
50704 libcasa-coordinates2               	       1        0        0        0        1
50705 libcasa-coordinates7               	       1        0        0        0        1
50706 libcasa-derivedmscal2              	       1        0        0        0        1
50707 libcasa-derivedmscal7              	       1        0        0        0        1
50708 libcasa-fits2                      	       1        0        0        0        1
50709 libcasa-fits7                      	       1        0        0        0        1
50710 libcasa-images2                    	       1        0        0        0        1
50711 libcasa-images7                    	       1        0        0        0        1
50712 libcasa-lattices2                  	       1        0        0        0        1
50713 libcasa-lattices7                  	       1        0        0        0        1
50714 libcasa-meas2                      	       1        0        0        0        1
50715 libcasa-meas7                      	       1        0        0        0        1
50716 libcasa-measures2                  	       1        0        0        0        1
50717 libcasa-measures7                  	       1        0        0        0        1
50718 libcasa-mirlib2                    	       1        0        0        0        1
50719 libcasa-mirlib7                    	       1        0        0        0        1
50720 libcasa-ms2                        	       1        0        0        0        1
50721 libcasa-ms7                        	       1        0        0        0        1
50722 libcasa-msfits2                    	       1        0        0        0        1
50723 libcasa-msfits5                    	       1        0        0        0        1
50724 libcasa-python3-7                  	       1        0        0        0        1
50725 libcasa-scimath-f2                 	       1        0        0        0        1
50726 libcasa-scimath-f7                 	       1        0        0        0        1
50727 libcasa-scimath2                   	       1        0        0        0        1
50728 libcasa-scimath7                   	       1        0        0        0        1
50729 libcasa-tables2                    	       1        0        0        0        1
50730 libcasa-tables7                    	       1        0        0        0        1
50731 libcassie-dev                      	       1        0        1        0        0
50732 libcassie-doc                      	       1        0        0        0        1
50733 libcastor-java-doc                 	       1        0        0        0        1
50734 libcatalyst-authentication-credential-authen-simple-perl	       1        0        1        0        0
50735 libcatalyst-authentication-credential-http-perl	       1        1        0        0        0
50736 libcatalyst-authentication-store-dbix-class-perl	       1        0        1        0        0
50737 libcatalyst-controller-formbuilder-perl	       1        0        1        0        0
50738 libcatalyst-controller-html-formfu-perl	       1        0        1        0        0
50739 libcatalyst-log-log4perl-perl      	       1        0        1        0        0
50740 libcatalyst-model-dbi-perl         	       1        0        1        0        0
50741 libcatalyst-modules-perl           	       1        0        0        0        1
50742 libcatalyst-plugin-authorization-acl-perl	       1        0        1        0        0
50743 libcatalyst-plugin-authorization-roles-perl	       1        0        1        0        0
50744 libcatalyst-plugin-cache-perl      	       1        0        1        0        0
50745 libcatalyst-plugin-cache-store-fastmmap-perl	       1        0        1        0        0
50746 libcatalyst-plugin-i18n-perl       	       1        0        1        0        0
50747 libcatalyst-plugin-log-dispatch-perl	       1        1        0        0        0
50748 libcatalyst-plugin-session-store-cache-perl	       1        0        1        0        0
50749 libcatalyst-plugin-session-store-dbi-perl	       1        0        1        0        0
50750 libcatalyst-plugin-session-store-dbic-perl	       1        0        1        0        0
50751 libcatalyst-plugin-session-store-delegate-perl	       1        0        1        0        0
50752 libcatalyst-plugin-session-store-file-perl	       1        0        1        0        0
50753 libcatalyst-plugin-subrequest-perl 	       1        0        1        0        0
50754 libcatalyst-plugin-unicode-perl    	       1        0        1        0        0
50755 libcatalyst-view-email-perl        	       1        0        1        0        0
50756 libcatalyst-view-json-perl         	       1        0        1        0        0
50757 libcatalyst-view-mason-perl        	       1        0        1        0        0
50758 libcatalyst-view-petal-perl        	       1        1        0        0        0
50759 libcatalyst-view-tt-perl           	       1        0        1        0        0
50760 libcatmandu-marc-perl              	       1        0        1        0        0
50761 libcatmandu-store-elasticsearch-perl	       1        0        1        0        0
50762 libcava-java                       	       1        0        0        0        1
50763 libcbor0-dbgsym                    	       1        0        1        0        0
50764 libcc1-0-dbgsym                    	       1        0        1        0        0
50765 libccd-dev                         	       1        0        1        0        0
50766 libccfits-doc                      	       1        0        0        0        1
50767 libccgnu2-1.8-0                    	       1        0        0        0        1
50768 libccp4-data                       	       1        0        0        0        1
50769 libccp4-dev                        	       1        0        1        0        0
50770 libccp4c0                          	       1        0        0        0        1
50771 libccp4f0                          	       1        0        0        0        1
50772 libccrtp-doc                       	       1        0        1        0        0
50773 libccrtp0                          	       1        0        0        0        1
50774 libccrtp2                          	       1        0        0        0        1
50775 libcctz-dev                        	       1        0        1        0        0
50776 libcctz-doc                        	       1        0        0        0        1
50777 libcctz2                           	       1        0        0        0        1
50778 libcdb-dev                         	       1        0        1        0        0
50779 libcdi-dev                         	       1        0        1        0        0
50780 libcdio++-dev                      	       1        0        0        0        1
50781 libcdio++1t64                      	       1        0        0        0        1
50782 libcdr-0.0-0                       	       1        0        0        0        1
50783 libcdr-dev                         	       1        0        1        0        0
50784 libcdr-tools                       	       1        0        1        0        0
50785 libcds-moc-java                    	       1        0        0        0        1
50786 libcds-savot-java                  	       1        0        0        0        1
50787 libcec-platform1v5                 	       1        0        0        0        1
50788 libcec1                            	       1        0        0        0        1
50789 libcegui-mk2-0.7.6                 	       1        0        0        0        1
50790 libcelestia1.7                     	       1        0        0        0        1
50791 libceres3                          	       1        0        0        0        1
50792 libceres4t64                       	       1        0        0        0        1
50793 libcf0                             	       1        0        0        0        1
50794 libcfitsio3                        	       1        0        0        0        1
50795 libcfitsio8                        	       1        0        0        0        1
50796 libcg3-dev                         	       1        0        1        0        0
50797 libcgal-ipelets                    	       1        0        1        0        0
50798 libcgal-qt6-dev                    	       1        0        0        1        0
50799 libcgal10                          	       1        0        0        0        1
50800 libcgi-ajax-perl                   	       1        0        1        0        0
50801 libcgi-compress-gzip-perl          	       1        0        1        0        0
50802 libcgi-formbuilder-source-perl-perl	       1        0        1        0        0
50803 libcgi-psgi-perl                   	       1        0        1        0        0
50804 libcgic-dev                        	       1        0        1        0        0
50805 libcgic2                           	       1        0        0        0        1
50806 libcgicc-doc                       	       1        0        1        0        0
50807 libcglm-dev                        	       1        0        1        0        0
50808 libcglm0                           	       1        0        0        0        1
50809 libcgns3.3                         	       1        0        0        0        1
50810 libchart-gnuplot-perl              	       1        0        1        0        0
50811 libchart-perl                      	       1        0        1        0        0
50812 libchatbot-eliza-perl              	       1        0        1        0        0
50813 libcheese-dev                      	       1        0        1        0        0
50814 libcheese-gtk-dev                  	       1        0        1        0        0
50815 libchemps2-3                       	       1        0        0        0        1
50816 libcheshire-clojure                	       1        0        0        0        1
50817 libchicken11t64                    	       1        0        0        0        1
50818 libchipmunk-dev                    	       1        0        1        0        0
50819 libcifpp-data                      	       1        0        0        0        1
50820 libcifpp5                          	       1        0        0        0        1
50821 libciftools-java                   	       1        0        0        0        1
50822 libcimcclient0                     	       1        0        0        0        1
50823 libcinnamon-control-center-dev     	       1        0        1        0        0
50824 libcinnamon-menu-3-dev             	       1        0        1        0        0
50825 libcitadel2                        	       1        0        0        0        1
50826 libcitadel3                        	       1        0        0        0        1
50827 libcitygml-dev                     	       1        0        1        0        0
50828 libcitygml2                        	       1        0        0        0        1
50829 libcjose0                          	       1        0        0        0        1
50830 libcjs-dev                         	       1        0        1        0        0
50831 libck-connector-dev                	       1        0        1        0        0
50832 libclamav11t64                     	       1        0        0        0        1
50833 libclamav5                         	       1        0        0        0        1
50834 libclamunrar12                     	       1        1        0        0        0
50835 libclamunrar6                      	       1        0        0        0        1
50836 libclan2c2a-mikmod                 	       1        0        0        0        1
50837 libclan2c2a-sound                  	       1        0        0        0        1
50838 libclang-18-dev                    	       1        0        1        0        0
50839 libclang-20-dev                    	       1        0        1        0        0
50840 libclang-7-dev                     	       1        0        1        0        0
50841 libclang-9-dev                     	       1        0        1        0        0
50842 libclang-common-3.6-dev            	       1        0        1        0        0
50843 libclang-cpp17                     	       1        0        1        0        0
50844 libclang-cpp18-dev                 	       1        0        1        0        0
50845 libclang-rt-19-dev-wasm32          	       1        0        1        0        0
50846 libclang1-17                       	       1        0        1        0        0
50847 libclanlib-dev                     	       1        0        1        0        0
50848 libclanlib2c2a                     	       1        0        0        0        1
50849 libclass-csv-perl                  	       1        0        1        0        0
50850 libclass-dbi-plugin-perl           	       1        0        1        0        0
50851 libclass-inner-perl                	       1        0        1        0        0
50852 libclass-loader-dev                	       1        0        0        0        1
50853 libclass-loader1d                  	       1        0        0        0        1
50854 libclass-throwable-perl            	       1        0        1        0        0
50855 libclassycle-java                  	       1        0        0        0        1
50856 libclaw-application1               	       1        0        0        0        1
50857 libclaw-configuration-file1        	       1        0        0        0        1
50858 libclaw-dev                        	       1        0        1        0        0
50859 libclaw-dynamic-library1           	       1        0        0        0        1
50860 libclaw-graphic1                   	       1        0        0        0        1
50861 libclaw-logger1                    	       1        0        0        0        1
50862 libclaw-net1                       	       1        0        0        0        1
50863 libclaw-tween1                     	       1        0        0        0        1
50864 libclc-16                          	       1        0        1        0        0
50865 libclc-17                          	       1        0        1        0        0
50866 libclc-18                          	       1        0        1        0        0
50867 libclc-18-dev                      	       1        0        1        0        0
50868 libclfft-doc                       	       1        0        0        0        1
50869 libcli11-dev                       	       1        0        0        0        1
50870 libclipper-dev                     	       1        0        1        0        0
50871 libclipper2                        	       1        0        0        0        1
50872 libclippoly0                       	       1        0        0        0        1
50873 libclj-digest-clojure              	       1        0        0        0        1
50874 libclj-yaml-clojure                	       1        0        0        0        1
50875 libcln4                            	       1        0        0        0        1
50876 libcln5                            	       1        0        0        0        1
50877 libclojure-maven-plugin-java       	       1        0        0        0        1
50878 libclone-pp-perl                   	       1        0        1        0        0
50879 libcloog-ppl-dev                   	       1        0        1        0        0
50880 libclosure-compiler-java-doc       	       1        0        0        0        1
50881 libcloudflare-ddns2                	       1        0        0        0        1
50882 libcloudproviders-doc              	       1        0        0        0        1
50883 libclout-clojure                   	       1        0        0        0        1
50884 libclsparse-dev                    	       1        0        1        0        0
50885 libclsparse1                       	       1        0        0        0        1
50886 libclustalo-dev                    	       1        0        1        0        0
50887 libclustalo-doc                    	       1        0        0        0        1
50888 libclutter-gst-3.0-dev             	       1        0        1        0        0
50889 libclutter-gst-3.0-doc             	       1        0        0        0        1
50890 libclutter-gtk-0.10-0              	       1        0        0        0        1
50891 libcmark-gfm-extensions0.29.0.gfm.13	       1        0        0        0        1
50892 libcmark-gfm0.29.0.gfm.13          	       1        0        0        0        1
50893 libcmdliner-ocaml-dev              	       1        0        1        0        0
50894 libcmis-dev                        	       1        0        1        0        0
50895 libcmocka0-dbgsym                  	       1        0        1        0        0
50896 libcmpicppimpl0                    	       1        0        0        0        1
50897 libcneartree-dev                   	       1        0        1        0        0
50898 libcobertura-java                  	       1        0        0        0        1
50899 libcobra-java                      	       1        0        0        0        1
50900 libcoda-dev                        	       1        0        1        0        0
50901 libcoda16                          	       1        0        0        0        1
50902 libcode-tidyall-perl               	       1        0        1        0        0
50903 libcode-tidyall-plugin-clangformat-perl	       1        0        1        0        0
50904 libcodec21                         	       1        0        0        0        1
50905 libcodecserver                     	       1        0        0        0        1
50906 libcodenarc-groovy-java            	       1        0        0        0        1
50907 libcofoja-java                     	       1        0        0        0        1
50908 libcogl-doc                        	       1        0        0        0        1
50909 libcogl-pango0                     	       1        0        0        0        1
50910 libcogl9                           	       1        0        0        0        1
50911 libcoin80                          	       1        0        0        0        1
50912 libcollada-dom2.4-dp-dev           	       1        0        0        0        1
50913 libcollada-dom2.4-dp0              	       1        0        0        0        1
50914 libcollada-parser-dev              	       1        0        1        0        0
50915 libcollada-parser1d                	       1        0        0        0        1
50916 libcollada-urdf-dev                	       1        0        1        0        0
50917 libcollada-urdf1d                  	       1        0        0        0        1
50918 libcollada2gltfconvert-dev         	       1        0        1        0        0
50919 libcolor-ansi-util-perl            	       1        0        1        0        0
50920 libcolor-rgb-util-perl             	       1        0        1        0        0
50921 libcolord-gtk4-dev                 	       1        0        0        0        1
50922 libcolpack0t64                     	       1        0        0        0        1
50923 libcolt-free-java                  	       1        0        0        0        1
50924 libcomedi-dev                      	       1        0        1        0        0
50925 libcomidi-clojure                  	       1        0        0        0        1
50926 libcommoncpp2-dev                  	       1        0        1        0        0
50927 libcommons-codec-java-doc          	       1        0        0        0        1
50928 libcommons-collections-java        	       1        0        0        0        1
50929 libcommons-configuration-java-doc  	       1        0        0        0        1
50930 libcommons-dbcp-java-doc           	       1        0        0        0        1
50931 libcommons-launcher-java           	       1        0        1        0        0
50932 libcommons-modeler-java            	       1        0        0        0        1
50933 libcommons-net1-java               	       1        0        0        0        1
50934 libcommons-net2-java               	       1        0        0        0        1
50935 libcommons-pool-java-doc           	       1        0        0        0        1
50936 libcompojure-clojure               	       1        0        0        0        1
50937 libconcord4                        	       1        0        0        0        1
50938 libconfdb-dev                      	       1        0        1        0        0
50939 libconfdb4                         	       1        0        0        0        1
50940 libconfig++9                       	       1        0        0        0        1
50941 libconfig-apacheformat-perl        	       1        0        1        0        0
50942 libconfig-autoconf-perl            	       1        0        1        0        0
50943 libconfig-crontab-perl             	       1        0        1        0        0
50944 libconfig-identity-perl            	       1        0        1        0        0
50945 libconfig-ini-reader-ordered-perl  	       1        0        1        0        0
50946 libconfig-inihash-perl             	       1        0        1        0        0
50947 libconfig-model-cursesui-perl      	       1        0        1        0        0
50948 libconfig-mvp-perl                 	       1        0        1        0        0
50949 libconfig-mvp-reader-ini-perl      	       1        0        1        0        0
50950 libconfig-std-perl                 	       1        0        1        0        0
50951 libconfigreader-perl               	       1        0        1        0        0
50952 libconvert-ber-perl                	       1        0        1        0        0
50953 libconvert-pem-perl                	       1        0        1        0        0
50954 libcore-async-clojure              	       1        0        0        0        1
50955 libcore-cache-clojure              	       1        0        0        0        1
50956 libcore-memoize-clojure            	       1        0        0        0        1
50957 libcoroipcc-dev                    	       1        0        1        0        0
50958 libcoroipcs-dev                    	       1        0        1        0        0
50959 libcoroipcs4                       	       1        0        0        0        1
50960 libcorosync-dev                    	       1        0        0        0        1
50961 libcpan-audit-perl                 	       1        0        1        0        0
50962 libcpan-mini-perl                  	       1        0        1        0        0
50963 libcpan-sqlite-perl                	       1        0        1        0        0
50964 libcpandb-perl                     	       1        0        1        0        0
50965 libcpath-clojure                   	       1        0        0        0        1
50966 libcpdb-dev                        	       1        0        1        0        0
50967 libcpdb-frontend-dev               	       1        0        1        0        0
50968 libcpp-hocon-dev                   	       1        0        1        0        0
50969 libcpp-hocon0.1.6                  	       1        0        0        0        1
50970 libcpp-httplib-dev                 	       1        0        1        0        0
50971 libcpp-httplib0.14t64              	       1        0        0        0        1
50972 libcpp-httplib0.18                 	       1        0        0        0        1
50973 libcppad-lib1456.0                 	       1        0        0        0        1
50974 libcppad-lib340.3                  	       1        0        0        0        1
50975 libcppgenerate-dev                 	       1        0        1        0        0
50976 libcppgenerate-dev-dbgsym          	       1        0        1        0        0
50977 libcppnetlib-doc                   	       1        0        1        0        0
50978 libcpprest2.9                      	       1        0        0        0        1
50979 libcpptest-dev                     	       1        0        1        0        0
50980 libcpptest1                        	       1        0        0        0        1
50981 libcpu-features-dev                	       1        0        1        0        0
50982 libcpuinfo-dev                     	       1        0        1        0        0
50983 libcriterion-dev                   	       1        0        1        0        0
50984 libcriticism-perl                  	       1        0        1        0        0
50985 libcroco-tools                     	       1        0        1        0        0
50986 libcrossguid-dev                   	       1        0        1        0        0
50987 libcrypt-ciphersaber-perl          	       1        0        1        0        0
50988 libcrypt-des-ede3-perl             	       1        0        1        0        0
50989 libcrypt-dsa-perl                  	       1        0        1        0        0
50990 libcrypt-ecb-perl                  	       1        0        1        0        0
50991 libcrypt-format-perl               	       1        0        1        0        0
50992 libcrypt-gcrypt-perl               	       1        0        0        0        1
50993 libcrypt-generatepassword-perl     	       1        0        1        0        0
50994 libcrypt-rsa-parse-perl            	       1        0        1        0        0
50995 libcrypt-saltedhash-perl           	       1        0        1        0        0
50996 libcrypt-simple-perl               	       1        0        1        0        0
50997 libcrypt-u2f-server-perl           	       1        0        0        0        1
50998 libcrypt-unixcrypt-perl            	       1        0        1        0        0
50999 libcrypto++6-dbg                   	       1        0        1        0        0
51000 libcryptominisat5-5.11             	       1        0        0        0        1
51001 libcryptopant1                     	       1        0        0        0        1
51002 libcryptui-dev                     	       1        0        1        0        0
51003 libcryptui-doc                     	       1        0        0        0        1
51004 libcsdr0                           	       1        0        0        0        1
51005 libcsfml-audio2.6                  	       1        0        0        0        1
51006 libcsfml-doc                       	       1        0        0        0        1
51007 libcsfml-graphics2.6               	       1        0        0        0        1
51008 libcsfml-network2.6                	       1        0        0        0        1
51009 libcsfml-system2.6                 	       1        0        0        0        1
51010 libcsfml-window2.6                 	       1        0        0        0        1
51011 libcsiro0                          	       1        0        0        0        1
51012 libcsound64-dev                    	       1        0        1        0        0
51013 libcsparse2.2.3                    	       1        0        0        0        1
51014 libcsparse3.1.2                    	       1        0        0        0        1
51015 libcss-minifier-perl               	       1        1        0        0        0
51016 libcss-minifier-xs-perl            	       1        0        0        0        1
51017 libcss-squish-perl                 	       1        0        1        0        0
51018 libcsv-ocaml-dev                   	       1        0        1        0        0
51019 libct3                             	       1        0        0        0        1
51020 libctemplate3t64                   	       1        0        0        0        1
51021 libctpl-dev                        	       1        0        1        0        0
51022 libctpp2-2v5                       	       1        0        0        0        1
51023 libctpp2-dev                       	       1        0        1        0        0
51024 libcublas-11-7                     	       1        0        0        0        1
51025 libcublas-dev-11-7                 	       1        0        1        0        0
51026 libcublas4                         	       1        0        0        0        1
51027 libcublas5.5                       	       1        0        0        0        1
51028 libcublas6.0                       	       1        0        0        0        1
51029 libcublas6.5                       	       1        0        0        0        1
51030 libcublas8.0                       	       1        0        0        0        1
51031 libcucul0                          	       1        0        0        0        1
51032 libcucumber-tagexpressions-perl    	       1        0        1        0        0
51033 libcudart-old-versions             	       1        0        0        0        1
51034 libcudart5.5                       	       1        0        0        0        1
51035 libcudart6.0                       	       1        0        0        0        1
51036 libcudart6.5                       	       1        0        0        0        1
51037 libcudart8.0                       	       1        0        0        0        1
51038 libcudnn8-samples                  	       1        0        1        0        0
51039 libcufft-11-7                      	       1        0        0        0        1
51040 libcufft-dev-11-7                  	       1        0        1        0        0
51041 libcufft4                          	       1        0        0        0        1
51042 libcufft5.5                        	       1        0        0        0        1
51043 libcufft6.0                        	       1        0        0        0        1
51044 libcufft6.5                        	       1        0        0        0        1
51045 libcufft8.0                        	       1        0        0        0        1
51046 libcufft9.2                        	       1        0        0        0        1
51047 libcufftw5.5                       	       1        0        0        0        1
51048 libcufftw6.0                       	       1        0        0        0        1
51049 libcufftw6.5                       	       1        0        0        0        1
51050 libcufftw8.0                       	       1        0        0        0        1
51051 libcufftw9.2                       	       1        0        0        0        1
51052 libcufile-11-7                     	       1        0        0        0        1
51053 libcufile-dev-11-7                 	       1        0        1        0        0
51054 libcunit1-doc                      	       1        0        1        0        0
51055 libcupt3-0-downloadmethod-curl     	       1        0        1        0        0
51056 libcupti6.0                        	       1        0        0        0        1
51057 libcupti6.5                        	       1        0        0        0        1
51058 libcupti7.5                        	       1        0        0        0        1
51059 libcurand-11-7                     	       1        0        0        0        1
51060 libcurand-dev-11-7                 	       1        0        1        0        0
51061 libcurand4                         	       1        0        0        0        1
51062 libcurand5.5                       	       1        0        0        0        1
51063 libcurand6.0                       	       1        0        0        0        1
51064 libcurand6.5                       	       1        0        0        0        1
51065 libcurand8.0                       	       1        0        0        0        1
51066 libcurand9.2                       	       1        0        0        0        1
51067 libcurl-ocaml                      	       1        0        1        0        0
51068 libcurses-ocaml                    	       1        0        1        0        0
51069 libcusolver-11-7                   	       1        0        0        0        1
51070 libcusolver-dev-11-7               	       1        0        1        0        0
51071 libcusolver8.0                     	       1        0        0        0        1
51072 libcusolver9.2                     	       1        0        0        0        1
51073 libcusparse-11-7                   	       1        0        0        0        1
51074 libcusparse-dev-11-7               	       1        0        1        0        0
51075 libcusparse4                       	       1        0        0        0        1
51076 libcusparse5.5                     	       1        0        0        0        1
51077 libcusparse6.0                     	       1        0        0        0        1
51078 libcusparse8.0                     	       1        0        0        0        1
51079 libcusparse9.2                     	       1        0        0        0        1
51080 libcutl-1.10                       	       1        0        0        0        1
51081 libcutlass-dev                     	       1        0        1        0        0
51082 libcv-bridge2d                     	       1        0        0        0        1
51083 libcv-dev                          	       1        0        1        0        0
51084 libcvaux-dev                       	       1        0        1        0        0
51085 libcvc5-1                          	       1        0        0        0        1
51086 libcvc5parser1                     	       1        0        0        0        1
51087 libcvd-dev                         	       1        0        1        0        0
51088 libcvs-perl                        	       1        0        1        0        0
51089 libcwidget4-dbgsym                 	       1        0        1        0        0
51090 libcxl1                            	       1        0        0        0        1
51091 libcxsparse3.1.2                   	       1        0        0        0        1
51092 libcxxopts-dev                     	       1        0        1        0        0
51093 libcxxtools10                      	       1        0        0        0        1
51094 libcxxtools8                       	       1        0        0        0        1
51095 libcxxtools9                       	       1        0        0        0        1
51096 libcypher-parser8                  	       1        0        0        0        1
51097 libczmq4-dbgsym                    	       1        0        1        0        0
51098 libdaemon-control-perl             	       1        0        1        0        0
51099 libdaemon-doc                      	       1        0        0        0        1
51100 libdaemon-generic-perl             	       1        0        1        0        0
51101 libdancer-perl                     	       1        0        1        0        0
51102 libdancer-plugin-dbic-perl         	       1        0        1        0        0
51103 libdancer-xml0                     	       1        0        0        0        1
51104 libdap-bin                         	       1        0        1        0        0
51105 libdap27t64                        	       1        0        0        0        1
51106 libdapclient6t64                   	       1        0        0        0        1
51107 libdapserver7                      	       1        0        0        0        1
51108 libdapserver7t64                   	       1        0        0        0        1
51109 libdaq0                            	       1        0        0        0        1
51110 libdar-dev                         	       1        0        0        1        0
51111 libdata-alias-perl                 	       1        0        0        0        1
51112 libdata-binary-perl                	       1        0        1        0        0
51113 libdata-buffer-perl                	       1        0        1        0        0
51114 libdata-csv-clojure                	       1        0        0        0        1
51115 libdata-dump-streamer-perl         	       1        0        0        0        1
51116 libdata-format-html-perl           	       1        0        1        0        0
51117 libdata-generators-clojure         	       1        0        0        0        1
51118 libdata-hexdump-perl               	       1        0        1        0        0
51119 libdata-json-clojure               	       1        0        0        0        1
51120 libdata-priority-map-clojure       	       1        0        0        0        1
51121 libdata-rmap-perl                  	       1        0        1        0        0
51122 libdata-structure-util-perl        	       1        0        0        0        1
51123 libdata-table-perl                 	       1        0        1        0        0
51124 libdata-tablereader-perl           	       1        0        1        0        0
51125 libdata-treedumper-oo-perl         	       1        0        1        0        0
51126 libdata-treedumper-renderer-gtk-perl	       1        0        1        0        0
51127 libdata-uuid-libuuid-perl          	       1        0        0        0        1
51128 libdata-visitor-perl-build-deps    	       1        0        0        0        1
51129 libdataobjects-postgres-ruby1.9.1  	       1        0        0        0        1
51130 libdataobjects-ruby1.9.1           	       1        0        0        0        1
51131 libdataobjects-sqlite3-ruby1.9.1   	       1        0        0        0        1
51132 libdataquay-dev                    	       1        0        1        0        0
51133 libdate-extract-perl               	       1        0        1        0        0
51134 libdate-iso8601-perl               	       1        0        1        0        0
51135 libdatetime-format-duration-perl   	       1        0        1        0        0
51136 libdatetime-format-human-duration-perl	       1        0        1        0        0
51137 libdatetime-format-rfc3339-perl    	       1        0        1        0        0
51138 libdatovka8                        	       1        0        0        0        1
51139 libdavix0v5                        	       1        0        0        0        1
51140 libdazzle-doc                      	       1        0        0        0        1
51141 libdazzle-tools                    	       1        0        1        0        0
51142 libdb-sql-dev                      	       1        0        0        0        1
51143 libdb3                             	       1        0        0        0        1
51144 libdb4.7-java                      	       1        0        0        0        1
51145 libdb5.1++                         	       1        0        0        0        1
51146 libdb5.1-sql                       	       1        0        0        0        1
51147 libdb5.3-dbg                       	       1        0        1        0        0
51148 libdb5.3-java-dev                  	       1        0        0        0        1
51149 libdballe8                         	       1        0        0        0        1
51150 libdbd-ldap-perl                   	       1        0        1        0        0
51151 libdbd-mysql-ruby1.9.1             	       1        0        0        0        1
51152 libdbd-sqlite                      	       1        0        0        0        1
51153 libdbd-sqlite2-perl                	       1        0        0        0        1
51154 libdbd-sqlite3-ruby1.9.1           	       1        0        0        0        1
51155 libdbi-ruby1.9.1                   	       1        0        0        0        1
51156 libdbicx-sugar-perl                	       1        0        1        0        0
51157 libdbix-multistatementdo-perl      	       1        0        1        0        0
51158 libdbix-safe-perl                  	       1        0        1        0        0
51159 libdbus-1-3-dbgsym                 	       1        0        1        0        0
51160 libdbus-c++-doc                    	       1        0        0        0        1
51161 libdbus-cpp-dev                    	       1        0        1        0        0
51162 libdbus-glib1.0-cil                	       1        0        0        0        1
51163 libdbus1.0-cil                     	       1        0        0        0        1
51164 libdbusextended-qt5-dev            	       1        0        1        0        0
51165 libdbusmenu-glib3                  	       1        0        0        0        1
51166 libdbusmenu-gtk-dev                	       1        0        1        0        0
51167 libdbusmenu-gtk-doc                	       1        0        0        0        1
51168 libdbusmenu-gtk3                   	       1        0        0        0        1
51169 libdcmtk16                         	       1        0        0        0        1
51170 libdcmtk2-dev                      	       1        0        1        0        0
51171 libdconf1-dbgsym                   	       1        0        1        0        0
51172 libddsc0debian                     	       1        0        0        0        1
51173 libdebconf-kde0                    	       1        0        0        0        1
51174 libdebug-client-perl               	       1        0        1        0        0
51175 libdebug-trace-perl                	       1        0        1        0        0
51176 libdee-dev                         	       1        0        1        0        0
51177 libdeflate-tools                   	       1        0        1        0        0
51178 libdelimmatch-perl                 	       1        0        1        0        0
51179 libdeprecated-ruby1.9.1            	       1        0        0        0        1
51180 libdevel-dumpvar-perl              	       1        0        1        0        0
51181 libdevel-gdb-perl                  	       1        0        1        0        0
51182 libdevel-ptkdb-perl                	       1        0        1        0        0
51183 libdevel-refactor-perl             	       1        0        1        0        0
51184 libdevel-repl-perl                 	       1        0        1        0        0
51185 libdevel-trace-perl                	       1        0        1        0        0
51186 libdevhelp-3-0                     	       1        0        0        0        1
51187 libdeviceinfo0                     	       1        0        0        0        1
51188 libdex-1-1                         	       1        0        0        0        1
51189 libdfu1                            	       1        0        0        0        1
51190 libdi6                             	       1        0        0        0        1
51191 libdiagnostic-msgs-dev             	       1        0        1        0        0
51192 libdifflcs-ruby1.9.1               	       1        0        0        0        1
51193 libdigest-md2-perl                 	       1        0        0        0        1
51194 libdigest-murmurhash3-pureperl-perl	       1        0        1        0        0
51195 libdigest-whirlpool-perl           	       1        0        1        0        0
51196 libdigidocpp-common                	       1        0        0        0        1
51197 libdigidocpp-tools                 	       1        0        1        0        0
51198 libdigidocpp1                      	       1        0        0        0        1
51199 libdigiham0                        	       1        0        0        0        1
51200 libdirac-doc                       	       1        0        0        0        1
51201 libdirectfb-0.9-25                 	       1        0        1        0        0
51202 libdirectfb-1.2-0                  	       1        0        0        0        1
51203 libdirectory-scratch-perl          	       1        0        1        0        0
51204 libdirectory-scratch-structured-perl	       1        0        1        0        0
51205 libdirgra-java-doc                 	       1        0        0        0        1
51206 libdisasm-dev                      	       1        0        0        1        0
51207 libdiscover-dev                    	       1        0        1        0        0
51208 libdislocker0-dev                  	       1        0        0        1        0
51209 libdisorder0                       	       1        0        0        0        1
51210 libdispatch1                       	       1        0        0        0        1
51211 libdjvulibre15                     	       1        0        0        0        1
51212 libdleyna-core-1.0-6               	       1        0        0        0        1
51213 libdlmcontrol3                     	       1        0        0        0        1
51214 libdlrestrictions-dev              	       1        0        1        0        0
51215 libdlt-dev                         	       1        0        1        0        0
51216 libdm0                             	       1        0        0        0        1
51217 libdmlc0                           	       1        0        0        0        1
51218 libdmtx-utils                      	       1        0        0        0        1
51219 libdns-export1100                  	       1        0        0        0        1
51220 libdns-export1109                  	       1        0        1        0        0
51221 libdns-export169                   	       1        0        0        0        1
51222 libdns-export190                   	       1        0        0        0        1
51223 libdns-ruby                        	       1        0        0        0        1
51224 libdns1109                         	       1        0        0        0        1
51225 libdnssec2                         	       1        0        0        0        1
51226 libdnssec7                         	       1        0        0        0        1
51227 libdnswire-dev                     	       1        0        1        0        0
51228 libdnswire1t64                     	       1        0        0        0        1
51229 libdogleg-dev                      	       1        0        1        0        0
51230 libdogleg2                         	       1        0        0        0        1
51231 libdolfinx-dev                     	       1        0        1        0        0
51232 libdolfinx-real-dev                	       1        0        0        0        1
51233 libdolfinx-real0.5                 	       1        0        0        0        1
51234 libdolphinvcs-dev                  	       1        0        0        1        0
51235 libdom4j-java-doc                  	       1        0        0        0        1
51236 libdoodle1                         	       1        0        0        0        1
51237 libdotconf-dev                     	       1        0        1        0        0
51238 libdoxia-java-doc                  	       1        0        0        0        1
51239 libdoxygen-filter-perl             	       1        0        1        0        0
51240 libdraco1                          	       1        0        0        0        1
51241 libdraco1a                         	       1        0        0        0        1
51242 libdraco4                          	       1        0        0        0        1
51243 libdrilbo-dev                      	       1        0        1        0        0
51244 libdrm-amdgpu-static               	       1        0        0        0        1
51245 libdrm-amdgpu-utils                	       1        0        1        0        0
51246 libdrm-exynos1                     	       1        0        0        0        1
51247 libdrm-nouveau1                    	       1        0        0        0        1
51248 libdrm-omap1                       	       1        0        0        0        1
51249 libdrmaa1.0-java                   	       1        0        0        0        1
51250 libdrumstick-file2t64              	       1        0        0        0        1
51251 libdsfmt-216091-1                  	       1        0        0        0        1
51252 libdsk4-dev                        	       1        0        1        0        0
51253 libdspam7                          	       1        0        0        0        1
51254 libdspam7-drv-mysql                	       1        0        0        0        1
51255 libdspy-1-1                        	       1        0        0        0        1
51256 libdssialsacompat-dev              	       1        0        1        0        0
51257 libdssialsacompat0                 	       1        0        0        0        1
51258 libdtdinst-java                    	       1        0        0        0        1
51259 libdujour-version-check-clojure    	       1        0        0        0        1
51260 libduktape202                      	       1        0        0        0        1
51261 libdumb1-dbgsym                    	       1        0        1        0        0
51262 libdune-grid-glue-dev              	       1        0        0        0        1
51263 libdvbpsi4                         	       1        0        0        0        1
51264 libdvdcss                          	       1        0        1        0        0
51265 libdvdread3                        	       1        0        0        0        1
51266 libdvilib2-16                      	       1        0        0        0        1
51267 libdx4-dev                         	       1        0        1        0        0
51268 libdx4t64                          	       1        1        0        0        0
51269 libdxflib-2.2.0.0                  	       1        0        0        0        1
51270 libdxfrw-bin                       	       1        0        1        0        0
51271 libdxfrw-dev                       	       1        0        1        0        0
51272 libdxfrw0                          	       1        0        0        0        1
51273 libdynamic-reconfigure-config-init-mutex-dev	       1        0        1        0        0
51274 libdynapath-clojure                	       1        0        0        0        1
51275 libdynarmic-dev                    	       1        0        0        1        0
51276 libdynarmic6.7                     	       1        0        0        0        1
51277 libe-book-0.0-0                    	       1        0        0        0        1
51278 libeantic-dev                      	       1        0        1        0        0
51279 libeasybind-java                   	       1        0        0        0        1
51280 libeasyloggingpp-dev               	       1        0        1        0        0
51281 libeasymock-java-doc               	       1        0        0        0        1
51282 libebackend-1.2-6                  	       1        0        0        0        1
51283 libec4                             	       1        0        0        0        1
51284 libecasoundc-dev                   	       1        0        1        0        0
51285 libechonest2.1                     	       1        0        0        0        1
51286 libeckit-dev                       	       1        0        1        0        0
51287 libeckit-utils                     	       1        0        1        0        0
51288 libecl21.2t64                      	       1        0        0        0        1
51289 libecl24.5t64                      	       1        0        0        0        1
51290 libeclipse-cdt-java                	       1        0        0        0        1
51291 libeclipse-core-net-java           	       1        0        1        0        0
51292 libeclipse-e4-ui-ide-java          	       1        0        1        0        0
51293 libeclipse-ui-ide-application-java 	       1        0        1        0        0
51294 libeclipse-ui-ide-java             	       1        0        1        0        0
51295 libeclipse-ui-java                 	       1        0        1        0        0
51296 libeclipse-ui-navigator-java       	       1        0        1        0        0
51297 libeclipse-ui-views-java           	       1        0        1        0        0
51298 libeclipselink-java-doc            	       1        0        0        0        1
51299 libectrans-0                       	       1        0        0        0        1
51300 libedataserver-1.2-17              	       1        0        0        0        1
51301 libedataserver-1.2-21              	       1        0        0        0        1
51302 libedataserver-1.2-24              	       1        0        0        0        1
51303 libedataserverui-1.2-3             	       1        0        0        0        1
51304 libedbus1                          	       1        0        0        0        1
51305 libedfmt0                          	       1        0        0        0        1
51306 libedgetpu-dev                     	       1        0        1        0        0
51307 libedgetpu1-max                    	       1        0        1        0        0
51308 libeditline-dev                    	       1        0        1        0        0
51309 libeditorconfig-dev                	       1        0        1        0        0
51310 libedlib-dev                       	       1        0        1        0        0
51311 libedlib0                          	       1        0        0        0        1
51312 libedlib1                          	       1        0        0        0        1
51313 libeel2-data                       	       1        0        0        0        1
51314 libeet-bin                         	       1        0        1        0        0
51315 libefiboot-dev                     	       1        0        1        0        0
51316 libefisec1                         	       1        0        0        0        1
51317 libefivar-dev                      	       1        0        1        0        0
51318 libegl1-amdgpu-mesa-dev            	       1        0        1        0        0
51319 libeigen-stl-containers-dev        	       1        0        1        0        0
51320 libeina-bin                        	       1        0        1        0        0
51321 libeinfo-dev                       	       1        0        1        0        0
51322 libeis-dev                         	       1        0        1        0        0
51323 libelementary2                     	       1        0        0        0        1
51324 libelfin-dev                       	       1        0        0        0        1
51325 libelfsh0                          	       1        0        0        0        1
51326 libelk0                            	       1        0        0        0        1
51327 libelogind0-dbgsym                 	       1        0        1        0        0
51328 libelpa15                          	       1        0        0        0        1
51329 libemail-address-list-perl         	       1        0        1        0        0
51330 libemail-mime-createhtml-perl      	       1        0        1        0        0
51331 libemail-mime-modifier-perl        	       1        0        0        0        1
51332 libemail-reply-perl                	       1        0        1        0        0
51333 libemail-send-io-perl              	       1        0        1        0        0
51334 libemail-send-perl                 	       1        0        1        0        0
51335 libembree-dev                      	       1        0        1        0        0
51336 libembree-doc                      	       1        0        0        0        1
51337 libembryo0                         	       1        0        0        0        1
51338 libemf-doc                         	       1        0        0        0        1
51339 libemf2svg-dev                     	       1        0        1        0        0
51340 libemma-java                       	       1        0        0        0        1
51341 libemos-bin                        	       1        0        1        0        0
51342 libenet1a                          	       1        0        0        0        1
51343 libenet7-dbgsym                    	       1        0        1        0        0
51344 libengine-tpm2-tss-openssl         	       1        0        0        0        1
51345 libepsilon0                        	       1        0        0        0        1
51346 libepubgen-dev                     	       1        0        1        0        0
51347 libequeue-ocaml                    	       1        0        0        0        1
51348 libequinox-jsp-jasper-java         	       1        0        1        0        0
51349 libequinox-p2-artifact-repository-java	       1        0        1        0        0
51350 libequinox-p2-core-java            	       1        0        1        0        0
51351 libequinox-p2-engine-java          	       1        0        1        0        0
51352 libequinox-p2-jarprocessor-java    	       1        0        1        0        0
51353 libequinox-p2-metadata-java        	       1        0        1        0        0
51354 libequinox-p2-metadata-repository-java	       1        0        1        0        0
51355 libequinox-p2-repository-java      	       1        0        1        0        0
51356 libequinox-security-java           	       1        0        1        0        0
51357 liberasurecode-dev                 	       1        0        1        0        0
51358 liberasurecode1                    	       1        0        0        0        1
51359 liberis-1.3-14                     	       1        0        0        0        1
51360 liberty-jessie-archive-keyring     	       1        0        0        0        1
51361 liberubis-ruby1.9.1                	       1        0        0        0        1
51362 libescpr1                          	       1        0        0        0        1
51363 libesnacc-dev                      	       1        0        1        0        0
51364 libesnacc180                       	       1        0        0        0        1
51365 libespeak-dev                      	       1        0        1        0        0
51366 libespeak-ng-dev                   	       1        0        1        0        0
51367 libespeak-ng-libespeak-dev         	       1        0        1        0        0
51368 libestraier-dev                    	       1        0        1        0        0
51369 libetonyek-0.0-0                   	       1        0        0        0        1
51370 libetpan15                         	       1        0        0        0        1
51371 libetrace0                         	       1        0        0        0        1
51372 libetsf-io-doc                     	       1        0        0        0        1
51373 libevd-0.2-0                       	       1        0        0        0        1
51374 libevd-0.2-0t64                    	       1        1        0        0        0
51375 libevdi1                           	       1        0        0        0        1
51376 libevent-2.1.8                     	       1        0        1        0        0
51377 libevent-core2                     	       1        0        0        0        1
51378 libevent-execflow-perl             	       1        0        1        0        0
51379 libevent-extra2                    	       1        0        0        0        1
51380 libevent-pthreads0                 	       1        0        0        0        1
51381 libevent2                          	       1        0        0        0        1
51382 libevocosm-4.0-4                   	       1        0        0        0        1
51383 libevocosm-dev                     	       1        0        1        0        0
51384 libevs-dev                         	       1        0        1        0        0
51385 libevs4                            	       1        0        0        0        1
51386 libewf-dev                         	       1        0        1        0        0
51387 libexadrums2                       	       1        0        0        0        1
51388 libexcel-template-perl             	       1        0        1        0        0
51389 libexif-gtk-dev                    	       1        0        1        0        0
51390 libexif-ruby1.9.1                  	       1        0        0        0        1
51391 libexiv2-doc                       	       1        0        1        0        0
51392 libexo-1-dev                       	       1        0        1        0        0
51393 libexo-2-0-dbgsym                  	       1        0        1        0        0
51394 libexodusii5                       	       1        0        0        0        1
51395 libexosip2-4                       	       1        0        1        0        0
51396 libexosip2-dev                     	       1        0        1        0        0
51397 libexperimental-perl               	       1        0        1        0        0
51398 libexplain-dev                     	       1        0        1        0        0
51399 libexplain30                       	       1        0        0        0        1
51400 libexport-attrs-perl               	       1        0        1        0        0
51401 libextractor-plugin-av             	       1        0        0        0        1
51402 libexttextcat-dev                  	       1        0        1        0        0
51403 libexttextcat0                     	       1        0        0        0        1
51404 libextutils-f77-perl               	       1        0        1        0        0
51405 libeztrace0                        	       1        0        0        0        1
51406 libf2fs-dev                        	       1        0        1        0        0
51407 libf2fs-format-dev                 	       1        0        1        0        0
51408 libf2j-java                        	       1        0        0        0        1
51409 libf95getdata7                     	       1        0        0        0        1
51410 libfaac0t64                        	       1        0        0        0        1
51411 libfaad-ocaml                      	       1        0        1        0        0
51412 libfaad-ocaml-dev                  	       1        0        1        0        0
51413 libfaad0                           	       1        0        0        0        1
51414 libfacets-ruby1.9.1                	       1        0        0        0        1
51415 libfacter3.10.0                    	       1        0        0        0        1
51416 libfakefs-ruby1.9.1                	       1        0        0        0        1
51417 libfakekey-dev                     	       1        0        1        0        0
51418 libfalabaac2                       	       1        0        0        0        1
51419 libfalcosecurity0                  	       1        0        0        0        1
51420 libfam-dev                         	       1        0        1        0        0
51421 libfame-0.9                        	       1        0        0        0        1
51422 libfastahack0                      	       1        0        0        0        1
51423 libfastjet-dev                     	       1        0        1        0        0
51424 libfastjet-fortran-dev             	       1        0        0        0        1
51425 libfastjet-fortran0                	       1        0        0        0        1
51426 libfastjet0v5                      	       1        0        0        0        1
51427 libfastjettools-dev                	       1        0        0        0        1
51428 libfastjettools0                   	       1        0        0        0        1
51429 libfastlz                          	       1        0        1        0        0
51430 libfcft3                           	       1        0        1        0        0
51431 libfcgi-async-perl                 	       1        0        1        0        0
51432 libfcgi-ruby1.9.1                  	       1        0        0        0        1
51433 libfcitx5-qt6-dev                  	       1        0        1        0        0
51434 libfckit0d                         	       1        0        0        0        1
51435 libfcl0.7                          	       1        0        0        0        1
51436 libfcml0t64                        	       1        0        0        0        1
51437 libfdb5-0d                         	       1        0        0        0        1
51438 libfdisk1-dbgsym                   	       1        0        1        0        0
51439 libfdkaac-ocaml                    	       1        0        1        0        0
51440 libfdkaac-ocaml-dev                	       1        0        1        0        0
51441 libfdkaac-ocaml-dynlink            	       1        0        1        0        0
51442 libfec-dev                         	       1        0        1        0        0
51443 libffcall1-dev                     	       1        0        0        0        1
51444 libffi-ruby1.9.1                   	       1        0        0        0        1
51445 libffi7-dbgsym                     	       1        0        1        0        0
51446 libfgetdata6                       	       1        0        0        0        1
51447 libfiat-0                          	       1        0        0        0        1
51448 libfifechan-dev                    	       1        0        0        0        1
51449 libfifechan0.1.3                   	       1        0        0        0        1
51450 libfifechan0.1.5t64                	       1        0        0        0        1
51451 libfile-find-object-perl           	       1        0        1        0        0
51452 libfile-find-rule-vcs-perl         	       1        0        1        0        0
51453 libfile-flock-perl                 	       1        0        1        0        0
51454 libfile-grep-perl                  	       1        0        1        0        0
51455 libfile-lchown-perl                	       1        0        0        0        1
51456 libfile-policy-perl                	       1        0        1        0        0
51457 libfile-rsyncp-perl                	       1        0        0        0        1
51458 libfile-scan-perl                  	       1        0        1        0        0
51459 libfile-spec-native-perl           	       1        0        1        0        0
51460 libfile-treecreate-perl            	       1        0        1        0        0
51461 libfile-userconfig-perl            	       1        0        1        0        0
51462 libfile-zglob-perl                 	       1        0        1        0        0
51463 libfilehandle-fmode-perl           	       1        0        0        0        1
51464 libfilesys-smbclient-perl          	       1        0        0        0        1
51465 libfilesystem-ruby1.9.1            	       1        0        1        0        0
51466 libfileutils-ocaml-dev             	       1        0        1        0        0
51467 libfilezilla23                     	       1        0        0        0        1
51468 libfilezilla25                     	       1        0        0        0        1
51469 libfilezilla30                     	       1        0        0        0        1
51470 libfilezilla32                     	       1        0        0        0        1
51471 libfilezilla42                     	       1        0        0        0        1
51472 libfilezilla42t64                  	       1        0        0        0        1
51473 libfilezilla43t64                  	       1        0        0        0        1
51474 libfilezilla45                     	       1        0        0        0        1
51475 libfinance-quotehist-perl          	       1        1        0        0        0
51476 libfirefox-marionette-perl         	       1        0        0        1        0
51477 libfishsound1-dev                  	       1        0        1        0        0
51478 libfiu0                            	       1        0        1        0        0
51479 libfixbuf-tools                    	       1        0        1        0        0
51480 libfixbuf9t64                      	       1        0        0        0        1
51481 libfixposix4t64                    	       1        0        0        0        1
51482 libfizmo-dev                       	       1        0        1        0        0
51483 libfko0                            	       1        0        0        0        1
51484 libflac++5                         	       1        0        0        0        1
51485 libflang-17-dev                    	       1        0        1        0        0
51486 libflang-19-dev                    	       1        0        1        0        0
51487 libflann-dev                       	       1        0        1        0        0
51488 libflashsupport                    	       1        0        0        0        1
51489 libflatpak-doc                     	       1        0        0        0        1
51490 libflatzebra-0.1-2                 	       1        0        0        0        1
51491 libflatzebra-0.2                   	       1        0        0        0        1
51492 libflatzebra-dev                   	       1        0        1        0        0
51493 libflickcurl-dev                   	       1        0        1        0        0
51494 libflickr-api-perl                 	       1        0        1        0        0
51495 libflickr-upload-perl              	       1        0        1        0        0
51496 libflickrnet2.2-cil                	       1        0        1        0        0
51497 libflif0                           	       1        0        0        0        1
51498 libflint-2.6.3                     	       1        0        0        0        1
51499 libflint-2.8.5                     	       1        0        0        0        1
51500 libflute-java-doc                  	       1        0        0        0        1
51501 libfm-dbg                          	       1        0        1        0        0
51502 libfm-gtk-dbg                      	       1        0        1        0        0
51503 libfm-modules-dbg                  	       1        0        1        0        0
51504 libfm-qt-dev                       	       1        0        0        1        0
51505 libfm-tools-dbgsym                 	       1        0        1        0        0
51506 libfm3                             	       1        0        0        0        1
51507 libfml0                            	       1        0        0        0        1
51508 libfmt-doc                         	       1        0        0        0        1
51509 libfmt-ocaml                       	       1        0        1        0        0
51510 libfmt-ocaml-dev                   	       1        0        1        0        0
51511 libfnlib0                          	       1        0        0        0        1
51512 libfolia9                          	       1        0        0        0        1
51513 libfontforge1                      	       1        0        0        0        1
51514 libfontforge4-dbgsym               	       1        0        1        0        0
51515 libforge-dev                       	       1        0        1        0        0
51516 libforge1                          	       1        0        0        0        1
51517 libformsgl-dev                     	       1        0        1        0        0
51518 libformsgl2                        	       1        0        0        0        1
51519 libfparser-dev                     	       1        0        0        0        1
51520 libfpath-ocaml                     	       1        0        1        0        0
51521 libfpath-ocaml-dev                 	       1        0        1        0        0
51522 libfpdf-tpl-php                    	       1        1        0        0        0
51523 libfpdi-php                        	       1        1        0        0        0
51524 libfplll5                          	       1        0        0        0        1
51525 libfprint-2-doc                    	       1        0        0        0        1
51526 libfprint-dev                      	       1        0        1        0        0
51527 libfpzip1                          	       1        0        0        0        1
51528 libfreebob0                        	       1        0        0        0        1
51529 libfreecell-solver-dev             	       1        0        1        0        0
51530 libfreecontact0v5                  	       1        0        0        0        1
51531 libfreefare-bin                    	       1        0        1        0        0
51532 libfreefare0                       	       1        0        0        0        1
51533 libfreefem++                       	       1        0        1        0        0
51534 libfreehand-0.0-0                  	       1        0        0        0        1
51535 libfreehep-graphicsio-swf-java     	       1        0        0        0        1
51536 libfreeimageplus-dev               	       1        0        1        0        0
51537 libfreenect-bin                    	       1        0        1        0        0
51538 libfreenect-demos                  	       1        0        0        0        1
51539 libfreenect-dev                    	       1        0        1        0        0
51540 libfreenect-doc                    	       1        0        0        0        1
51541 libfreeradius-dev                  	       1        0        1        0        0
51542 libfreerdp-server-proxy3-3         	       1        0        0        0        1
51543 libfreerdp-server2-2t64            	       1        0        0        0        1
51544 libfreerdp-shadow-subsystem3-3     	       1        0        0        0        1
51545 libfreerdp-shadow3-3               	       1        0        0        0        1
51546 libfreerdp0                        	       1        0        0        0        1
51547 libfreeswitch1                     	       1        0        0        0        1
51548 libfreetype6-dbgsym                	       1        0        1        0        0
51549 libfrei0r-ocaml                    	       1        0        0        0        1
51550 libfrei0r-ocaml-dev                	       1        0        1        0        0
51551 libfrobby-dev                      	       1        0        1        0        0
51552 libfrobby0                         	       1        0        0        0        1
51553 libfrog1                           	       1        0        0        0        1
51554 libfsext-utils                     	       1        0        1        0        0
51555 libfsharp-data-typeproviders4.4-cil	       1        0        1        0        0
51556 libfshfs1t64                       	       1        0        0        0        1
51557 libfskit                           	       1        0        1        0        0
51558 libfskit-fuse                      	       1        0        1        0        0
51559 libfsntfs1t64                      	       1        0        0        0        1
51560 libfssm-ruby1.9.1                  	       1        0        0        0        1
51561 libfstrcmp-dev                     	       1        0        1        0        0
51562 libfswatch11                       	       1        0        0        0        1
51563 libfsxfs-dev                       	       1        0        1        0        0
51564 libftdipp1-dev                     	       1        0        0        0        1
51565 libftp-dev                         	       1        0        1        0        0
51566 libfungw-dev                       	       1        0        1        0        0
51567 libfusioninventory-agent-task-deploy-perl	       1        0        0        0        1
51568 libfusioninventory-agent-task-esx-perl	       1        0        0        0        1
51569 libfusioninventory-agent-task-netinventory-perl	       1        0        0        0        1
51570 libfusioninventory-agent-task-network-perl	       1        0        0        0        1
51571 libfusioninventory-agent-task-snmpquery-perl	       1        0        0        0        1
51572 libfuture-io-perl                  	       1        0        1        0        0
51573 libfuzzer-19-dev                   	       1        0        0        1        0
51574 libfvde-utils                      	       1        0        1        0        0
51575 libfwupdplugin7                    	       1        1        0        0        0
51576 libg3d-doc                         	       1        0        0        0        1
51577 libgadap-dev                       	       1        0        1        0        0
51578 libgail-3-doc                      	       1        0        0        0        1
51579 libgail-doc                        	       1        0        0        0        1
51580 libgail17                          	       1        0        0        0        1
51581 libgama2                           	       1        0        0        0        1
51582 libgaminggear-common               	       1        0        1        0        0
51583 libgaminggear0                     	       1        0        1        0        0
51584 libgammu0                          	       1        0        0        0        1
51585 libgammu7                          	       1        0        0        0        1
51586 libganv-dev                        	       1        0        1        0        0
51587 libgarcon-1-0-dbgsym               	       1        0        1        0        0
51588 libgarcon-gtk3-1-0-dbgsym          	       1        0        1        0        0
51589 libgarmin-dev                      	       1        0        1        0        0
51590 libgatos0                          	       1        0        0        0        1
51591 libgauche-0.97-0                   	       1        0        0        0        1
51592 libgav1-dev                        	       1        0        1        0        0
51593 libgavl-dev                        	       1        0        1        0        0
51594 libgavl-doc                        	       1        0        0        0        1
51595 libgbm-amdgpu-dev                  	       1        0        1        0        0
51596 libgcab-dev                        	       1        0        1        0        0
51597 libgcab-doc                        	       1        0        0        0        1
51598 libgcab-tests                      	       1        0        0        0        1
51599 libgcc-10-dev-alpha-cross          	       1        0        0        0        1
51600 libgcc-10-dev-hppa-cross           	       1        0        0        0        1
51601 libgcc-10-dev-mips-cross           	       1        0        0        0        1
51602 libgcc-10-dev-mipsel-cross         	       1        0        0        0        1
51603 libgcc-10-dev-powerpc-cross        	       1        0        0        0        1
51604 libgcc-10-dev-s390x-cross          	       1        0        0        0        1
51605 libgcc-10-dev-sparc64-cross        	       1        0        0        0        1
51606 libgcc-11-dev-armel-cross          	       1        0        0        0        1
51607 libgcc-11-dev-armhf-cross          	       1        0        0        0        1
51608 libgcc-11-dev-i386-cross           	       1        0        0        0        1
51609 libgcc-12-dev-alpha-cross          	       1        0        0        0        1
51610 libgcc-12-dev-hppa-cross           	       1        0        0        0        1
51611 libgcc-12-dev-m68k-cross           	       1        0        0        0        1
51612 libgcc-12-dev-mips64-cross         	       1        0        0        0        1
51613 libgcc-12-dev-mips64r6el-cross     	       1        0        0        0        1
51614 libgcc-12-dev-ppc64-cross          	       1        0        0        0        1
51615 libgcc-12-dev-sparc64-cross        	       1        0        0        0        1
51616 libgcc-13-dev-arm64-cross          	       1        0        0        0        1
51617 libgcc-13-dev-ppc64el-cross        	       1        0        0        0        1
51618 libgcc-14-dev-alpha-cross          	       1        0        0        0        1
51619 libgcc-14-dev-hppa-cross           	       1        0        0        0        1
51620 libgcc-14-dev-ppc64el-cross        	       1        0        0        0        1
51621 libgcc-14-dev-s390x-cross          	       1        0        0        0        1
51622 libgcc-14-dev-sparc64-cross        	       1        0        0        0        1
51623 libgcc-6-dev-armhf-cross           	       1        0        0        0        1
51624 libgcc-8-dev-mips-cross            	       1        0        0        0        1
51625 libgcc-8-dev-mips64el-cross        	       1        0        0        0        1
51626 libgcc-8-dev-mipsel-cross          	       1        0        0        0        1
51627 libgcc-9-dev-arm64-cross           	       1        0        0        0        1
51628 libgcc-9-dev-armel-cross           	       1        0        0        0        1
51629 libgcc-s1-mips64-cross             	       1        0        0        0        1
51630 libgcc-s1-mips64r6el-cross         	       1        0        0        0        1
51631 libgcc-s1-ppc64el-cross            	       1        0        0        0        1
51632 libgcc-s2-m68k-cross               	       1        0        0        0        1
51633 libgcc1-mips-cross                 	       1        0        0        0        1
51634 libgcc1-mips64el-cross             	       1        0        0        0        1
51635 libgcc1-mipsel-cross               	       1        0        0        0        1
51636 libgcc1-x32-cross                  	       1        0        0        0        1
51637 libgccjit-13-doc                   	       1        0        0        0        1
51638 libgccjit-9-dev                    	       1        0        0        0        1
51639 libgcj-doc                         	       1        0        0        0        1
51640 libgcj10                           	       1        0        0        0        1
51641 libgcj10-awt                       	       1        0        0        0        1
51642 libgcj14                           	       1        0        0        0        1
51643 libgcj15-dbg                       	       1        0        0        0        1
51644 libgcj15-dev                       	       1        0        1        0        0
51645 libgcj6                            	       1        0        0        0        1
51646 libgcj7-1                          	       1        0        0        0        1
51647 libgcj7-1-awt                      	       1        0        0        0        1
51648 libgcj7-jar                        	       1        0        0        0        1
51649 libgcj8-1                          	       1        0        0        0        1
51650 libgcj8-1-awt                      	       1        0        0        0        1
51651 libgcj8-jar                        	       1        0        0        0        1
51652 libgcj9-0                          	       1        0        0        0        1
51653 libgcj9-0-awt                      	       1        0        0        0        1
51654 libgcj9-jar                        	       1        0        0        0        1
51655 libgck-1-doc                       	       1        0        0        0        1
51656 libgck-2-dev                       	       1        0        0        1        0
51657 libgck-2-doc                       	       1        0        0        0        1
51658 libgclib2                          	       1        0        0        0        1
51659 libgcobol-15-dev                   	       1        0        0        0        1
51660 libgcobol4                         	       1        0        0        0        1
51661 libgconfmm-2.6-1c2                 	       1        0        0        0        1
51662 libgconfmm-2.6-dev                 	       1        0        1        0        0
51663 libgconfmm-2.6-doc                 	       1        0        0        0        1
51664 libgcr-3-1                         	       1        0        0        0        1
51665 libgcr-3-doc                       	       1        0        0        0        1
51666 libgcroots-dev                     	       1        0        1        0        0
51667 libgcrypt-bin                      	       1        0        1        0        0
51668 libgctp0d                          	       1        0        0        0        1
51669 libgd-securityimage-perl           	       1        0        1        0        0
51670 libgd-svg-perl                     	       1        0        1        0        0
51671 libgd1-noxpm                       	       1        0        0        0        1
51672 libgd2-xpm-dev                     	       1        0        0        0        1
51673 libgda-5.0-bin                     	       1        0        1        0        0
51674 libgda-5.0-doc                     	       1        0        0        0        1
51675 libgda2-bin                        	       1        0        1        0        0
51676 libgda2-dev                        	       1        0        1        0        0
51677 libgda2-doc                        	       1        0        0        0        1
51678 libgdal1h                          	       1        0        0        0        1
51679 libgdal26                          	       1        0        0        0        1
51680 libgdal27                          	       1        0        0        0        1
51681 libgdal29                          	       1        0        0        0        1
51682 libgdamm-5.0-13                    	       1        0        0        0        1
51683 libgdata-doc                       	       1        0        0        0        1
51684 libgdata1.4-cil                    	       1        0        1        0        0
51685 libgdata1.8-cil                    	       1        0        1        0        0
51686 libgdata7                          	       1        0        0        0        1
51687 libgdbm5                           	       1        0        0        0        1
51688 libgdbuspp3                        	       1        0        0        0        1
51689 libgdcm-cil                        	       1        0        0        0        1
51690 libgdcm-java                       	       1        0        0        0        1
51691 libgdcm2.6-dbg                     	       1        0        1        0        0
51692 libgdk-pixbuf2                     	       1        0        1        0        0
51693 libgdk-pixbuf2-ruby                	       1        0        0        0        1
51694 libgdl-1-common                    	       1        0        0        0        1
51695 libgdl-3-dev                       	       1        0        1        0        0
51696 libgdome2-cpp-smart0c2a            	       1        0        0        0        1
51697 libgdraw4                          	       1        0        0        0        1
51698 libgearman-client-async-perl       	       1        0        1        0        0
51699 libgearman-client-perl             	       1        0        1        0        0
51700 libgearman8                        	       1        0        0        0        1
51701 libgecode41v5                      	       1        0        0        0        1
51702 libgecode49                        	       1        0        0        0        1
51703 libgecode49t64                     	       1        0        0        0        1
51704 libgecodeflatzinc41v5              	       1        0        0        0        1
51705 libgecodeflatzinc49                	       1        0        0        0        1
51706 libgecodegist41v5                  	       1        0        0        0        1
51707 libgecodegist49                    	       1        0        0        0        1
51708 libgecodegist49t64                 	       1        0        0        0        1
51709 libgeda-dev                        	       1        0        1        0        0
51710 libgegl-0.0-0                      	       1        0        1        0        0
51711 libgegl-0.0-doc                    	       1        0        0        0        1
51712 libgegl-doc                        	       1        0        0        0        1
51713 libgeier0                          	       1        0        1        0        0
51714 libgemrb                           	       1        0        1        0        0
51715 libgen-ocaml                       	       1        0        1        0        0
51716 libgen-ocaml-dev                   	       1        0        1        0        0
51717 libgenht1-dev                      	       1        0        1        0        0
51718 libgeo-calc-perl                   	       1        0        1        0        0
51719 libgeo-constants-perl              	       1        0        1        0        0
51720 libgeo-ellipsoids-perl             	       1        0        1        0        0
51721 libgeo-functions-perl              	       1        0        1        0        0
51722 libgeo-google-mapobject-perl       	       1        0        1        0        0
51723 libgeo-inverse-perl                	       1        0        1        0        0
51724 libgeo-shapelib-perl               	       1        0        0        0        1
51725 libgeoclue-doc                     	       1        0        0        0        1
51726 libgeographiclib26                 	       1        0        0        0        1
51727 libgeography-countries-perl        	       1        0        1        0        0
51728 libgeometric-shapes-dev            	       1        0        1        0        0
51729 libgeometric-shapes5d              	       1        0        0        0        1
51730 libgeomview-1.9.4                  	       1        0        0        0        1
51731 libgeomview-dev                    	       1        0        1        0        0
51732 libgeos-3.2.0                      	       1        0        0        0        1
51733 libgeos-3.9.1                      	       1        0        0        0        1
51734 libgeos-c1                         	       1        0        0        0        1
51735 libgeronimo-ejb-3.0-spec-java      	       1        0        0        0        1
51736 libgeronimo-jacc-1.1-spec-java     	       1        0        0        0        1
51737 libgeronimo-osgi-support-java-doc  	       1        0        0        0        1
51738 libgeronimo-stax-1.2-spec-java     	       1        0        0        0        1
51739 libgeronimo-validation-1.0-spec-java-doc	       1        0        0        0        1
51740 libgetdata-dev                     	       1        0        1        0        0
51741 libgetdata-perl                    	       1        0        0        0        1
51742 libgetdata-tools                   	       1        0        1        0        0
51743 libgetdns1                         	       1        0        0        0        1
51744 libgetdns10t64                     	       1        0        0        0        1
51745 libgettext-activerecord-ruby1.9.1  	       1        0        0        0        1
51746 libgettext-maven-plugin-java       	       1        0        0        0        1
51747 libgettext-ocaml-dev               	       1        0        1        0        0
51748 libgettext-ruby1.8                 	       1        0        0        0        1
51749 libgettext-ruby1.9.1               	       1        0        0        0        1
51750 libgexiv2-0                        	       1        0        0        0        1
51751 libgf-complete1                    	       1        0        0        0        1
51752 libgfdb0                           	       1        0        0        0        1
51753 libgfortran-12-dev-x32-cross       	       1        0        0        0        1
51754 libgfortran-15-dev                 	       1        0        0        0        1
51755 libgfortran-4.7-dev                	       1        0        0        0        1
51756 libgfortran-4.8-dev                	       1        0        0        0        1
51757 libgfortran-5-dev                  	       1        0        0        0        1
51758 libgfortran5-dbg                   	       1        0        1        0        0
51759 libgfortran5-dbgsym                	       1        0        1        0        0
51760 libgfortran5-x32-cross             	       1        0        0        0        1
51761 libgfsgl0                          	       1        0        0        0        1
51762 libgg2                             	       1        0        0        0        1
51763 libggadget-1.0-0b                  	       1        0        0        0        1
51764 libggadget-qt-1.0-0b               	       1        0        0        0        1
51765 libggiwmh0                         	       1        0        0        0        1
51766 libggiwmh0-target-x                	       1        0        1        0        0
51767 libggz-gtk1                        	       1        0        0        0        1
51768 libggzdmod++1                      	       1        0        0        0        1
51769 libggzdmod6                        	       1        1        0        0        0
51770 libghc-ansi-wl-pprint-prof         	       1        0        0        0        1
51771 libghc-asn1-encoding-prof          	       1        0        0        0        1
51772 libghc-asn1-parse-prof             	       1        0        0        0        1
51773 libghc-asn1-types-prof             	       1        0        0        0        1
51774 libghc-assoc-prof                  	       1        0        0        0        1
51775 libghc-async-doc                   	       1        0        1        0        0
51776 libghc-auto-update-prof            	       1        0        0        0        1
51777 libghc-base-compat-batteries-prof  	       1        0        0        0        1
51778 libghc-base-compat-doc             	       1        0        1        0        0
51779 libghc-base16-bytestring-prof      	       1        0        0        0        1
51780 libghc-base64-bytestring-prof      	       1        0        0        0        1
51781 libghc-base64-dev                  	       1        0        1        0        0
51782 libghc-base64-doc                  	       1        0        1        0        0
51783 libghc-binary-orphans-prof         	       1        0        0        0        1
51784 libghc-blaze-html-doc              	       1        0        1        0        0
51785 libghc-blaze-markup-doc            	       1        0        1        0        0
51786 libghc-bmp-dev                     	       1        0        1        0        0
51787 libghc-bmp-prof                    	       1        0        0        0        1
51788 libghc-byte-order-dev              	       1        0        1        0        0
51789 libghc-byte-order-prof             	       1        0        0        0        1
51790 libghc-byteable-dev                	       1        0        1        0        0
51791 libghc-byteable-prof               	       1        0        0        0        1
51792 libghc-bytes-prof                  	       1        0        0        0        1
51793 libghc-bytestring-conversion-dev   	       1        0        1        0        0
51794 libghc-bytestring-conversion-prof  	       1        0        0        0        1
51795 libghc-bytestring-to-vector-dev    	       1        0        1        0        0
51796 libghc-bytestring-to-vector-prof   	       1        0        0        0        1
51797 libghc-bzlib-prof                  	       1        0        0        0        1
51798 libghc-call-stack-doc              	       1        0        1        0        0
51799 libghc-case-insensitive-doc        	       1        0        1        0        0
51800 libghc-charsetdetect-ae-dev        	       1        0        1        0        0
51801 libghc-charsetdetect-ae-prof       	       1        0        0        0        1
51802 libghc-conduit-doc                 	       1        0        1        0        0
51803 libghc-config-ini-dev              	       1        0        1        0        0
51804 libghc-config-ini-doc              	       1        0        1        0        0
51805 libghc-config-ini-prof             	       1        0        0        0        1
51806 libghc-configurator-dev            	       1        0        1        0        0
51807 libghc-configurator-prof           	       1        0        0        0        1
51808 libghc-connection-prof             	       1        0        0        0        1
51809 libghc-convertible-doc             	       1        0        1        0        0
51810 libghc-convertible-prof            	       1        0        0        0        1
51811 libghc-cookie-prof                 	       1        0        0        0        1
51812 libghc-cpu-dev                     	       1        0        1        0        0
51813 libghc-cpu-prof                    	       1        0        0        0        1
51814 libghc-crypto-api-dev              	       1        0        1        0        0
51815 libghc-cryptohash-dev              	       1        0        1        0        0
51816 libghc-cryptohash-prof             	       1        0        0        0        1
51817 libghc-cryptonite-prof             	       1        0        0        0        1
51818 libghc-csv-doc                     	       1        0        1        0        0
51819 libghc-data-default-instances-containers-doc	       1        0        1        0        0
51820 libghc-data-default-instances-dlist-doc	       1        0        1        0        0
51821 libghc-data-default-instances-old-locale-doc	       1        0        1        0        0
51822 libghc-data-fix-prof               	       1        0        0        0        1
51823 libghc-dbus-dev                    	       1        0        1        0        0
51824 libghc-dbus-doc                    	       1        0        1        0        0
51825 libghc-dbus-prof                   	       1        0        0        0        1
51826 libghc-diff-dev                    	       1        0        1        0        0
51827 libghc-doctest-dev                 	       1        0        1        0        0
51828 libghc-doctest-prof                	       1        0        0        0        1
51829 libghc-double-conversion-prof      	       1        0        0        0        1
51830 libghc-dynamic-state-prof          	       1        0        0        0        1
51831 libghc-dyre-dev                    	       1        0        1        0        0
51832 libghc-dyre-doc                    	       1        0        1        0        0
51833 libghc-dyre-prof                   	       1        0        0        0        1
51834 libghc-easy-file-prof              	       1        0        0        0        1
51835 libghc-either-dev                  	       1        0        1        0        0
51836 libghc-either-prof                 	       1        0        0        0        1
51837 libghc-emojis-doc                  	       1        0        1        0        0
51838 libghc-enclosed-exceptions-prof    	       1        0        0        0        1
51839 libghc-errors-dev                  	       1        0        1        0        0
51840 libghc-errors-prof                 	       1        0        0        0        1
51841 libghc-exceptions-doc              	       1        0        1        0        0
51842 libghc-executable-path-dev         	       1        0        1        0        0
51843 libghc-executable-path-prof        	       1        0        0        0        1
51844 libghc-fast-logger-prof            	       1        0        0        0        1
51845 libghc-fgl-dev                     	       1        0        1        0        0
51846 libghc-fgl-doc                     	       1        0        1        0        0
51847 libghc-filestore-dev               	       1        0        1        0        0
51848 libghc-filestore-doc               	       1        0        1        0        0
51849 libghc-fingertree-prof             	       1        0        0        0        1
51850 libghc-fixed-dev                   	       1        0        1        0        0
51851 libghc-fixed-doc                   	       1        0        1        0        0
51852 libghc-flexible-defaults-dev       	       1        0        1        0        0
51853 libghc-flexible-defaults-prof      	       1        0        0        0        1
51854 libghc-floatinghex-dev             	       1        0        1        0        0
51855 libghc-floatinghex-doc             	       1        0        0        0        1
51856 libghc-floatinghex-prof            	       1        0        0        0        1
51857 libghc-foldable1-classes-compat-dev	       1        0        1        0        0
51858 libghc-foldable1-classes-compat-prof	       1        0        0        0        1
51859 libghc-fsnotify-prof               	       1        0        0        0        1
51860 libghc-ghc-paths-prof              	       1        0        0        0        1
51861 libghc-gi-gdkx11-dev               	       1        0        1        0        0
51862 libghc-gi-gmodule-dev              	       1        0        1        0        0
51863 libghc-gi-gmodule-prof             	       1        0        0        0        1
51864 libghc-gluraw-dev                  	       1        0        1        0        0
51865 libghc-gluraw-doc                  	       1        0        0        0        1
51866 libghc-glut-dev                    	       1        0        1        0        0
51867 libghc-glut-doc                    	       1        0        0        0        1
51868 libghc-gtk-dev                     	       1        0        1        0        0
51869 libghc-gtk-prof                    	       1        0        0        0        1
51870 libghc-half-dev                    	       1        0        1        0        0
51871 libghc-half-doc                    	       1        0        1        0        0
51872 libghc-haskell-lexer-doc           	       1        0        1        0        0
51873 libghc-haskell-src-dev             	       1        0        1        0        0
51874 libghc-haskell-src-doc             	       1        0        1        0        0
51875 libghc-hclip-prof                  	       1        0        0        0        1
51876 libghc-hdbc-doc                    	       1        0        1        0        0
51877 libghc-hdbc-prof                   	       1        0        0        0        1
51878 libghc-hdbc-session-dev            	       1        0        1        0        0
51879 libghc-hdbc-session-prof           	       1        0        0        0        1
51880 libghc-hinotify-prof               	       1        0        0        0        1
51881 libghc-hint-doc                    	       1        0        1        0        0
51882 libghc-hint-prof                   	       1        0        0        0        1
51883 libghc-hit-dev                     	       1        0        1        0        0
51884 libghc-hit-prof                    	       1        0        0        0        1
51885 libghc-hjsmin-dev                  	       1        0        1        0        0
51886 libghc-hostname-dev                	       1        0        1        0        0
51887 libghc-hostname-prof               	       1        0        0        0        1
51888 libghc-hourglass-prof              	       1        0        0        0        1
51889 libghc-hslogger-doc                	       1        0        1        0        0
51890 libghc-hslogger-prof               	       1        0        0        0        1
51891 libghc-html-doc                    	       1        0        1        0        0
51892 libghc-http-api-data-dev           	       1        0        1        0        0
51893 libghc-http-api-data-prof          	       1        0        0        0        1
51894 libghc-http-client-prof            	       1        0        0        0        1
51895 libghc-http-client-tls-prof        	       1        0        0        0        1
51896 libghc-http-dev                    	       1        0        1        0        0
51897 libghc-http-doc                    	       1        0        0        0        1
51898 libghc-http-link-header-dev        	       1        0        1        0        0
51899 libghc-http-link-header-prof       	       1        0        0        0        1
51900 libghc-http-types-prof             	       1        0        0        0        1
51901 libghc-hunit-doc                   	       1        0        0        0        1
51902 libghc-hunit-prof                  	       1        0        0        0        1
51903 libghc-iconv-dev                   	       1        0        1        0        0
51904 libghc-iconv-prof                  	       1        0        0        0        1
51905 libghc-idna-dev                    	       1        0        1        0        0
51906 libghc-idna-doc                    	       1        0        1        0        0
51907 libghc-indexed-traversable-doc     	       1        0        1        0        0
51908 libghc-indexed-traversable-instances-prof	       1        0        0        0        1
51909 libghc-indexed-traversable-prof    	       1        0        0        0        1
51910 libghc-ini-dev                     	       1        0        1        0        0
51911 libghc-ini-prof                    	       1        0        0        0        1
51912 libghc-invariant-doc               	       1        0        1        0        0
51913 libghc-io-storage-dev              	       1        0        1        0        0
51914 libghc-io-storage-prof             	       1        0        0        0        1
51915 libghc-iwlib-prof                  	       1        0        0        0        1
51916 libghc-json-dev                    	       1        0        1        0        0
51917 libghc-json-doc                    	       1        0        1        0        0
51918 libghc-kan-extensions-doc          	       1        0        1        0        0
51919 libghc-language-javascript-dev     	       1        0        1        0        0
51920 libghc-lens-doc                    	       1        0        1        0        0
51921 libghc-libmpd-doc                  	       1        0        1        0        0
51922 libghc-lifted-base-prof            	       1        0        0        0        1
51923 libghc-linear-doc                  	       1        0        1        0        0
51924 libghc-linear-prof                 	       1        0        0        0        1
51925 libghc-markdown-dev                	       1        0        1        0        0
51926 libghc-markdown-doc                	       1        0        1        0        0
51927 libghc-markdown-prof               	       1        0        0        0        1
51928 libghc-markdown-unlit-dev          	       1        0        1        0        0
51929 libghc-markdown-unlit-doc          	       1        0        1        0        0
51930 libghc-markdown-unlit-prof         	       1        0        0        0        1
51931 libghc-megaparsec-dev              	       1        0        1        0        0
51932 libghc-megaparsec-prof             	       1        0        0        0        1
51933 libghc-memory-prof                 	       1        0        0        0        1
51934 libghc-microlens-ghc-prof          	       1        0        0        0        1
51935 libghc-microlens-mtl-prof          	       1        0        0        0        1
51936 libghc-microlens-platform-prof     	       1        0        0        0        1
51937 libghc-microlens-prof              	       1        0        0        0        1
51938 libghc-microlens-th-prof           	       1        0        0        0        1
51939 libghc-mime-types-prof             	       1        0        0        0        1
51940 libghc-missingh-dev                	       1        0        1        0        0
51941 libghc-mmorph-dev                  	       1        0        1        0        0
51942 libghc-mmorph-prof                 	       1        0        0        0        1
51943 libghc-monad-control-prof          	       1        0        0        0        1
51944 libghc-monad-logger-dev            	       1        0        1        0        0
51945 libghc-monad-logger-prof           	       1        0        0        0        1
51946 libghc-monad-loops-dev             	       1        0        1        0        0
51947 libghc-monad-loops-prof            	       1        0        0        0        1
51948 libghc-mtl-doc                     	       1        0        1        0        0
51949 libghc-mtl-prof                    	       1        0        0        0        1
51950 libghc-multimap-dev                	       1        0        1        0        0
51951 libghc-multimap-prof               	       1        0        0        0        1
51952 libghc-names-th-dev                	       1        0        1        0        0
51953 libghc-names-th-prof               	       1        0        0        0        1
51954 libghc-network-bsd-prof            	       1        0        0        0        1
51955 libghc-network-info-dev            	       1        0        1        0        0
51956 libghc-network-info-doc            	       1        0        1        0        0
51957 libghc-network-uri-doc             	       1        0        1        0        0
51958 libghc-numtype-dev                 	       1        0        1        0        0
51959 libghc-numtype-prof                	       1        0        0        0        1
51960 libghc-objectname-prof             	       1        0        0        0        1
51961 libghc-old-time-prof               	       1        0        0        0        1
51962 libghc-onetuple-doc                	       1        0        0        0        1
51963 libghc-onetuple-prof               	       1        0        0        0        1
51964 libghc-oo-prototypes-prof          	       1        0        0        0        1
51965 libghc-opengl-dev                  	       1        0        1        0        0
51966 libghc-opengl-doc                  	       1        0        0        0        1
51967 libghc-openglraw-dev               	       1        0        1        0        0
51968 libghc-openglraw-doc               	       1        0        0        0        1
51969 libghc-os-string-prof              	       1        0        0        0        1
51970 libghc-pandoc-sidenote-dev         	       1        0        1        0        0
51971 libghc-pandoc-sidenote-prof        	       1        0        0        0        1
51972 libghc-pandoc-types-prof           	       1        0        0        0        1
51973 libghc-parsec3-dev                 	       1        0        1        0        0
51974 libghc-parsec3-prof                	       1        0        0        0        1
51975 libghc-parser-combinators-dev      	       1        0        1        0        0
51976 libghc-parser-combinators-prof     	       1        0        0        0        1
51977 libghc-path-pieces-dev             	       1        0        1        0        0
51978 libghc-path-pieces-prof            	       1        0        0        0        1
51979 libghc-patience-dev                	       1        0        1        0        0
51980 libghc-patience-prof               	       1        0        0        0        1
51981 libghc-pem-prof                    	       1        0        0        0        1
51982 libghc-persistable-record-dev      	       1        0        1        0        0
51983 libghc-persistable-record-prof     	       1        0        0        0        1
51984 libghc-persistable-types-hdbc-pg-dev	       1        0        1        0        0
51985 libghc-persistable-types-hdbc-pg-prof	       1        0        0        0        1
51986 libghc-persistent-dev              	       1        0        1        0        0
51987 libghc-persistent-postgresql-dev   	       1        0        1        0        0
51988 libghc-persistent-postgresql-prof  	       1        0        0        0        1
51989 libghc-persistent-prof             	       1        0        0        0        1
51990 libghc-persistent-sqlite-dev       	       1        0        1        0        0
51991 libghc-persistent-sqlite-prof      	       1        0        0        0        1
51992 libghc-pointedlist-prof            	       1        0        0        0        1
51993 libghc-postgresql-libpq-prof       	       1        0        0        0        1
51994 libghc-postgresql-simple-prof      	       1        0        0        0        1
51995 libghc-prelude-extras-dev          	       1        0        1        0        0
51996 libghc-prelude-extras-prof         	       1        0        0        0        1
51997 libghc-pretty-show-doc             	       1        0        1        0        0
51998 libghc-primitive-unaligned-dev     	       1        0        1        0        0
51999 libghc-primitive-unaligned-prof    	       1        0        0        0        1
52000 libghc-punycode-dev                	       1        0        1        0        0
52001 libghc-puremd5-dev                 	       1        0        1        0        0
52002 libghc-quickcheck2-doc             	       1        0        0        0        1
52003 libghc-reflection-doc              	       1        0        1        0        0
52004 libghc-regex-compat-doc            	       1        0        1        0        0
52005 libghc-regex-pcre-dev              	       1        0        1        0        0
52006 libghc-regex-pcre-doc              	       1        0        1        0        0
52007 libghc-regex-pcre-prof             	       1        0        0        0        1
52008 libghc-regex-posix-doc             	       1        0        1        0        0
52009 libghc-regex-posix-prof            	       1        0        0        0        1
52010 libghc-relational-query-dev        	       1        0        1        0        0
52011 libghc-relational-query-hdbc-dev   	       1        0        1        0        0
52012 libghc-relational-query-hdbc-prof  	       1        0        0        0        1
52013 libghc-relational-query-prof       	       1        0        0        0        1
52014 libghc-relational-schemas-dev      	       1        0        1        0        0
52015 libghc-relational-schemas-prof     	       1        0        0        0        1
52016 libghc-resolv-dev                  	       1        0        1        0        0
52017 libghc-resource-pool-dev           	       1        0        1        0        0
52018 libghc-resource-pool-prof          	       1        0        0        0        1
52019 libghc-resourcet-doc               	       1        0        1        0        0
52020 libghc-sdl-doc                     	       1        0        0        0        1
52021 libghc-sdl-gfx-dev                 	       1        0        1        0        0
52022 libghc-sdl-gfx-doc                 	       1        0        0        0        1
52023 libghc-sdl-gfx-prof                	       1        0        0        0        1
52024 libghc-sdl-image-doc               	       1        0        0        0        1
52025 libghc-sdl-image-prof              	       1        0        0        0        1
52026 libghc-sdl-mixer-doc               	       1        0        0        0        1
52027 libghc-sdl-mixer-prof              	       1        0        0        0        1
52028 libghc-sdl-prof                    	       1        0        0        0        1
52029 libghc-sdl-ttf-dev                 	       1        0        1        0        0
52030 libghc-sdl-ttf-doc                 	       1        0        0        0        1
52031 libghc-sdl-ttf-prof                	       1        0        0        0        1
52032 libghc-sdl2-doc                    	       1        0        1        0        0
52033 libghc-sdl2-prof                   	       1        0        0        0        1
52034 libghc-sdl2-ttf-doc                	       1        0        1        0        0
52035 libghc-sdl2-ttf-prof               	       1        0        0        0        1
52036 libghc-semialign-doc               	       1        0        1        0        0
52037 libghc-semialign-prof              	       1        0        0        0        1
52038 libghc-semigroups-doc              	       1        0        1        0        0
52039 libghc-sendfile-dev                	       1        0        1        0        0
52040 libghc-silently-dev                	       1        0        1        0        0
52041 libghc-silently-prof               	       1        0        0        0        1
52042 libghc-soap-dev                    	       1        0        1        0        0
52043 libghc-soap-prof                   	       1        0        0        0        1
52044 libghc-soap-tls-dev                	       1        0        1        0        0
52045 libghc-soap-tls-prof               	       1        0        0        0        1
52046 libghc-socks-prof                  	       1        0        0        0        1
52047 libghc-split-doc                   	       1        0        1        0        0
52048 libghc-sql-words-dev               	       1        0        1        0        0
52049 libghc-sql-words-prof              	       1        0        0        0        1
52050 libghc-status-notifier-item-dev    	       1        0        1        0        0
52051 libghc-status-notifier-item-prof   	       1        0        0        0        1
52052 libghc-stm-chans-dev               	       1        0        1        0        0
52053 libghc-stm-chans-prof              	       1        0        0        0        1
52054 libghc-stm-dev                     	       1        0        1        0        0
52055 libghc-stm-prof                    	       1        0        0        0        1
52056 libghc-stringprep-dev              	       1        0        1        0        0
52057 libghc-syb-doc                     	       1        0        1        0        0
52058 libghc-system-fileio-dev           	       1        0        1        0        0
52059 libghc-system-fileio-prof          	       1        0        0        0        1
52060 libghc-system-filepath-prof        	       1        0        0        0        1
52061 libghc-tabular-dev                 	       1        0        1        0        0
52062 libghc-tabular-doc                 	       1        0        1        0        0
52063 libghc-tagsoup-prof                	       1        0        0        0        1
52064 libghc-test-framework-dev          	       1        0        1        0        0
52065 libghc-test-framework-prof         	       1        0        0        0        1
52066 libghc-test-framework-quickcheck2-dev	       1        0        1        0        0
52067 libghc-test-framework-quickcheck2-prof	       1        0        0        0        1
52068 libghc-text-dev                    	       1        0        1        0        0
52069 libghc-text-icu-prof               	       1        0        0        0        1
52070 libghc-text-postgresql-dev         	       1        0        1        0        0
52071 libghc-text-postgresql-prof        	       1        0        0        0        1
52072 libghc-text-prof                   	       1        0        0        0        1
52073 libghc-text-short-doc              	       1        0        1        0        0
52074 libghc-text-short-prof             	       1        0        0        0        1
52075 libghc-tf-random-dev               	       1        0        1        0        0
52076 libghc-tf-random-prof              	       1        0        0        0        1
52077 libghc-th-compat-doc               	       1        0        1        0        0
52078 libghc-th-data-compat-dev          	       1        0        1        0        0
52079 libghc-th-data-compat-prof         	       1        0        0        0        1
52080 libghc-th-extras-dev               	       1        0        1        0        0
52081 libghc-th-extras-prof              	       1        0        0        0        1
52082 libghc-th-lift-prof                	       1        0        0        0        1
52083 libghc-th-reify-compat-dev         	       1        0        1        0        0
52084 libghc-th-reify-compat-prof        	       1        0        0        0        1
52085 libghc-these-prof                  	       1        0        0        0        1
52086 libghc-threads-dev                 	       1        0        1        0        0
52087 libghc-time-compat-prof            	       1        0        0        0        1
52088 libghc-time-locale-compat-prof     	       1        0        0        0        1
52089 libghc-tls-prof                    	       1        0        0        0        1
52090 libghc-typst-symbols-dev           	       1        0        1        0        0
52091 libghc-unexceptionalio-dev         	       1        0        1        0        0
52092 libghc-unexceptionalio-prof        	       1        0        0        0        1
52093 libghc-unix-compat-prof            	       1        0        0        0        1
52094 libghc-unix-time-prof              	       1        0        0        0        1
52095 libghc-unixutils-dev               	       1        0        1        0        0
52096 libghc-unixutils-doc               	       1        0        0        0        1
52097 libghc-unliftio-core-doc           	       1        0        1        0        0
52098 libghc-url-dev                     	       1        0        1        0        0
52099 libghc-vector-stream-prof          	       1        0        0        0        1
52100 libghc-vty-crossplatform-dev       	       1        0        1        0        0
52101 libghc-vty-prof                    	       1        0        0        0        1
52102 libghc-vty-unix-dev                	       1        0        1        0        0
52103 libghc-web-routes-dev              	       1        0        1        0        0
52104 libghc-web-routes-prof             	       1        0        0        0        1
52105 libghc-web-routes-th-dev           	       1        0        1        0        0
52106 libghc-web-routes-th-prof          	       1        0        0        0        1
52107 libghc-witherable-prof             	       1        0        0        0        1
52108 libghc-word-trie-dev               	       1        0        1        0        0
52109 libghc-word-trie-prof              	       1        0        0        0        1
52110 libghc-x11-xft-prof                	       1        0        0        0        1
52111 libghc-x509-prof                   	       1        0        0        0        1
52112 libghc-x509-store-prof             	       1        0        0        0        1
52113 libghc-x509-system-prof            	       1        0        0        0        1
52114 libghc-x509-validation-prof        	       1        0        0        0        1
52115 libghc-xdg-basedir-doc             	       1        0        1        0        0
52116 libghc-xdg-desktop-entry-dev       	       1        0        1        0        0
52117 libghc-xdg-desktop-entry-doc       	       1        0        1        0        0
52118 libghc-xdg-desktop-entry-prof      	       1        0        0        0        1
52119 libghc-xml-conduit-writer-dev      	       1        0        1        0        0
52120 libghc-xml-conduit-writer-prof     	       1        0        0        0        1
52121 libghc-xml-prof                    	       1        0        0        0        1
52122 libghc-xmlhtml-dev                 	       1        0        1        0        0
52123 libghc-xmlhtml-doc                 	       1        0        1        0        0
52124 libghc-xmlhtml-prof                	       1        0        0        0        1
52125 libghc-xmonad-contrib-prof         	       1        0        0        0        1
52126 libghc-xss-sanitize-dev            	       1        0        1        0        0
52127 libghc-xss-sanitize-prof           	       1        0        0        0        1
52128 libghc-yi-core-dev                 	       1        0        1        0        0
52129 libghc-yi-dev                      	       1        0        1        0        0
52130 libghc-yi-frontend-vty-dev         	       1        0        1        0        0
52131 libghc-yi-keymap-emacs-dev         	       1        0        1        0        0
52132 libghc-yi-language-prof            	       1        0        0        0        1
52133 libghc-yi-misc-modes-dev           	       1        0        1        0        0
52134 libghc-yi-mode-haskell-dev         	       1        0        1        0        0
52135 libghc-yi-prof                     	       1        0        0        0        1
52136 libghc-yi-rope-prof                	       1        0        0        0        1
52137 libghc-zlib-bindings-dev           	       1        0        1        0        0
52138 libghc-zlib-bindings-prof          	       1        0        0        0        1
52139 libghc-zlib-doc                    	       1        0        1        0        0
52140 libghdl-3-0-0                      	       1        0        0        0        1
52141 libghmm1                           	       1        0        0        0        1
52142 libgiftiio-dev                     	       1        0        1        0        0
52143 libgiftiio0                        	       1        0        0        0        1
52144 libgig6v5                          	       1        0        0        0        1
52145 libgig8                            	       1        0        1        0        0
52146 libginac1.3c2a                     	       1        0        0        0        1
52147 libginac1.4                        	       1        0        0        0        1
52148 libginac1.5                        	       1        0        0        0        1
52149 libgio-cil                         	       1        0        1        0        0
52150 libgio-qt-dev                      	       1        0        1        0        0
52151 libgio2.0-cil-dev                  	       1        0        1        0        0
52152 libgirara-dev                      	       1        0        1        0        0
52153 libgirara-gtk2-0                   	       1        0        0        0        1
52154 libgirara-gtk3-1                   	       1        0        0        0        1
52155 libgirara-gtk3-3t64                	       1        0        0        0        1
52156 libgit2-28                         	       1        0        0        0        1
52157 libgit2-fixtures                   	       1        0        1        0        0
52158 libgit2-glib-1.0-dev               	       1        0        0        1        0
52159 libgivaro-doc                      	       1        0        0        0        1
52160 libgjs0c                           	       1        0        1        0        0
52161 libgkarrays1                       	       1        0        0        0        1
52162 libgksu1.2-0                       	       1        0        1        0        0
52163 libgksu2-dev                       	       1        0        1        0        0
52164 libgksuui1.0-1                     	       1        0        0        0        1
52165 libgl-image-display0               	       1        0        0        0        1
52166 libgl1-amber-dri                   	       1        0        0        0        1
52167 libgl1-amdgpu-mesa-dev             	       1        0        0        0        1
52168 libgl1-mesa-dri-experimental       	       1        0        0        0        1
52169 libgl1-mesa-swx11                  	       1        0        0        0        1
52170 libgl1-nvidia-glx-i386             	       1        0        0        0        1
52171 libgl1-nvidia-legacy-304xx-glx     	       1        0        0        0        1
52172 libgl2ps-doc                       	       1        0        0        0        1
52173 libgl4es-dev                       	       1        0        1        0        0
52174 libglade-gnome0                    	       1        0        0        0        1
52175 libglade0                          	       1        0        0        0        1
52176 libglademm-2.4-1c2a                	       1        0        0        0        1
52177 libgladeui-1-7                     	       1        0        1        0        0
52178 libgladeui-doc                     	       1        0        0        0        1
52179 libgle3-dev                        	       1        0        1        0        0
52180 libgles1-amdgpu-mesa               	       1        0        0        0        1
52181 libgles2-amdgpu-mesa               	       1        0        0        0        1
52182 libgles2-glvnd-nvidia              	       1        0        0        0        1
52183 libglgrib-egl0                     	       1        0        0        0        1
52184 libgli-doc                         	       1        0        0        0        1
52185 libglib-testing-0-0                	       1        0        0        0        1
52186 libglib-testing-0-dev              	       1        0        1        0        0
52187 libglib-testing-doc                	       1        0        0        0        1
52188 libglib2-ruby                      	       1        0        0        0        1
52189 libglib2.0-0-dbgsym                	       1        0        1        0        0
52190 libglib2.0-bin-dbgsym              	       1        0        1        0        0
52191 libglib2.0-dev-bin-dbgsym          	       1        0        1        0        0
52192 libglibd-2.0-dev                   	       1        0        0        0        1
52193 libglobjects-dev                   	       1        0        1        0        0
52194 libglobjects1                      	       1        0        0        0        1
52195 libglobus-callout-dev              	       1        0        1        0        0
52196 libglobus-callout-doc              	       1        0        0        0        1
52197 libglobus-common-doc               	       1        0        0        0        1
52198 libglobus-ftp-client-dev           	       1        0        1        0        0
52199 libglobus-ftp-client-doc           	       1        0        0        0        1
52200 libglobus-ftp-control-dev          	       1        0        1        0        0
52201 libglobus-ftp-control-doc          	       1        0        0        0        1
52202 libglobus-gass-server-ez-dev       	       1        0        1        0        0
52203 libglobus-gass-server-ez2          	       1        0        0        0        1
52204 libglobus-gass-transfer-dev        	       1        0        1        0        0
52205 libglobus-gass-transfer-doc        	       1        0        0        0        1
52206 libglobus-gram-client-dev          	       1        0        1        0        0
52207 libglobus-gram-client-doc          	       1        0        0        0        1
52208 libglobus-gram-client3             	       1        0        0        0        1
52209 libglobus-gram-protocol-dev        	       1        0        1        0        0
52210 libglobus-gram-protocol-doc        	       1        0        0        0        1
52211 libglobus-gram-protocol3           	       1        0        1        0        0
52212 libglobus-gridftp-server-control0  	       1        0        0        0        1
52213 libglobus-gridftp-server6          	       1        0        0        0        1
52214 libglobus-gsi-callback-doc         	       1        0        0        0        1
52215 libglobus-gsi-cert-utils-doc       	       1        0        0        0        1
52216 libglobus-gsi-credential-doc       	       1        0        0        0        1
52217 libglobus-gsi-openssl-error-doc    	       1        0        0        0        1
52218 libglobus-gsi-proxy-core-doc       	       1        0        0        0        1
52219 libglobus-gsi-proxy-ssl-doc        	       1        0        0        0        1
52220 libglobus-gsi-sysconfig-doc        	       1        0        0        0        1
52221 libglobus-gss-assist-dev           	       1        0        1        0        0
52222 libglobus-gss-assist-doc           	       1        0        0        0        1
52223 libglobus-gssapi-error-dev         	       1        0        1        0        0
52224 libglobus-gssapi-error-doc         	       1        0        0        0        1
52225 libglobus-gssapi-gsi-doc           	       1        0        0        0        1
52226 libglobus-io-dev                   	       1        0        1        0        0
52227 libglobus-openssl-module-doc       	       1        0        0        0        1
52228 libglobus-rsl-dev                  	       1        0        1        0        0
52229 libglobus-rsl-doc                  	       1        0        0        0        1
52230 libglobus-rsl2                     	       1        0        0        0        1
52231 libglobus-xio-dev                  	       1        0        1        0        0
52232 libglobus-xio-doc                  	       1        0        0        0        1
52233 libglobus-xio-gsi-driver-dev       	       1        0        1        0        0
52234 libglobus-xio-gsi-driver-doc       	       1        0        0        0        1
52235 libglobus-xio-popen-driver-dev     	       1        0        1        0        0
52236 libgloox12                         	       1        0        0        0        1
52237 libgloox14                         	       1        0        0        0        1
52238 libglpk-java                       	       1        0        0        0        1
52239 libglpk0                           	       1        0        0        0        1
52240 libgluezilla                       	       1        0        0        0        1
52241 libglut3                           	       1        0        0        0        1
52242 libglw1t64-mesa                    	       1        0        0        0        1
52243 libglyr-dev                        	       1        0        1        0        0
52244 libglyr-doc                        	       1        0        0        0        1
52245 libgm2-0                           	       1        0        0        0        1
52246 libgm2-12-dev                      	       1        0        0        0        1
52247 libgm2-17                          	       1        0        0        0        1
52248 libgm2-18-dbgsym                   	       1        0        1        0        0
52249 libgm2-9-dev                       	       1        0        0        0        1
52250 libgmerlin-avdec-dev               	       1        0        1        0        0
52251 libgmerlin-dev                     	       1        0        1        0        0
52252 libgmerlin2t64                     	       1        0        0        0        1
52253 libgmetrics-groovy-java            	       1        0        0        0        1
52254 libgmime-2.0-2                     	       1        0        0        0        1
52255 libgmime-2.6-doc                   	       1        0        0        0        1
52256 libgmime-3.0-doc                   	       1        0        0        0        1
52257 libgmime2.6-cil-dev                	       1        0        1        0        0
52258 libgmm++-dev                       	       1        0        0        0        1
52259 libgmm-dev                         	       1        0        1        0        0
52260 libgmp-ocaml                       	       1        0        1        0        0
52261 libgmp-ocaml-dev                   	       1        0        1        0        0
52262 libgmsh4.13                        	       1        0        0        0        1
52263 libgmt-dev                         	       1        0        1        0        0
52264 libgmyth0                          	       1        0        0        0        1
52265 libgnat-12-i386-cross              	       1        0        0        0        1
52266 libgnat-13-dbgsym                  	       1        0        1        0        0
52267 libgnat-15                         	       1        0        0        0        1
52268 libgnat-4.6                        	       1        0        0        0        1
52269 libgnat-9                          	       1        0        0        0        1
52270 libgnatcoll-db-bin                 	       1        0        1        0        0
52271 libgnatcoll-db-doc                 	       1        0        0        0        1
52272 libgnatcoll-gtk1.7                 	       1        0        0        0        1
52273 libgnatcoll-iconv1.7               	       1        0        0        0        1
52274 libgnatcoll-iconv18                	       1        0        0        0        1
52275 libgnatcoll-iconv20                	       1        0        0        0        1
52276 libgnatcoll-postgres1              	       1        0        0        0        1
52277 libgnatcoll-postgres3-dev          	       1        0        0        0        1
52278 libgnatcoll-python1.7              	       1        0        0        0        1
52279 libgnatcoll-python17               	       1        0        0        0        1
52280 libgnatcoll-readline1.7            	       1        0        0        0        1
52281 libgnatcoll-sql1                   	       1        0        0        0        1
52282 libgnatcoll-sql3                   	       1        0        0        0        1
52283 libgnatcoll-sql5-dev               	       1        0        0        0        1
52284 libgnatcoll-sqlite1.7              	       1        0        0        0        1
52285 libgnatcoll-sqlite18               	       1        0        0        0        1
52286 libgnatcoll-sqlite20               	       1        0        0        0        1
52287 libgnatcoll-xref18                 	       1        0        0        0        1
52288 libgnatcoll-xref20                 	       1        0        0        0        1
52289 libgnatcoll1.7                     	       1        0        0        0        1
52290 libgnatcoll17                      	       1        0        0        0        1
52291 libgnatcoll21-dev                  	       1        0        0        0        1
52292 libgnatprj10-dev                   	       1        0        0        0        1
52293 libgnatprj4.6                      	       1        0        0        0        1
52294 libgnatprj8                        	       1        0        0        0        1
52295 libgnatvsn4.6                      	       1        0        0        0        1
52296 libgnatvsn8                        	       1        0        0        0        1
52297 libgnet-dev                        	       1        0        1        0        0
52298 libgnokii7                         	       1        0        0        0        1
52299 libgnome-bg-4-1                    	       1        0        0        0        1
52300 libgnome-bluetooth-3.0-dev         	       1        0        0        0        1
52301 libgnome-bluetooth11               	       1        0        0        0        1
52302 libgnome-bluetooth7                	       1        0        0        0        1
52303 libgnome-desktop-3-18              	       1        0        0        0        1
52304 libgnome-desktop-3-2               	       1        0        0        0        1
52305 libgnome-desktop-4-1               	       1        0        0        0        1
52306 libgnome-device-manager0           	       1        0        0        0        1
52307 libgnome-games-support-1-dev       	       1        0        1        0        0
52308 libgnome-media-profiles-3.0-0      	       1        0        0        0        1
52309 libgnome-rr-4-1                    	       1        0        0        0        1
52310 libgnome-speech7                   	       1        0        1        0        0
52311 libgnome-vfsmm-2.6-1c2a            	       1        0        0        0        1
52312 libgnome2-doc                      	       1        0        0        0        1
52313 libgnome2-wnck-perl                	       1        0        0        0        1
52314 libgnome32                         	       1        0        0        0        1
52315 libgnomecanvasmm-2.6-1c2a          	       1        0        0        0        1
52316 libgnomecanvasmm-2.6-dev           	       1        0        1        0        0
52317 libgnomedb2-common                 	       1        0        0        0        1
52318 libgnomedb2-doc                    	       1        0        0        0        1
52319 libgnomedesktop2.20-cil            	       1        0        0        0        1
52320 libgnomeprint2.2-doc               	       1        0        0        0        1
52321 libgnomescan0                      	       1        0        0        0        1
52322 libgnomesupport0                   	       1        0        0        0        1
52323 libgnomeui-doc                     	       1        0        0        0        1
52324 libgnomeui32                       	       1        0        0        0        1
52325 libgnorba27                        	       1        0        0        0        1
52326 libgnorbagtk0                      	       1        0        0        0        1
52327 libgnt-dev                         	       1        0        1        0        0
52328 libgnu-regexp-java                 	       1        0        0        0        1
52329 libgnuastro12                      	       1        0        0        0        1
52330 libgnuastro20t64                   	       1        0        0        0        1
52331 libgnucap-dev                      	       1        0        0        0        1
52332 libgnujaf-java-doc                 	       1        0        0        0        1
52333 libgnumail-java-doc                	       1        0        0        0        1
52334 libgnunet0.17                      	       1        1        0        0        0
52335 libgnupdf0                         	       1        0        1        0        0
52336 libgnupg-perl                      	       1        0        1        0        0
52337 libgnuplot-ocaml-dev               	       1        0        1        0        0
52338 libgnuradio-analog3.10.12          	       1        0        0        0        1
52339 libgnuradio-analog3.7.10           	       1        0        0        0        1
52340 libgnuradio-audio3.10.12           	       1        0        0        0        1
52341 libgnuradio-blocks3.10.12          	       1        0        0        0        1
52342 libgnuradio-blocks3.7.10           	       1        0        0        0        1
52343 libgnuradio-channels3.10.12        	       1        0        0        0        1
52344 libgnuradio-dab3.8.0               	       1        0        0        0        1
52345 libgnuradio-digital3.10.12         	       1        0        0        0        1
52346 libgnuradio-dtv3.10.12             	       1        0        0        0        1
52347 libgnuradio-fcdproplus3.7.11       	       1        0        0        0        1
52348 libgnuradio-fec3.10.12             	       1        0        0        0        1
52349 libgnuradio-fft3.10.12             	       1        0        0        0        1
52350 libgnuradio-fft3.7.10              	       1        0        0        0        1
52351 libgnuradio-filter3.10.12          	       1        0        0        0        1
52352 libgnuradio-filter3.7.10           	       1        0        0        0        1
52353 libgnuradio-fosphor3.7.12          	       1        0        0        0        1
52354 libgnuradio-hpsdr1.2.1             	       1        0        0        0        1
52355 libgnuradio-iio1                   	       1        0        0        0        1
52356 libgnuradio-iio3.10.12             	       1        0        0        0        1
52357 libgnuradio-iqbalance3.7.11        	       1        0        0        0        1
52358 libgnuradio-network3.10.12         	       1        0        0        0        1
52359 libgnuradio-osmosdr0.1.4           	       1        0        0        0        1
52360 libgnuradio-pdu3.10.12             	       1        0        0        0        1
52361 libgnuradio-pmt3.10.12             	       1        0        0        0        1
52362 libgnuradio-pmt3.10.9t64           	       1        0        0        0        1
52363 libgnuradio-qtgui3.10.12           	       1        0        0        0        1
52364 libgnuradio-runtime3.10.12         	       1        0        0        0        1
52365 libgnuradio-soapy3.10.12           	       1        0        0        0        1
52366 libgnuradio-trellis3.10.12         	       1        0        0        0        1
52367 libgnuradio-uhd3.10.12             	       1        0        0        0        1
52368 libgnuradio-uhd3.7.10              	       1        0        0        0        1
52369 libgnuradio-video-sdl3.10.12       	       1        0        0        0        1
52370 libgnuradio-vocoder3.10.12         	       1        0        0        0        1
52371 libgnuradio-wavelet3.10.12         	       1        0        0        0        1
52372 libgnuradio-zeromq3.10.12          	       1        0        0        0        1
52373 libgnustep-base1.14                	       1        0        1        0        0
52374 libgnustep-base1.22                	       1        0        0        0        1
52375 libgnustep-gui0.12                 	       1        0        0        0        1
52376 libgnustep-gui0.20                 	       1        0        0        0        1
52377 libgnustep-gui0.24                 	       1        0        0        0        1
52378 libgnustep-gui0.27                 	       1        0        0        0        1
52379 libgnustep-gui0.30                 	       1        0        0        0        1
52380 libgnutls28                        	       1        0        0        0        1
52381 libgo-11-dev-i386-cross            	       1        0        0        0        1
52382 libgo-13-dev                       	       1        0        0        0        1
52383 libgo13                            	       1        0        0        0        1
52384 libgo19-i386-cross                 	       1        0        0        0        1
52385 libgo9                             	       1        0        0        0        1
52386 libgoa-1.0-doc                     	       1        0        0        0        1
52387 libgoffice-0-8-common              	       1        0        1        0        0
52388 libgoffice-0.10-doc                	       1        0        0        0        1
52389 libgoffice-0.8-dev                 	       1        0        1        0        0
52390 libgomp-plugin-amdgcn1             	       1        0        0        0        1
52391 libgomp-plugin-nvptx1              	       1        0        0        0        1
52392 libgomp1-dbg                       	       1        0        1        0        0
52393 libgomp1-m68k-cross                	       1        0        0        0        1
52394 libgomp1-mips64-cross              	       1        0        0        0        1
52395 libgomp1-mips64el-cross            	       1        0        0        0        1
52396 libgomp1-mips64r6el-cross          	       1        0        0        0        1
52397 libgomp1-ppc64el-cross             	       1        0        0        0        1
52398 libgoocanvas-ruby                  	       1        0        0        0        1
52399 libgoocanvas-ruby1.8               	       1        0        1        0        0
52400 libgorm1                           	       1        0        0        0        1
52401 libgossip-java                     	       1        0        0        0        1
52402 libgoto-file-perl                  	       1        0        1        0        0
52403 libgpac-dev                        	       1        0        1        0        0
52404 libgpac3                           	       1        0        0        0        1
52405 libgpars-groovy-java-doc           	       1        0        0        0        1
52406 libgpaste13                        	       1        0        0        0        1
52407 libgpewidget-data                  	       1        0        0        0        1
52408 libgpewidget1                      	       1        0        0        0        1
52409 libgpg-error0-dbgsym               	       1        0        1        0        0
52410 libgphobos-10-dev-arm64-cross      	       1        0        0        0        1
52411 libgphobos-11-dev                  	       1        0        0        0        1
52412 libgphobos-14-dev                  	       1        0        0        0        1
52413 libgphobos1-arm64-cross            	       1        0        0        0        1
52414 libgphobos4-dbgsym                 	       1        0        1        0        0
52415 libgphoto2-2-dev                   	       1        0        0        0        1
52416 libgpod-cil                        	       1        0        1        0        0
52417 libgpod-cil-dev                    	       1        0        1        0        0
52418 libgpod3-nogtk                     	       1        0        0        0        1
52419 libgportugol0                      	       1        0        0        0        1
52420 libgpr18                           	       1        0        0        0        1
52421 libgps-point-perl                  	       1        0        1        0        0
52422 libgps20                           	       1        0        0        0        1
52423 libgps26                           	       1        0        0        0        1
52424 libgr-framework0                   	       1        0        0        0        1
52425 libgradle-android-plugin-java-doc  	       1        0        0        0        1
52426 libgradle-jflex-plugin-java        	       1        0        0        0        1
52427 libgraph-writer-graphviz-perl      	       1        0        1        0        0
52428 libgraphene-doc                    	       1        0        0        0        1
52429 libgraphics-ocaml                  	       1        0        1        0        0
52430 libgraphics-ocaml-dev              	       1        0        1        0        0
52431 libgraphics-toolkit-color-perl     	       1        0        1        0        0
52432 libgraphicsmagick++1               	       1        0        0        0        1
52433 libgraphite2-doc                   	       1        0        0        0        1
52434 libgretl1t64                       	       1        0        0        0        1
52435 libgrib2c-dev                      	       1        0        1        0        0
52436 libgridsite1.7                     	       1        0        0        0        1
52437 libgridsite2                       	       1        0        0        0        1
52438 libgridsite6                       	       1        0        0        0        1
52439 libgrits4                          	       1        0        1        0        0
52440 libgrok-dev                        	       1        0        1        0        0
52441 libgrok1t64                        	       1        0        0        0        1
52442 libgrokj2k1-dev                    	       1        0        1        0        0
52443 libgromacs5                        	       1        0        0        0        1
52444 libgroupsock4                      	       1        0        0        0        1
52445 libgs9-dbgsym                      	       1        0        1        0        0
52446 libgsasl18-dbgsym                  	       1        0        1        0        0
52447 libgsasl7-dev                      	       1        0        0        0        1
52448 libgsl-dbg                         	       1        0        1        0        0
52449 libgsm-tools                       	       1        0        1        0        0
52450 libgsmme1c2a                       	       1        0        0        0        1
52451 libgsmsd8t64                       	       1        0        0        0        1
52452 libgsoap-2.8.91                    	       1        0        0        0        1
52453 libgsoap-kopano-2.8.109            	       1        1        0        0        0
52454 libgsoap2                          	       1        0        0        0        1
52455 libgsoap7                          	       1        0        0        0        1
52456 libgsoap9                          	       1        0        0        0        1
52457 libgspell-1-doc                    	       1        0        0        0        1
52458 libgssapi2-heimdal                 	       1        0        0        0        1
52459 libgssdp-1.0-2                     	       1        0        0        0        1
52460 libgssdp-1.0-dev                   	       1        0        1        0        0
52461 libgstreamer-ocaml-dev             	       1        0        1        0        0
52462 libgstreamer-vaapi1.0-0            	       1        0        0        0        1
52463 libgstreamer0.10-dev               	       1        0        1        0        0
52464 libgstreamer0.8-0                  	       1        0        1        0        0
52465 libgtextutils0v5                   	       1        0        0        0        1
52466 libgtg0                            	       1        0        0        0        1
52467 libgtk-3-0-dbgsym                  	       1        0        1        0        0
52468 libgtk-dotnet3.0-cil               	       1        0        0        0        1
52469 libgtk1.2-dev                      	       1        0        1        0        0
52470 libgtk2-appindicator-perl          	       1        0        0        0        1
52471 libgtk2-spell-perl                 	       1        0        0        0        1
52472 libgtk2-trayicon-perl              	       1        0        0        0        1
52473 libgtk2-unique-perl                	       1        0        0        0        1
52474 libgtkada-bin                      	       1        0        1        0        0
52475 libgtkada-doc                      	       1        0        0        0        1
52476 libgtkada18                        	       1        0        0        0        1
52477 libgtkada22                        	       1        0        0        0        1
52478 libgtkada3.8.3                     	       1        0        0        0        1
52479 libgtkdsv-3-0                      	       1        0        0        0        1
52480 libgtkdsv-3-dev                    	       1        0        0        0        1
52481 libgtkextra-dev                    	       1        0        1        0        0
52482 libgtkglext1-dbgsym                	       1        0        1        0        0
52483 libgtkglext1-doc                   	       1        0        0        0        1
52484 libgtkglextmm-x11-1.2-dev          	       1        0        1        0        0
52485 libgtkhex-4-dev                    	       1        0        1        0        0
52486 libgtkhtml-editor-3.14-common      	       1        0        0        0        1
52487 libgtkhtml2-0                      	       1        0        0        0        1
52488 libgtkimageview-dev                	       1        0        1        0        0
52489 libgtkimreg0                       	       1        0        0        0        1
52490 libgtkmm-3.0-1                     	       1        1        0        0        0
52491 libgtkmm1.2-0c2a                   	       1        0        0        0        1
52492 libgtkpod-dev                      	       1        0        1        0        0
52493 libgtksourceview-5-doc             	       1        0        0        0        1
52494 libgtksourceview-doc               	       1        0        0        0        1
52495 libgtksourceview1.0-0              	       1        0        0        0        1
52496 libgtksourceview2.0-doc            	       1        0        0        0        1
52497 libgtksourceview2.0-markdown       	       1        0        0        0        1
52498 libgtksourceviewmm-3.0-doc         	       1        0        0        0        1
52499 libgtkspellmm-3.0-doc              	       1        0        0        0        1
52500 libgtop-2.0-11-dbgsym              	       1        0        1        0        0
52501 libgtop2-build-deps                	       1        0        0        0        1
52502 libguac11                          	       1        0        0        0        1
52503 libguess-dev                       	       1        0        1        0        0
52504 libguichan-0.8.1-1                 	       1        0        0        0        1
52505 libguichan-allegro-0.8.1-1         	       1        0        0        0        1
52506 libguichan-opengl-0.8.1-1          	       1        0        0        0        1
52507 libguichan-sdl-0.8.1-1             	       1        0        0        0        1
52508 libguile-ssh13                     	       1        0        0        0        1
52509 libgulkan-0.14-0                   	       1        0        0        0        1
52510 libgulkan-doc                      	       1        0        0        0        1
52511 libgupnp-1.0-3                     	       1        0        0        0        1
52512 libgupnp-1.0-dev                   	       1        0        1        0        0
52513 libgupnp-av-1.0-dev                	       1        0        1        0        0
52514 libgupnp-av-doc                    	       1        0        0        0        1
52515 libgupnp-doc                       	       1        0        0        0        1
52516 libgupnp-igd-1.0-3                 	       1        0        0        0        1
52517 libgupnp-igd-doc                   	       1        0        0        0        1
52518 libgusb-doc                        	       1        0        0        0        1
52519 libguvcview-dev                    	       1        0        1        0        0
52520 libguytools2t64                    	       1        0        0        0        1
52521 libgv-guile                        	       1        0        0        0        1
52522 libgv-lua                          	       1        0        0        0        1
52523 libgv-perl                         	       1        0        0        0        1
52524 libgv-ruby                         	       1        0        0        0        1
52525 libgvm22                           	       1        0        0        0        1
52526 libgweather-3-dev                  	       1        0        1        0        0
52527 libgwengui-qt5-79t64               	       1        0        0        0        1
52528 libgwenhywfar78                    	       1        0        0        0        1
52529 libgwyddion2-0t64                  	       1        0        0        0        1
52530 libgxps-dev                        	       1        0        1        0        0
52531 libgxr-0.15-0                      	       1        0        0        0        1
52532 libgxr-0.15-0t64                   	       1        0        0        0        1
52533 libgxr-dev                         	       1        0        1        0        0
52534 libgxw-dev                         	       1        0        1        0        0
52535 libgzstream-dev                    	       1        0        1        0        0
52536 libh2o0.13                         	       1        0        0        0        1
52537 libhal-dev                         	       1        0        1        0        0
52538 libhal-storage-dev                 	       1        0        1        0        0
52539 libhal1-flash                      	       1        0        0        0        1
52540 libhamlib++4t64                    	       1        0        0        0        1
52541 libhandy-1-dev                     	       1        0        1        0        0
52542 libharfbuzz-gobject0-dbgsym        	       1        0        1        0        0
52543 libharfbuzz-icu0-dbgsym            	       1        0        1        0        0
52544 libharfbuzz0b-dbgsym               	       1        0        1        0        0
52545 libhash-case-perl                  	       1        0        1        0        0
52546 libhash-defhash-perl               	       1        0        1        0        0
52547 libhash-flatten-perl               	       1        0        1        0        0
52548 libhash-withdefaults-perl          	       1        0        1        0        0
52549 libhat-trie0                       	       1        0        0        0        1
52550 libhawtbuf-java                    	       1        0        0        0        1
52551 libhawtdispatch-java               	       1        0        0        0        1
52552 libhawtjni-generator-java          	       1        0        0        0        1
52553 libhawtjni-maven-plugin-java       	       1        0        0        0        1
52554 libhd14                            	       1        0        0        0        1
52555 libhdb9t64-heimdal                 	       1        0        0        0        1
52556 libhdf4g                           	       1        0        0        0        1
52557 libhdf4g-doc                       	       1        0        0        0        1
52558 libhdf5-10                         	       1        0        0        0        1
52559 libhdf5-cpp-8                      	       1        0        0        0        1
52560 libhdf5-openmpi-cpp-310            	       1        0        0        0        1
52561 libhdf5-openmpi-fortran-310        	       1        0        0        0        1
52562 libhdf5-openmpi-hl-310             	       1        0        0        0        1
52563 libhdf5-openmpi-hl-cpp-310         	       1        0        0        0        1
52564 libhdf5-openmpi-hl-fortran-310     	       1        0        0        0        1
52565 libhdf5-serial-1.6.5-0             	       1        0        0        0        1
52566 libhdf5-serial-1.6.6-0             	       1        0        0        0        1
52567 libhdf5-serial-dev                 	       1        0        0        0        1
52568 libhdfeos-dev                      	       1        0        1        0        0
52569 libhdfeos0                         	       1        0        0        0        1
52570 libhdhomerun-dev                   	       1        0        1        0        0
52571 libheadius-options-java-doc        	       1        0        0        0        1
52572 libhealpix-cxx0                    	       1        0        0        0        1
52573 libhealpix-java                    	       1        0        0        0        1
52574 libheartbeat2                      	       1        0        0        0        1
52575 libheartbeat2-dev                  	       1        0        1        0        0
52576 libheif-plugin-ffmpegdec           	       1        0        0        0        1
52577 libheif-plugin-j2kenc              	       1        0        0        0        1
52578 libheif-plugin-jpegdec             	       1        0        0        0        1
52579 libheif-plugin-jpegenc             	       1        0        0        0        1
52580 libheif-plugin-kvazaar             	       1        0        0        0        1
52581 libheif-plugin-rav1e               	       1        0        0        0        1
52582 libheif-plugin-svtenc              	       1        0        0        0        1
52583 libheif-plugins-all                	       1        0        0        0        1
52584 libhessian-java                    	       1        0        0        0        1
52585 libhessian-java-doc                	       1        0        0        0        1
52586 libhfsp-dev                        	       1        0        1        0        0
52587 libhibernate-commons-annotations-java	       1        0        0        0        1
52588 libhibernate3-java                 	       1        0        0        0        1
52589 libhiccup-clojure                  	       1        0        0        0        1
52590 libhidrd0                          	       1        0        0        0        1
52591 libhighgui-dev                     	       1        0        1        0        0
52592 libhighline-ruby1.9.1              	       1        0        0        0        1
52593 libhikaricp-java                   	       1        0        0        0        1
52594 libhippocanvas-1-0                 	       1        0        0        0        1
52595 libhiprand1                        	       1        0        0        0        1
52596 libhivex-dev                       	       1        0        1        0        0
52597 libhivex-ocaml                     	       1        0        1        0        0
52598 libhivex-ocaml-dev                 	       1        0        1        0        0
52599 libhmat-oss-dev                    	       1        0        1        0        0
52600 libhmat-oss1                       	       1        0        0        0        1
52601 libhmmer2-dev                      	       1        0        1        0        0
52602 libhmsbeagle-java                  	       1        0        0        0        1
52603 libhoel1.4                         	       1        0        0        0        1
52604 libhogweed5                        	       1        0        1        0        0
52605 libhostfile-manager-perl           	       1        0        1        0        0
52606 libhpdf-2.2.1                      	       1        0        0        0        1
52607 libhpmud-dev                       	       1        0        1        0        0
52608 libhpricot-ruby                    	       1        0        0        0        1
52609 libhsqldb-java-doc                 	       1        0        0        0        1
52610 libhtml-autopagerize-perl          	       1        0        1        0        0
52611 libhtml-defang-perl                	       1        1        0        0        0
52612 libhtml-display-perl               	       1        0        1        0        0
52613 libhtml-entities-numbered-perl     	       1        0        1        0        0
52614 libhtml-fillinform-perl            	       1        0        1        0        0
52615 libhtml-formfu-perl                	       1        0        1        0        0
52616 libhtml-fromansi-tiny-perl         	       1        0        1        0        0
52617 libhtml-html5-writer-perl          	       1        0        1        0        0
52618 libhtml-linkextractor-perl         	       1        0        1        0        0
52619 libhtml-mason-psgihandler-perl     	       1        0        1        0        0
52620 libhtml-prettyprinter-perl         	       1        0        1        0        0
52621 libhtml-quoted-perl                	       1        0        1        0        0
52622 libhtml-rewriteattributes-perl     	       1        0        1        0        0
52623 libhtml-stream-perl                	       1        0        1        0        0
52624 libhtml-template-pluggable-perl    	       1        0        1        0        0
52625 libhtml-tidy5-perl                 	       1        0        1        0        0
52626 libhtml-toc-perl                   	       1        0        1        0        0
52627 libhtml-truncate-perl              	       1        0        1        0        0
52628 libhtml-wikiconverter-dokuwiki-perl	       1        0        1        0        0
52629 libhtmlentities-ruby               	       1        0        0        0        1
52630 libhtmlentities-ruby1.9.1          	       1        0        0        0        1
52631 libhts3t64                         	       1        0        0        0        1
52632 libhttp-lrdd-perl                  	       1        0        1        0        0
52633 libhttp-proxy-perl                 	       1        0        1        0        0
52634 libhttp-recorder-perl              	       1        0        1        0        0
52635 libhttp-server-simple-authen-perl  	       1        0        1        0        0
52636 libhttp-server-simple-psgi-perl    	       1        0        1        0        0
52637 libhttpclient-ruby1.9.1            	       1        0        0        0        1
52638 libhttrack-dev                     	       1        0        1        0        0
52639 libhunspell-1.6-0                  	       1        0        0        0        1
52640 libhwloc-contrib-plugins           	       1        0        0        0        1
52641 libhwloc-doc                       	       1        0        0        0        1
52642 libhx-dev                          	       1        0        1        0        0
52643 libhx-doc                          	       1        0        0        0        1
52644 libhypre-2.22.1                    	       1        0        0        0        1
52645 libhypre-2.29.0                    	       1        0        0        0        1
52646 libhypre-2.32.0                    	       1        0        0        0        1
52647 libhyprlang-dev                    	       1        0        0        0        1
52648 libhyprutils-dev                   	       1        0        0        0        1
52649 libhz0                             	       1        0        0        0        1
52650 libi18n-charset-perl               	       1        0        1        0        0
52651 libi18n-ruby1.9.1                  	       1        0        0        0        1
52652 libibatis-java                     	       1        0        0        0        1
52653 libibtk-dev                        	       1        0        1        0        0
52654 libicc-utils-dev                   	       1        0        1        0        0
52655 libicc-utils2                      	       1        0        0        0        1
52656 libiceoryx-binding-c2              	       1        0        0        0        1
52657 libiceoryx-hoofs2                  	       1        0        0        0        1
52658 libiceoryx-platform2               	       1        0        0        0        1
52659 libiceoryx-posh2                   	       1        0        0        0        1
52660 libicinga2                         	       1        0        0        0        1
52661 libicon-famfamfam-silk-perl        	       1        0        1        0        0
52662 libiconv-ruby                      	       1        0        0        0        1
52663 libicu-le-hb-dev                   	       1        0        1        0        0
52664 libicu36                           	       1        0        0        0        1
52665 libicu40                           	       1        0        0        0        1
52666 libicu57-dbg                       	       1        0        1        0        0
52667 libid3-doc                         	       1        0        0        0        1
52668 libiddawc0.9                       	       1        0        0        0        1
52669 libideviceactivation-1.0-2         	       1        0        0        0        1
52670 libido-0.1-0                       	       1        0        0        0        1
52671 libido3-0.1-0                      	       1        0        0        0        1
52672 libido3-0.1-dev                    	       1        0        1        0        0
52673 libifeffit-perl                    	       1        0        1        0        0
52674 libigfxcmrt7                       	       1        0        0        0        1
52675 libignition-cmake-dev              	       1        0        0        0        1
52676 libignition-math-dev               	       1        0        0        0        1
52677 libignition-math4-dev              	       1        0        0        0        1
52678 libignition-math6-6                	       1        0        0        0        1
52679 libignition-msgs-dev               	       1        0        1        0        0
52680 libignition-msgs8-8                	       1        0        0        0        1
52681 libignition-transport-dev          	       1        0        1        0        0
52682 libignition-transport11-11         	       1        0        0        0        1
52683 libignition-transport4-dev         	       1        0        0        0        1
52684 libignition-utils-dev              	       1        0        0        0        1
52685 libigraph-dev                      	       1        0        1        0        0
52686 libigraph3t64                      	       1        0        0        0        1
52687 libiio-utils                       	       1        0        1        0        0
52688 libij-java-doc                     	       1        0        0        0        1
52689 libilmbase6v5                      	       1        0        0        0        1
52690 libimage-magick-q16hdri-perl       	       1        0        0        0        1
52691 libimage-size-ruby1.9.1            	       1        0        0        0        1
52692 libimage-transport-dev             	       1        0        1        0        0
52693 libimageworsener1                  	       1        0        0        0        1
52694 libimath-doc                       	       1        0        0        0        1
52695 libimthreshold-dev                 	       1        0        1        0        0
52696 libimthreshold0                    	       1        0        0        0        1
52697 libimthresholdfreeimage-dev        	       1        0        1        0        0
52698 libimthresholdfreeimage0           	       1        0        0        0        1
52699 libinchi-bin                       	       1        0        0        1        0
52700 libinchi-dev                       	       1        0        0        1        0
52701 libindicator-transfer-dev          	       1        0        1        0        0
52702 libindicator-transfer1             	       1        0        0        0        1
52703 libindicator3                      	       1        0        0        0        1
52704 libindiclient2                     	       1        0        0        0        1
52705 libindidriver0c                    	       1        0        0        0        1
52706 libinfgtk-0.7-0t64                 	       1        0        0        0        1
52707 libinfinity-0.7-0t64               	       1        0        0        0        1
52708 libinfluxdb-lineprotocol-perl      	       1        0        1        0        0
52709 libinklevel-dev                    	       1        0        1        0        0
52710 libinnate-ruby1.9.1                	       1        0        0        0        1
52711 libinnodb3                         	       1        0        0        0        1
52712 libinput-pad-dev                   	       1        0        1        0        0
52713 libinput-pad-xtest                 	       1        0        0        0        1
52714 libinputsynth-dev                  	       1        0        1        0        0
52715 libinsighttoolkit4.12              	       1        0        1        0        0
52716 libint2-2                          	       1        0        0        0        1
52717 libintellij-annotations-java-doc   	       1        0        0        0        1
52718 libintelrdfpmath-dev               	       1        0        1        0        0
52719 libinteractive-markers-dev         	       1        0        1        0        0
52720 libinteractive-markers2d           	       1        0        0        0        1
52721 libinterimap                       	       1        0        1        0        0
52722 libintervalstorej-java             	       1        0        0        0        1
52723 libinventor1t64                    	       1        0        0        0        1
52724 libinvokebinder-java-doc           	       1        0        0        0        1
52725 libio-async-loop-glib-perl         	       1        0        1        0        0
52726 libio-bufferedselect-perl          	       1        0        1        0        0
52727 libio-event-perl                   	       1        0        1        0        0
52728 libio-prompt-perl                  	       1        0        1        0        0
52729 libipe7.1.4                        	       1        0        0        0        1
52730 libipe7.2.23                       	       1        0        0        0        1
52731 libipe7.2.25                       	       1        0        0        0        1
52732 libipe7.2.28                       	       1        0        0        0        1
52733 libipe7.2.9                        	       1        0        0        0        1
52734 libipset-dev                       	       1        0        1        0        0
52735 libipt-dev                         	       1        1        0        0        0
52736 libiptcdata0-dev                   	       1        0        1        0        0
52737 libipx-dev                         	       1        0        1        0        0
52738 libipx2                            	       1        0        0        0        1
52739 libiqa-dev                         	       1        0        1        0        0
52740 libiqa1                            	       1        0        0        0        1
52741 libirman-dev                       	       1        0        1        0        0
52742 libirrlicht-doc                    	       1        0        1        0        0
52743 libirrlicht1.7a                    	       1        0        0        0        1
52744 libirstlm-dev                      	       1        0        1        0        0
52745 libisc-export166                   	       1        0        0        0        1
52746 libisc-export169                   	       1        0        0        0        1
52747 libisc-export189                   	       1        0        0        0        1
52748 libisccfg-export144                	       1        0        0        0        1
52749 libiscsi-bin                       	       1        0        1        0        0
52750 libiscwt-java                      	       1        0        0        0        1
52751 libismrmrd1.3                      	       1        0        0        0        1
52752 libiso9660++-dev                   	       1        0        0        0        1
52753 libiso9660++0t64                   	       1        0        0        0        1
52754 libiso9660-4                       	       1        0        0        0        1
52755 libisoburn-dev                     	       1        0        1        0        0
52756 libisocodes1                       	       1        0        0        0        1
52757 libisocore1                        	       1        0        0        0        1
52758 libiterm1                          	       1        0        0        0        1
52759 libitext-rtf-java                  	       1        0        0        0        1
52760 libitm1-dbgsym                     	       1        0        1        0        0
52761 libitm1-ppc64el-cross              	       1        0        0        0        1
52762 libitsol-dev                       	       1        0        1        0        0
52763 libitsol1                          	       1        0        0        0        1
52764 libiv-unidraw1                     	       1        0        0        0        1
52765 libiv-unidraw2t64                  	       1        0        0        0        1
52766 libiv1                             	       1        0        0        0        1
52767 libiv2t64                          	       1        0        0        0        1
52768 libiw28                            	       1        0        0        0        1
52769 libixion-0.14-0                    	       1        0        0        0        1
52770 libixion-0.17-0                    	       1        0        0        0        1
52771 libixion-0.18-0                    	       1        0        0        0        1
52772 libixp0                            	       1        0        0        0        1
52773 libjackson2-dataformat-cbor        	       1        0        0        0        1
52774 libjackson2-dataformat-smile-doc   	       1        0        0        0        1
52775 libjackson2-module-jaxb-annotations-java	       1        0        0        0        1
52776 libjam-java                        	       1        0        0        0        1
52777 libjama-dev                        	       1        0        1        0        0
52778 libjamon-java                      	       1        0        0        0        1
52779 libjansson-doc                     	       1        0        0        0        1
52780 libjarjar-maven-plugin-java        	       1        0        0        0        1
52781 libjarjar-maven-plugin-java-doc    	       1        0        0        0        1
52782 libjasper-runtime                  	       1        0        1        0        0
52783 libjaudiotagger-java               	       1        0        0        0        1
52784 libjaula1t64                       	       1        0        0        0        1
52785 libjava-jdbc-clojure               	       1        0        0        0        1
52786 libjava-jmx-clojure                	       1        0        0        0        1
52787 libjava-xmlbuilder-java            	       1        0        0        0        1
52788 libjava3d-java-doc                 	       1        0        0        0        1
52789 libjavafxsvg-java                  	       1        0        0        0        1
52790 libjavascript-minifier-perl        	       1        1        0        0        0
52791 libjavascript-minifier-xs-perl     	       1        0        0        0        1
52792 libjavascriptcoregtk-3.0-bin       	       1        0        1        0        0
52793 libjavascriptcoregtk-4.0-18-dbgsym 	       1        0        1        0        0
52794 libjavascriptcoregtk-5.0-0         	       1        0        0        0        1
52795 libjavawriter-java-doc             	       1        0        0        0        1
52796 libjawn-java                       	       1        0        0        0        1
52797 libjaxe-java                       	       1        0        0        0        1
52798 libjaxp1.3-java-gcj                	       1        0        1        0        0
52799 libjazzy-java                      	       1        0        0        0        1
52800 libjbig2enc-dev                    	       1        0        1        0        0
52801 libjbig2enc0                       	       1        0        0        0        1
52802 libjboss-classfilewriter-java      	       1        0        0        0        1
52803 libjboss-classfilewriter-java-doc  	       1        0        0        0        1
52804 libjboss-logmanager-java           	       1        0        0        0        1
52805 libjboss-logmanager-java-doc       	       1        0        0        0        1
52806 libjcsp-java-doc                   	       1        0        0        0        1
52807 libjdeb-java                       	       1        0        0        0        1
52808 libjdependency-java                	       1        0        0        0        1
52809 libjdns2                           	       1        0        0        0        1
52810 libjdom2-intellij-java             	       1        0        0        0        1
52811 libjdom2-intellij-java-doc         	       1        0        0        0        1
52812 libjdom2-java-doc                  	       1        0        0        0        1
52813 libjebl2-java                      	       1        0        0        0        1
52814 libjellyfish-2.0-2                 	       1        0        0        0        1
52815 libjenkins-trilead-ssh2-java       	       1        0        0        0        1
52816 libjerasure2                       	       1        0        0        0        1
52817 libjersey1-client-java             	       1        0        0        0        1
52818 libjersey1-server-java             	       1        0        0        0        1
52819 libjets3t-java                     	       1        0        0        0        1
52820 libjetty8-java-doc                 	       1        0        0        0        1
52821 libjfreechart-java-doc             	       1        0        0        0        1
52822 libjgoodies-animation-java         	       1        0        0        0        1
52823 libjgrapht-java                    	       1        0        0        0        1
52824 libjgroups-java                    	       1        0        0        0        1
52825 libjhdf4-java                      	       1        0        0        0        1
52826 libjhdf4-jni                       	       1        0        1        0        0
52827 libjhdf5-java                      	       1        0        0        0        1
52828 libjhdf5-jni                       	       1        0        1        0        0
52829 libjheaps-java                     	       1        0        0        0        1
52830 libjhighlight-java                 	       1        0        0        0        1
52831 libjibx1.2-java                    	       1        0        0        0        1
52832 libjim-dev                         	       1        0        0        1        0
52833 libjinglebase0.3-0                 	       1        0        0        0        1
52834 libjinglep2p0.3-0                  	       1        0        0        0        1
52835 libjinglesession0.3-0              	       1        0        0        0        1
52836 libjinglexmllite0.3-0              	       1        0        0        0        1
52837 libjinglexmpp0.3-0                 	       1        0        0        0        1
52838 libjitterentropy                   	       1        0        0        0        1
52839 libjitterentropy-dev               	       1        0        1        0        0
52840 libjlapack-java                    	       1        0        0        0        1
52841 libjmagick7-java                   	       1        0        0        0        1
52842 libjmagick7-jni                    	       1        1        0        0        0
52843 libjnr-enxio-java-doc              	       1        0        0        0        1
52844 libjoda-convert-java-doc           	       1        0        0        0        1
52845 libjodconverter-java-doc           	       1        0        0        0        1
52846 libjogl-java                       	       1        0        0        0        1
52847 libjogl-jni                        	       1        0        1        0        0
52848 libjogl2-java-doc                  	       1        0        0        0        1
52849 libjogl2-toolkits                  	       1        0        0        0        1
52850 libjolokia-core-java               	       1        0        0        0        1
52851 libjorbis-java                     	       1        0        0        0        1
52852 libjose-dev                        	       1        0        1        0        0
52853 libjpedal-jbig2-java               	       1        0        0        0        1
52854 libjpegqs0                         	       1        0        0        0        1
52855 libjpgalleg4-dev                   	       1        0        1        0        0
52856 libjpge-dev                        	       1        0        1        0        0
52857 libjpge0                           	       1        0        0        0        1
52858 libjruby-utils-clojure             	       1        0        0        0        1
52859 libjs-angular-file-upload          	       1        0        0        0        1
52860 libjs-angular-gettext              	       1        0        0        0        1
52861 libjs-angular-schema-form          	       1        0        0        0        1
52862 libjs-angularjs-smart-table        	       1        0        0        0        1
52863 libjs-asciimathml                  	       1        0        1        0        0
52864 libjs-bootbox                      	       1        0        0        0        1
52865 libjs-dojo-core                    	       1        0        0        0        1
52866 libjs-dojo-dijit                   	       1        0        0        0        1
52867 libjs-dojo-dojox                   	       1        0        1        0        0
52868 libjs-extjs                        	       1        0        0        0        1
52869 libjs-htmx                         	       1        0        0        0        1
52870 libjs-i18next                      	       1        0        0        0        1
52871 libjs-ismobilejs                   	       1        0        0        0        1
52872 libjs-jquery-atwho                 	       1        0        0        0        1
52873 libjs-jquery-file-upload           	       1        0        1        0        0
52874 libjs-jquery-flot-docs             	       1        0        0        0        1
52875 libjs-jquery-jplayer               	       1        0        0        0        1
52876 libjs-jquery-tipsy                 	       1        0        0        0        1
52877 libjs-jquery-ui-theme-redmond      	       1        0        0        0        1
52878 libjs-jquery.quicksearch           	       1        0        0        0        1
52879 libjs-jsencrypt                    	       1        0        0        0        1
52880 libjs-leaflet.markercluster        	       1        0        0        0        1
52881 libjs-lightbox2                    	       1        0        0        0        1
52882 libjs-lrdragndrop                  	       1        0        0        0        1
52883 libjs-magic-search                 	       1        0        0        0        1
52884 libjs-modestmaps                   	       1        0        0        0        1
52885 libjs-objectpath                   	       1        0        0        0        1
52886 libjs-of-ocaml                     	       1        0        1        0        0
52887 libjs-of-ocaml-dev                 	       1        0        1        0        0
52888 libjs-select2.js                   	       1        0        0        0        1
52889 libjs-spin.js                      	       1        0        0        0        1
52890 libjs-strophe                      	       1        0        0        0        1
52891 libjs-toastr                       	       1        0        0        0        1
52892 libjs-tv4                          	       1        0        0        0        1
52893 libjs-twitter-bootstrap            	       1        0        0        0        1
52894 libjs-x2gokdriveclient             	       1        0        0        0        1
52895 libjsap-java-doc                   	       1        0        0        0        1
52896 libjsch-java-doc                   	       1        0        0        0        1
52897 libjson-path-perl                  	       1        0        1        0        0
52898 libjson4s-java                     	       1        0        0        0        1
52899 libjsoncpp-doc                     	       1        0        0        0        1
52900 libjsoncpp0v5                      	       1        0        0        0        1
52901 libjsonld-perl                     	       1        0        1        0        0
52902 libjsonrpc-glib-1.0-dev            	       1        0        1        0        0
52903 libjsoup-java-doc                  	       1        0        0        0        1
52904 libjspeex-java                     	       1        0        0        0        1
52905 libjsr311-api-java-doc             	       1        0        0        0        1
52906 libjsw2                            	       1        0        0        0        1
52907 libjsyntaxpane-java-doc            	       1        0        0        0        1
52908 libjulia-dev                       	       1        0        1        0        0
52909 libjung-free-java                  	       1        0        0        0        1
52910 libjuniversalchardet-java-doc      	       1        0        0        0        1
52911 libjwt-dev                         	       1        0        1        0        0
52912 libjwt-gnutls-dev                  	       1        0        1        0        0
52913 libjwt2                            	       1        0        1        0        0
52914 libjxl-testdata                    	       1        0        0        0        1
52915 libjxl0                            	       1        0        0        0        1
52916 libkaddressbook-dev                	       1        0        0        1        0
52917 libkadm5clnt7t64-heimdal           	       1        0        0        0        1
52918 libkadm5srv8t64-heimdal            	       1        0        0        0        1
52919 libkafs0t64-heimdal                	       1        0        0        0        1
52920 libkakasi2                         	       1        0        0        0        1
52921 libkasten2controllers2             	       1        0        0        0        1
52922 libkasten2core2                    	       1        0        0        0        1
52923 libkasten2gui2                     	       1        0        0        0        1
52924 libkasten2okteta1controllers1abi1  	       1        0        0        0        1
52925 libkasten2okteta1core1             	       1        0        0        0        1
52926 libkasten2okteta1gui1              	       1        0        0        0        1
52927 libkcarchiver0                     	       1        1        0        0        0
52928 libkcarchivercore0                 	       1        1        0        0        0
52929 libkcfreebusy0                     	       1        1        0        0        0
52930 libkcicalmapi0                     	       1        1        0        0        0
52931 libkcinetmapi0                     	       1        1        0        0        0
52932 libkcoidc0                         	       1        1        0        0        0
52933 libkcolorpicker-qt6-dev            	       1        0        1        0        0
52934 libkcpyplug0                       	       1        0        0        0        1
52935 libkcrosie0                        	       1        1        0        0        0
52936 libkcserver0                       	       1        1        0        0        0
52937 libkcsoap0                         	       1        1        0        0        0
52938 libkcutil0                         	       1        1        0        0        0
52939 libkdb3-dev                        	       1        0        0        1        0
52940 libkdcrawqt6-dev                   	       1        0        1        0        0
52941 libkde4-ruby1.8                    	       1        0        0        0        1
52942 libkdecorations3-dev               	       1        0        0        1        0
52943 libkdeedu3                         	       1        0        0        0        1
52944 libkdegames1                       	       1        0        0        0        1
52945 libkdegames5a                      	       1        0        0        0        1
52946 libkdf5-2                          	       1        0        0        0        1
52947 libkdl-parser-dev                  	       1        0        0        0        1
52948 libkdl-parser1d                    	       1        0        0        0        1
52949 libkdsingleapplication-qt6-dev     	       1        0        1        0        0
52950 libkdsoap-bin                      	       1        0        1        0        0
52951 libkdsoap-dev                      	       1        0        1        0        0
52952 libkdsoap-doc                      	       1        0        0        0        1
52953 libkdsoap-server1                  	       1        0        0        0        1
52954 libkdumpfile10                     	       1        0        0        0        1
52955 libkexiv2qt6-dev                   	       1        0        0        1        0
52956 libkeybinder-3.0-dev               	       1        0        1        0        0
52957 libkeybinder-dev                   	       1        0        1        0        0
52958 libkf5composereditorng5            	       1        0        0        0        1
52959 libkf5gapiblogger5                 	       1        0        0        0        1
52960 libkf5incidenceeditor-dev          	       1        0        1        0        0
52961 libkf5jsembed-dev                  	       1        0        1        0        0
52962 libkf5kdcraw-dev                   	       1        0        1        0        0
52963 libkf5kdegames-dev                 	       1        0        1        0        0
52964 libkf5kipi-dev                     	       1        0        1        0        0
52965 libkf5kmahjongglib-dev             	       1        0        1        0        0
52966 libkf5kontactinterface-dev         	       1        0        1        0        0
52967 libkf5ksieve-dev                   	       1        0        1        0        0
52968 libkf5libkdepim-dev                	       1        0        1        0        0
52969 libkf5libkleo-dev                  	       1        0        1        0        0
52970 libkf5mailcommon-dev               	       1        0        1        0        0
52971 libkf5mailimporter-dev             	       1        0        1        0        0
52972 libkf5mediawiki-dev                	       1        0        1        0        0
52973 libkf5messagecomposer-dev          	       1        0        1        0        0
52974 libkf5modemmanagerqt-doc           	       1        0        0        0        1
52975 libkf5networkmanagerqt-doc         	       1        0        0        0        1
52976 libkf5people-doc                   	       1        0        0        0        1
52977 libkf5pulseaudioqt-doc             	       1        0        0        0        1
52978 libkf5pulseaudioqt2-doc            	       1        0        0        0        1
52979 libkf5runner-doc                   	       1        0        0        0        1
52980 libkf5templateparser-dev           	       1        0        1        0        0
52981 libkf5tnef-dev                     	       1        0        1        0        0
52982 libkf5torrent6abi2                 	       1        0        0        0        1
52983 libkf5webengineviewer-dev          	       1        0        1        0        0
52984 libkf5xmlrpcclient-dev             	       1        0        1        0        0
52985 libkf5xmlrpcclient-doc             	       1        0        0        0        1
52986 libkf6attica-dev                   	       1        0        1        0        0
52987 libkf6attica-doc                   	       1        0        0        0        1
52988 libkf6auth-dev                     	       1        0        0        1        0
52989 libkf6auth-dev-bin                 	       1        0        1        0        0
52990 libkf6auth-doc                     	       1        0        0        0        1
52991 libkf6baloo-dev                    	       1        0        0        1        0
52992 libkf6baloo-doc                    	       1        0        0        0        1
52993 libkf6bluezqt-dev                  	       1        0        0        1        0
52994 libkf6bluezqt-doc                  	       1        0        0        0        1
52995 libkf6breezeicons-dev              	       1        0        1        0        0
52996 libkf6calendarcore-dev             	       1        0        0        1        0
52997 libkf6calendarcore-doc             	       1        0        0        0        1
52998 libkf6contacts-dev                 	       1        0        0        1        0
52999 libkf6contacts-doc                 	       1        0        0        0        1
53000 libkf6crash-dev                    	       1        0        0        1        0
53001 libkf6crash-doc                    	       1        0        0        0        1
53002 libkf6dav-dev                      	       1        0        0        1        0
53003 libkf6dav-doc                      	       1        0        0        0        1
53004 libkf6dbusaddons-dev               	       1        0        0        1        0
53005 libkf6dbusaddons-doc               	       1        0        0        0        1
53006 libkf6declarative-dev              	       1        0        0        1        0
53007 libkf6declarative-doc              	       1        0        0        0        1
53008 libkf6dnssd-dev                    	       1        0        1        0        0
53009 libkf6dnssd-doc                    	       1        0        0        0        1
53010 libkf6filemetadata-dev             	       1        0        0        1        0
53011 libkf6filemetadata-doc             	       1        0        0        0        1
53012 libkf6holidays-dev                 	       1        0        0        1        0
53013 libkf6holidays-doc                 	       1        0        0        0        1
53014 libkf6idletime-dev                 	       1        0        0        1        0
53015 libkf6idletime-doc                 	       1        0        0        0        1
53016 libkf6itemmodels-dev               	       1        0        0        1        0
53017 libkf6itemmodels-doc               	       1        0        0        0        1
53018 libkf6kcmutils-dev                 	       1        0        0        1        0
53019 libkf6kcmutils-doc                 	       1        0        0        0        1
53020 libkf6modemmanagerqt-dev           	       1        0        1        0        0
53021 libkf6modemmanagerqt-doc           	       1        0        0        0        1
53022 libkf6networkmanagerqt-dev         	       1        0        0        1        0
53023 libkf6networkmanagerqt-doc         	       1        0        0        0        1
53024 libkf6newstuff-dev                 	       1        0        0        1        0
53025 libkf6newstuff-doc                 	       1        0        0        0        1
53026 libkf6notifyconfig-dev             	       1        0        0        1        0
53027 libkf6notifyconfig-doc             	       1        0        0        0        1
53028 libkf6package-dev                  	       1        0        0        1        0
53029 libkf6package-doc                  	       1        0        0        0        1
53030 libkf6people-dev                   	       1        0        0        1        0
53031 libkf6people-doc                   	       1        0        0        0        1
53032 libkf6peoplewidgets6               	       1        0        0        0        1
53033 libkf6plotting-dev                 	       1        0        1        0        0
53034 libkf6plotting-doc                 	       1        0        0        0        1
53035 libkf6prison-dev                   	       1        0        0        1        0
53036 libkf6prison-doc                   	       1        0        0        0        1
53037 libkf6pty-dev                      	       1        0        0        1        0
53038 libkf6pty-doc                      	       1        0        0        0        1
53039 libkf6pulseaudioqt-dev             	       1        0        1        0        0
53040 libkf6pulseaudioqt-doc             	       1        0        0        0        1
53041 libkf6pulseaudioqt4                	       1        0        0        0        1
53042 libkf6purpose-dev                  	       1        0        0        1        0
53043 libkf6qqc2desktopstyle-dev         	       1        0        0        0        1
53044 libkf6runner-dev                   	       1        0        0        1        0
53045 libkf6runner-doc                   	       1        0        0        0        1
53046 libkf6solid-bin                    	       1        0        1        0        0
53047 libkf6sonnet-dev                   	       1        0        0        1        0
53048 libkf6sonnet-dev-bin               	       1        0        1        0        0
53049 libkf6sonnet-doc                   	       1        0        0        0        1
53050 libkf6statusnotifieritem-dev       	       1        0        0        1        0
53051 libkf6statusnotifieritem-doc       	       1        0        0        0        1
53052 libkf6style-dev                    	       1        0        0        1        0
53053 libkf6su-dev                       	       1        0        0        1        0
53054 libkf6su-doc                       	       1        0        0        0        1
53055 libkf6svg-dev                      	       1        0        0        1        0
53056 libkf6svg-doc                      	       1        0        0        0        1
53057 libkf6syndication-dev              	       1        0        1        0        0
53058 libkf6syndication-doc              	       1        0        0        0        1
53059 libkf6syntaxhighlighting-tools     	       1        0        1        0        0
53060 libkf6texttemplate-dev             	       1        0        0        1        0
53061 libkf6texttemplate-doc             	       1        0        0        0        1
53062 libkf6textwidgets-dev              	       1        0        0        1        0
53063 libkf6textwidgets-doc              	       1        0        0        0        1
53064 libkf6unitconversion-dev           	       1        0        0        1        0
53065 libkf6unitconversion-doc           	       1        0        0        0        1
53066 libkf6userfeedback-bin             	       1        0        1        0        0
53067 libkf6userfeedback-dev             	       1        0        0        1        0
53068 libkf6wallet-dev                   	       1        0        0        1        0
53069 libkf6wallet-doc                   	       1        0        0        0        1
53070 libkface-data                      	       1        0        0        0        1
53071 libkggzmod4                        	       1        0        0        0        1
53072 libkggznet4                        	       1        0        0        0        1
53073 libkickpass0                       	       1        0        0        0        1
53074 libkim-api2                        	       1        0        0        0        1
53075 libkimageannotator-qt6-dev         	       1        0        1        0        0
53076 libkinosearch1-perl                	       1        0        0        0        1
53077 libkitchensink-clojure             	       1        0        0        0        1
53078 libklatexformula4-dev              	       1        0        1        0        0
53079 libklatexformula4-doc              	       1        0        0        0        1
53080 libklu1.1.0                        	       1        0        0        0        1
53081 libklu1.2.1                        	       1        0        0        0        1
53082 libkmailtransport-dev              	       1        0        0        1        0
53083 libkmfl0                           	       1        0        0        0        1
53084 libkmflcomp0                       	       1        0        0        0        1
53085 libkmnkbp0-0                       	       1        0        0        0        1
53086 libkmod2-dbgsym                    	       1        0        1        0        0
53087 libknopflerfish-osgi-java-doc      	       1        0        0        0        1
53088 libknot10                          	       1        0        0        0        1
53089 libknot14                          	       1        1        0        0        0
53090 libknot14t64                       	       1        0        1        0        0
53091 libknot15                          	       1        0        1        0        0
53092 libknot5                           	       1        0        0        0        1
53093 libkohana2-php                     	       1        1        0        0        0
53094 libkolab2                          	       1        0        0        0        1
53095 libkomparediff2-4                  	       1        0        0        0        1
53096 libkomparediff2-dev                	       1        0        0        1        0
53097 libkonq-dev                        	       1        0        0        1        0
53098 libkonq4-trinity-dev               	       1        0        1        0        0
53099 libkontactinterface-dev            	       1        0        0        1        0
53100 libkpeople-data                    	       1        0        0        0        1
53101 libkpeople3                        	       1        1        0        0        0
53102 libkpimgapimaps5                   	       1        0        0        0        1
53103 libkpimimportwizard-dev            	       1        0        1        0        0
53104 libkpmcore-dev                     	       1        1        0        0        0
53105 libkpmcore4                        	       1        0        0        0        1
53106 libkproperty3-dev                  	       1        0        1        0        0
53107 libkqueue-dev                      	       1        0        1        0        0
53108 libkrb53                           	       1        0        0        0        1
53109 libkreport3-dev                    	       1        0        1        0        0
53110 libkreport3-plugin-barcode         	       1        0        0        0        1
53111 libksane-dev                       	       1        0        1        0        0
53112 libksanecore-dev                   	       1        0        0        1        0
53113 libkscan1                          	       1        0        0        0        1
53114 libkscreen-dev                     	       1        0        0        1        0
53115 libkscreen-doc                     	       1        0        0        0        1
53116 libksieve-dev                      	       1        0        0        1        0
53117 libktnef-dev                       	       1        0        0        1        0
53118 libktoblzcheck1c2a                 	       1        0        1        0        0
53119 libktpcommoninternalsprivate7      	       1        1        0        0        0
53120 libktplogger9                      	       1        0        0        0        1
53121 libktpmodels9                      	       1        0        0        0        1
53122 libktpmodelsprivate7               	       1        1        0        0        0
53123 libktpwidgetsprivate7              	       1        1        0        0        0
53124 libkvutils10                       	       1        0        0        0        1
53125 libkweathercore-data               	       1        0        0        0        1
53126 libkweathercore6                   	       1        0        0        0        1
53127 libkwineffects13                   	       1        0        1        0        0
53128 libkwinglutils13                   	       1        0        1        0        0
53129 libkwinxrenderutils13              	       1        0        1        0        0
53130 liblablgtk2-gl-ocaml               	       1        0        1        0        0
53131 liblablgtksourceview3-ocaml        	       1        0        1        0        0
53132 liblapack64-3                      	       1        0        0        0        1
53133 liblapack64-dev                    	       1        0        0        0        1
53134 liblapack64-test                   	       1        0        0        0        1
53135 liblapacke64                       	       1        0        0        0        1
53136 liblapacke64-dev                   	       1        0        0        0        1
53137 liblas-bin                         	       1        0        1        0        0
53138 liblaser-geometry-dev              	       1        0        1        0        0
53139 liblaser-geometry0d                	       1        0        0        0        1
53140 liblash-compat-1debian0            	       1        0        0        0        1
53141 liblash-compat-dev                 	       1        0        1        0        0
53142 liblasi0                           	       1        0        0        0        1
53143 liblasso3                          	       1        0        0        0        1
53144 liblastfm-java                     	       1        0        0        0        1
53145 liblastfm-ocaml-dev                	       1        0        1        0        0
53146 liblaszip-api8                     	       1        0        0        0        1
53147 liblaszip-dev                      	       1        0        1        0        0
53148 liblayout-java-doc                 	       1        0        0        0        1
53149 liblazymap-clojure                 	       1        0        0        0        1
53150 liblbfgsb-dev                      	       1        0        0        0        1
53151 liblbfgsb-examples                 	       1        0        0        1        0
53152 liblcgdm-dev                       	       1        0        0        0        1
53153 liblcgdm1                          	       1        0        0        0        1
53154 liblcmaps-dev                      	       1        0        0        0        1
53155 liblcmaps-without-gsi-dev          	       1        0        0        0        1
53156 liblcmaps-without-gsi0             	       1        0        0        0        1
53157 liblcmaps0                         	       1        0        0        0        1
53158 libldacbt-abr-dev                  	       1        0        1        0        0
53159 libldacbt-enc-dev                  	       1        0        1        0        0
53160 libldl2.0.1                        	       1        0        0        0        1
53161 libldl2.1.0                        	       1        0        0        0        1
53162 libldm-dev                         	       1        0        1        0        0
53163 libldns2                           	       1        0        0        0        1
53164 libleatherman-data                 	       1        0        0        0        1
53165 libleatherman1.4.0                 	       1        0        0        0        1
53166 liblensfun-doc                     	       1        0        0        0        1
53167 libleveldb-api-java                	       1        0        0        0        1
53168 libleveldb-java                    	       1        0        0        0        1
53169 liblfi0                            	       1        0        0        0        1
53170 liblfunction0                      	       1        0        0        0        1
53171 liblhasa-dev                       	       1        0        1        0        0
53172 liblib-abs-perl                    	       1        0        1        0        0
53173 libliberator-clojure               	       1        0        0        0        1
53174 liblief-dev                        	       1        0        1        0        0
53175 liblief0                           	       1        0        0        0        1
53176 libliftoff-dev                     	       1        0        1        0        0
53177 liblightdm-gobject-1-0-dbgsym      	       1        0        0        1        0
53178 liblightdm-qt-3-0                  	       1        0        0        0        1
53179 liblightdm-qt-dev                  	       1        0        1        0        0
53180 liblightdm-qt5-3-0-dbgsym          	       1        0        0        1        0
53181 liblightdm-qt5-3-dev               	       1        0        0        1        0
53182 liblime-doc                        	       1        0        0        0        1
53183 liblinbox-1.5.2-0                  	       1        0        0        0        1
53184 liblinbox-1.6.3-0                  	       1        0        0        0        1
53185 liblinboxsage-1.5.2-0              	       1        0        0        0        1
53186 liblineak0                         	       1        0        0        0        1
53187 liblinearmath2.82                  	       1        0        0        0        1
53188 liblinebreak1                      	       1        0        0        0        1
53189 liblingua-en-numbers-ordinate-perl 	       1        0        1        0        0
53190 liblingua-ga-gramadoir-perl        	       1        0        1        0        0
53191 liblingua-stopwords-perl           	       1        0        1        0        0
53192 liblink-grammar4-dev               	       1        0        1        0        0
53193 liblinphone++11                    	       1        0        0        0        1
53194 liblinphone++11t64                 	       1        0        0        0        1
53195 liblinphone-dev                    	       1        0        1        0        0
53196 liblinphone11                      	       1        0        0        0        1
53197 liblinphone11t64                   	       1        0        0        0        1
53198 liblinphone2                       	       1        0        0        0        1
53199 liblinphone4                       	       1        0        0        0        1
53200 liblinux-desktopfiles-perl         	       1        0        1        0        0
53201 liblinux-dvb-perl                  	       1        0        0        0        1
53202 liblinux-io-prio-perl              	       1        0        1        0        0
53203 liblip-dev                         	       1        0        1        0        0
53204 liblip2                            	       1        0        1        0        0
53205 libliquid1d                        	       1        0        0        0        1
53206 liblitehtml-dev                    	       1        0        1        0        0
53207 liblitehtml0t64                    	       1        0        0        0        1
53208 liblitl0                           	       1        0        0        0        1
53209 liblivemedia102                    	       1        0        0        0        1
53210 liblivemedia106                    	       1        0        0        0        1
53211 liblivemedia115                    	       1        0        0        0        1
53212 liblivemedia42                     	       1        0        0        0        1
53213 liblivemedia52                     	       1        0        0        0        1
53214 liblivemedia61                     	       1        0        0        0        1
53215 liblivemedia81                     	       1        0        0        0        1
53216 liblld-14                          	       1        0        1        0        0
53217 liblld-14-dev                      	       1        0        1        0        0
53218 liblld-15                          	       1        0        1        0        0
53219 liblld-16                          	       1        0        1        0        0
53220 liblld-16-dev                      	       1        0        1        0        0
53221 liblldb-13                         	       1        0        1        0        0
53222 liblldb-14-dev                     	       1        0        1        0        0
53223 liblldb-15                         	       1        0        1        0        0
53224 liblldb-16-dev                     	       1        0        1        0        0
53225 liblldb-16t64                      	       1        0        1        0        0
53226 liblldb-7                          	       1        0        1        0        0
53227 libllvm-16-ocaml-dev               	       1        0        1        0        0
53228 libllvm-19-ocaml-dev               	       1        0        0        1        0
53229 libllvm-ocaml-dev                  	       1        0        0        0        1
53230 libllvm13.0.50002-amdgpu           	       1        0        1        0        0
53231 libllvm14.0.50200-amdgpu           	       1        0        1        0        0
53232 libllvm16.0.50600-amdgpu           	       1        0        0        0        1
53233 libllvm16.0.50703-amdgpu           	       1        0        1        0        0
53234 libllvm17.0.60000-amdgpu           	       1        0        0        0        1
53235 libllvm17.0.60002-amdgpu           	       1        0        1        0        0
53236 libllvm3.2                         	       1        0        0        0        1
53237 libllvm3.3                         	       1        0        0        0        1
53238 libllvm3.5v5                       	       1        0        0        0        1
53239 libllvm3.6v5                       	       1        0        0        0        1
53240 libllvmlibc-19-dev                 	       1        0        0        1        0
53241 libllvmspirvlib-19-dev             	       1        0        1        0        0
53242 libllvmspirvlib18.1                	       1        0        0        0        1
53243 libloadpng4-dev                    	       1        0        1        0        0
53244 liblocale-maketext-fuzzy-perl      	       1        0        1        0        0
53245 liblocale-ruby1.9.1                	       1        0        0        0        1
53246 liblocales-perl                    	       1        0        1        0        0
53247 liblockdev1-dev                    	       1        0        1        0        0
53248 liblodepng-dev                     	       1        0        1        0        0
53249 liblodepng0                        	       1        0        0        0        1
53250 liblog-any-adapter-tap-perl        	       1        0        1        0        0
53251 liblog-dispatch-configurator-any-perl	       1        0        1        0        0
53252 liblog-dispatchouli-perl           	       1        0        1        0        0
53253 liblog-loglite-perl                	       1        0        1        0        0
53254 liblog-report-optional-perl        	       1        0        1        0        0
53255 liblog-report-perl                 	       1        0        1        0        0
53256 liblog4cplus-dev                   	       1        0        1        0        0
53257 liblog4cplus-doc                   	       1        0        0        0        1
53258 liblog4cpp5                        	       1        0        0        0        1
53259 liblog4cxx10v5                     	       1        0        0        0        1
53260 liblog4j2-java-doc                 	       1        0        0        0        1
53261 liblog4shib2                       	       1        0        0        0        1
53262 liblog4tango-dev                   	       1        0        1        0        0
53263 liblogg4-dev                       	       1        0        1        0        0
53264 liblogg4.4                         	       1        0        0        0        1
53265 liblogs-ocaml                      	       1        0        1        0        0
53266 liblogs-ocaml-dev                  	       1        0        1        0        0
53267 liblogsys-dev                      	       1        0        1        0        0
53268 liblogsys4                         	       1        0        0        0        1
53269 liblombok-patcher-java             	       1        0        0        0        1
53270 liblomiri-api0                     	       1        0        0        0        1
53271 liblomiri-thumbnailer-qt1.0        	       1        0        0        0        1
53272 liblomirigestures5                 	       1        0        0        0        1
53273 liblomirimetrics5                  	       1        0        0        0        1
53274 liblomiritoolkit5                  	       1        0        0        0        1
53275 liblong-jump-perl                  	       1        0        1        0        0
53276 liblorene-debian1t64               	       1        0        0        0        1
53277 liblorene-export-debian0           	       1        0        0        0        1
53278 liblorene-export-debian0t64        	       1        0        0        0        1
53279 liblorenef77-debian1t64            	       1        0        0        0        1
53280 liblossywav1                       	       1        0        0        0        1
53281 liblouis9                          	       1        0        0        0        1
53282 liblouisutdml-dev                  	       1        0        1        0        0
53283 liblouisutdml6                     	       1        0        0        0        1
53284 liblouisxml-bin                    	       1        0        1        0        0
53285 liblouisxml-data                   	       1        0        0        0        1
53286 liblouisxml-dev                    	       1        0        1        0        0
53287 liblouisxml1                       	       1        0        0        0        1
53288 liblpm-dev                         	       1        0        1        0        0
53289 liblpm-lua                         	       1        0        0        0        1
53290 liblpm1                            	       1        0        0        0        1
53291 liblrm2-dev                        	       1        0        1        0        0
53292 liblrs-dev                         	       1        0        1        0        0
53293 liblrs0                            	       1        0        0        0        1
53294 liblsan0-ppc64el-cross             	       1        0        0        0        1
53295 liblscp-dev                        	       1        0        1        0        0
53296 libltc-dev                         	       1        0        1        0        0
53297 liblttng-ust-dev                   	       1        0        1        0        0
53298 liblttng-ust-python-agent1         	       1        0        0        0        1
53299 liblua5.2-0-dbg                    	       1        0        1        0        0
53300 libluajit2-5.1-dev                 	       1        0        1        0        0
53301 liblucene++-contrib0v5             	       1        0        0        0        1
53302 liblucene++-dev                    	       1        0        1        0        0
53303 liblucene++0t64                    	       1        0        0        0        1
53304 liblucene4-java                    	       1        0        0        0        1
53305 liblutok3                          	       1        0        0        0        1
53306 liblv-perl                         	       1        0        1        0        0
53307 liblv2dynparam1-dev                	       1        0        1        0        0
53308 liblv2dynparamhost1-1              	       1        0        0        0        1
53309 liblwgeom-2.4-0                    	       1        0        0        0        1
53310 liblwgeom-dev                      	       1        0        1        0        0
53311 liblwipv6-2                        	       1        0        0        0        1
53312 liblwjgl-java-doc                  	       1        0        0        0        1
53313 liblwp-useragent-progressbar-perl  	       1        0        1        0        0
53314 liblwres1                          	       1        0        0        0        1
53315 liblwt-log-ocaml                   	       1        0        1        0        0
53316 liblwt-log-ocaml-dev               	       1        0        1        0        0
53317 liblxi1                            	       1        0        0        0        1
53318 liblxqt-dev                        	       1        0        1        0        0
53319 liblxqt1-dev                       	       1        0        1        0        0
53320 liblz4-java                        	       1        0        0        0        1
53321 liblz4-jni                         	       1        0        0        0        1
53322 liblzf-dev                         	       1        0        1        0        0
53323 liblzfse1                          	       1        0        0        0        1
53324 liblzo-dev                         	       1        0        1        0        0
53325 libm4ri-0.0.20140914               	       1        0        0        0        1
53326 libm4rie-0.0.20150908              	       1        0        0        0        1
53327 libmaa2                            	       1        0        0        0        1
53328 libmac8                            	       1        0        0        0        1
53329 libmacaroons0                      	       1        0        0        0        1
53330 libmadlib                          	       1        0        0        0        1
53331 libmadlib-dev                      	       1        0        1        0        0
53332 libmagic-ocaml                     	       1        0        1        0        0
53333 libmagic-ocaml-dev                 	       1        0        1        0        0
53334 libmagic1-dbgsym                   	       1        0        1        0        0
53335 libmagick++-6.q16hdri-8            	       1        0        0        0        1
53336 libmagick++-6.q16hdri-dev          	       1        0        0        0        1
53337 libmagick++-7-headers              	       1        0        1        0        0
53338 libmagick++-7.q16-dev              	       1        0        0        0        1
53339 libmagick++-7.q16hdri-5            	       1        0        0        0        1
53340 libmagick++-7.q16hdri-dev          	       1        0        0        0        1
53341 libmagick++9c2a                    	       1        0        0        0        1
53342 libmagick9                         	       1        0        1        0        0
53343 libmagickcore-6.q16-5              	       1        0        0        0        1
53344 libmagickcore-6.q16hdri-3          	       1        0        0        0        1
53345 libmagickcore-6.q16hdri-3-extra    	       1        0        0        0        1
53346 libmagickcore-6.q16hdri-7-extra    	       1        0        0        0        1
53347 libmagickcore-6.q16hdri-7t64       	       1        0        0        0        1
53348 libmagickcore-6.q16hdri-dev        	       1        0        0        0        1
53349 libmagickcore-7.q16hdri-10         	       1        0        0        0        1
53350 libmagickcore-7.q16hdri-10-extra   	       1        0        0        0        1
53351 libmagickcore-7.q16hdri-dev        	       1        0        0        0        1
53352 libmagickcore3                     	       1        0        1        0        0
53353 libmagickwand-6.q16-5              	       1        0        0        0        1
53354 libmagickwand-6.q16hdri-3          	       1        0        0        0        1
53355 libmagickwand-6.q16hdri-7t64       	       1        0        0        0        1
53356 libmagickwand-6.q16hdri-dev        	       1        0        0        0        1
53357 libmagickwand-7.q16hdri-10         	       1        0        0        0        1
53358 libmagickwand-7.q16hdri-dev        	       1        0        0        0        1
53359 libmagics++-dev                    	       1        0        1        0        0
53360 libmagics++-metview-dev            	       1        0        0        0        1
53361 libmail-bulkmail-perl              	       1        0        1        0        0
53362 libmail-mboxparser-perl            	       1        0        1        0        0
53363 libmail-rbl-perl                   	       1        1        0        0        0
53364 libmail-spf-xs-perl                	       1        0        0        0        1
53365 libmalcontent-0-dev                	       1        0        1        0        0
53366 libmaliit-plugins2                 	       1        0        1        0        0
53367 libmanette-0.2-dev                 	       1        0        1        0        0
53368 libmango-perl                      	       1        0        1        0        0
53369 libmap-msgs-dev                    	       1        0        1        0        0
53370 libmapi1                           	       1        1        0        0        0
53371 libmapnik-dev                      	       1        0        1        0        0
53372 libmapscript-perl                  	       1        0        0        0        1
53373 libmapscript-ruby1.9.1             	       1        0        0        0        1
53374 libmapserver-dev                   	       1        0        1        0        0
53375 libmarc-file-marcmaker-perl        	       1        0        1        0        0
53376 libmarc-lint-perl                  	       1        0        1        0        0
53377 libmarc-parser-raw-perl            	       1        0        1        0        0
53378 libmarc-spec-perl                  	       1        0        1        0        0
53379 libmarco-dev                       	       1        0        1        0        0
53380 libmarkdent-perl                   	       1        0        1        0        0
53381 libmarkdown-php                    	       1        0        1        0        0
53382 libmartchus-c++utilities5t64       	       1        1        0        0        0
53383 libmartchus-qtforkawesome1t64      	       1        1        0        0        0
53384 libmason-perl                      	       1        0        1        0        0
53385 libmason-plugin-cache-perl         	       1        0        1        0        0
53386 libmason-plugin-htmlfilters-perl   	       1        0        1        0        0
53387 libmason-plugin-routersimple-perl  	       1        0        1        0        0
53388 libmasonx-processdir-perl          	       1        0        1        0        0
53389 libmate-menu-dev                   	       1        0        1        0        0
53390 libmate-panel-applet-dev           	       1        0        1        0        0
53391 libmatekbd-dev                     	       1        0        1        0        0
53392 libmatekeyring                     	       1        0        0        0        1
53393 libmatepolkit                      	       1        0        0        0        1
53394 libmateweather-dev                 	       1        0        1        0        0
53395 libmatewnck                        	       1        0        1        0        0
53396 libmatewnck-common                 	       1        0        0        0        1
53397 libmath-cartesian-product-perl     	       1        0        1        0        0
53398 libmath-cephes-perl                	       1        0        0        0        1
53399 libmath-combinatorics-clojure      	       1        0        0        0        1
53400 libmath-numeric-tower-clojure      	       1        0        0        0        1
53401 libmath-polygon-perl               	       1        0        1        0        0
53402 libmath-tamuanova-perl             	       1        0        0        0        1
53403 libmath-units-perl                 	       1        0        1        0        0
53404 libmatheval-dev                    	       1        0        1        0        0
53405 libmathic-dev                      	       1        0        1        0        0
53406 libmathic0v5                       	       1        0        0        0        1
53407 libmathicgb-dev                    	       1        0        1        0        0
53408 libmathicgb0                       	       1        0        0        0        1
53409 libmathml-ruby1.9.1                	       1        0        0        0        1
53410 libmatio-doc                       	       1        0        0        0        1
53411 libmaven-antrun-extended-plugin-java	       1        0        0        0        1
53412 libmaven-antrun-plugin-java        	       1        0        0        0        1
53413 libmaven-archiver-java-doc         	       1        0        0        0        1
53414 libmaven-assembly-plugin-java      	       1        0        0        0        1
53415 libmaven-common-artifact-filters-java-doc	       1        0        0        0        1
53416 libmaven-dependency-plugin-java-doc	       1        0        0        0        1
53417 libmaven-dependency-tree-java-doc  	       1        0        0        0        1
53418 libmaven-doxia-tools-java-doc      	       1        0        0        0        1
53419 libmaven-ejb-plugin-java           	       1        0        0        0        1
53420 libmaven-file-management-java-doc  	       1        0        0        0        1
53421 libmaven-invoker-plugin-java       	       1        0        0        0        1
53422 libmaven-jaxb2-plugin-java         	       1        0        0        0        1
53423 libmaven-mapping-java              	       1        0        0        0        1
53424 libmaven-plugin-testing-java       	       1        0        0        0        1
53425 libmaven-processor-plugin-java     	       1        0        0        0        1
53426 libmaven-reporting-impl-java-doc   	       1        0        0        0        1
53427 libmaven-repository-builder-java   	       1        0        0        0        1
53428 libmaven-repository-builder-java-doc	       1        0        0        0        1
53429 libmaven-resources-plugin-java-doc 	       1        0        0        0        1
53430 libmaven-scm-java-doc              	       1        0        0        0        1
53431 libmaven-scm-providers-java        	       1        0        0        0        1
53432 libmaven-script-interpreter-java   	       1        0        0        0        1
53433 libmaven-shade-plugin-java         	       1        0        0        0        1
53434 libmaven-shade-plugin-java-doc     	       1        0        0        0        1
53435 libmaven-shared-incremental-java-doc	       1        0        0        0        1
53436 libmaven-shared-io-java-doc        	       1        0        0        0        1
53437 libmaven-shared-jar-java           	       1        0        0        0        1
53438 libmaven-shared-jar-java-doc       	       1        0        0        0        1
53439 libmaven-site-plugin-java-doc      	       1        0        0        0        1
53440 libmaven-verifier-java             	       1        0        0        0        1
53441 libmaven-verifier-java-doc         	       1        0        0        0        1
53442 libmaven-war-plugin-java           	       1        0        0        0        1
53443 libmaven-war-plugin-java-doc       	       1        0        0        0        1
53444 libmaven2-core-java-doc            	       1        0        0        0        1
53445 libmbedtls-doc                     	       1        0        0        0        1
53446 libmbedtls10                       	       1        0        0        0        1
53447 libmbim-glib0                      	       1        0        0        0        1
53448 libmbus0                           	       1        0        1        0        0
53449 libmcs-utils                       	       1        0        1        0        0
53450 libmd3-1                           	       1        0        0        0        1
53451 libmd4c-dev                        	       1        0        1        0        0
53452 libmd4c-html0                      	       1        0        0        0        1
53453 libmd4c-html0-dev                  	       1        0        0        0        1
53454 libmd4c0-dbgsym                    	       1        0        1        0        0
53455 libmdds-dev                        	       1        0        0        0        1
53456 libmdnsd1                          	       1        0        0        0        1
53457 libmecab-ruby1.9.1                 	       1        0        0        0        1
53458 libmed-dev                         	       1        0        0        0        1
53459 libmed-doc                         	       1        0        0        0        1
53460 libmedc1v5                         	       1        0        0        0        1
53461 libmediaart-1.0-dev                	       1        0        1        0        0
53462 libmediaart-doc                    	       1        0        0        0        1
53463 libmediainfo0                      	       1        0        0        0        1
53464 libmediascanner-2.0-4              	       1        0        0        0        1
53465 libmediastreamer-dev               	       1        0        1        0        0
53466 libmediastreamer0                  	       1        0        0        0        1
53467 libmediastreamer1                  	       1        0        0        0        1
53468 libmediastreamer13                 	       1        0        0        0        1
53469 libmediastreamer13t64              	       1        0        0        0        1
53470 libmedley-clojure                  	       1        0        0        0        1
53471 libmeep-openmpi-dev                	       1        0        0        0        1
53472 libmeep-openmpi23                  	       1        0        0        0        1
53473 libmeep12                          	       1        0        0        0        1
53474 libmeep27                          	       1        0        0        0        1
53475 libmemcache-client-ruby1.9.1       	       1        0        0        0        1
53476 libmemtailor0                      	       1        0        0        0        1
53477 libmenhir-ocaml-dev                	       1        0        1        0        0
53478 libmenu-cache-doc                  	       1        0        0        0        1
53479 libmenu-cache1                     	       1        0        0        0        1
53480 libmercator-0.2-4c2a               	       1        0        0        0        1
53481 libmercator-0.3-3                  	       1        0        0        0        1
53482 libmeshoptimizer-dev               	       1        0        1        0        0
53483 libmessaging-menu-dev              	       1        0        1        0        0
53484 libmessagingmenu12.10-cil          	       1        0        0        0        1
53485 libmeta-perl                       	       1        0        0        0        1
53486 libmethod-signatures-simple-perl   	       1        0        1        0        0
53487 libmetis-edf4.1                    	       1        0        0        0        1
53488 libmetkit-data                     	       1        0        0        0        1
53489 libmetkit0d                        	       1        0        0        0        1
53490 libmetview0d                       	       1        0        0        0        1
53491 libmfsio1                          	       1        0        0        0        1
53492 libmgba                            	       1        0        0        0        1
53493 libmgba-dev                        	       1        0        1        0        0
53494 libmgba0.10t64                     	       1        0        0        0        1
53495 libmgl-fltk7.5.0                   	       1        0        0        0        1
53496 libmgl7.2.0                        	       1        0        0        0        1
53497 libmgl7.5.0                        	       1        0        0        0        1
53498 libmicrohttpd5                     	       1        0        0        0        1
53499 libmigrate-parsetree-ocaml         	       1        0        0        0        1
53500 libmime-explode-perl               	       1        0        0        0        1
53501 libmimelib1-dev                    	       1        0        1        0        0
53502 libmimetic0                        	       1        0        0        0        1
53503 libmimetic0t64                     	       1        0        0        0        1
53504 libmimetic0v5                      	       1        0        0        0        1
53505 libmimic-doc                       	       1        0        0        0        1
53506 libming-dev                        	       1        0        1        0        0
53507 libming-util                       	       1        0        1        0        0
53508 libming0                           	       1        0        0        0        1
53509 libming1                           	       1        0        0        0        1
53510 libmini18n-dev                     	       1        0        1        0        0
53511 libminidjvu-dev                    	       1        0        1        0        0
53512 libminidjvu-mod-settings0          	       1        0        0        0        1
53513 libminidjvu-mod0                   	       1        0        0        0        1
53514 libminify-maven-plugin-java        	       1        0        0        0        1
53515 libminimap0                        	       1        0        0        0        1
53516 libminion-backend-sqlite-perl      	       1        0        1        0        0
53517 libminion-perl                     	       1        0        1        0        0
53518 libmiral3                          	       1        0        0        0        1
53519 libmiral5                          	       1        0        0        0        1
53520 libmirclient9                      	       1        0        0        0        1
53521 libmircommon7                      	       1        0        0        0        1
53522 libmircore1                        	       1        0        0        0        1
53523 libmiroil3                         	       1        0        0        0        1
53524 libmirplatform18                   	       1        0        0        0        1
53525 libmirprotobuf3                    	       1        0        0        0        1
53526 libmirserver53                     	       1        0        0        0        1
53527 libmirserver58                     	       1        0        0        0        1
53528 libmirwayland0                     	       1        0        0        0        1
53529 libmixlib-cli-ruby1.9.1            	       1        0        0        0        1
53530 libmjollnir0                       	       1        0        0        0        1
53531 libmjpegtools-1.9                  	       1        0        0        0        1
53532 libmkdoc-xml-perl                  	       1        1        0        0        0
53533 libmkl-blacs-intelmpi-ilp64        	       1        0        0        0        1
53534 libmkl-blacs-intelmpi-lp64         	       1        0        0        0        1
53535 libmkl-blacs-openmpi-ilp64         	       1        0        0        0        1
53536 libmkl-blacs-openmpi-lp64          	       1        0        0        0        1
53537 libmkl-blacs-sgimpt-ilp64          	       1        0        0        0        1
53538 libmkl-blacs-sgimpt-lp64           	       1        0        0        0        1
53539 libmkl-cdft-core                   	       1        0        0        0        1
53540 libmkl-cluster-dev                 	       1        0        0        0        1
53541 libmkl-meta-cluster                	       1        0        0        0        1
53542 libmkl-scalapack-ilp64             	       1        0        0        0        1
53543 libmkl-scalapack-lp64              	       1        0        0        0        1
53544 libmlpack-dev                      	       1        0        1        0        0
53545 libmlrisctools-smlnj               	       1        0        0        0        1
53546 libmlt-dev                         	       1        0        1        0        0
53547 libmlv3                            	       1        0        0        0        1
53548 libmlv3-dev                        	       1        0        1        0        0
53549 libmm-dev                          	       1        0        1        0        0
53550 libmm-glib-doc                     	       1        0        0        0        1
53551 libmm-ocaml                        	       1        0        1        0        0
53552 libmm-ocaml-dev                    	       1        0        1        0        0
53553 libmmap-ocaml                      	       1        0        1        0        0
53554 libmmdb2-0                         	       1        0        0        0        1
53555 libmmdb2-dev                       	       1        0        1        0        0
53556 libmmpong0.9                       	       1        0        0        0        1
53557 libmmtf-java                       	       1        0        0        0        1
53558 libmobi-dev                        	       1        0        1        0        0
53559 libmockobjects-java-doc            	       1        0        0        0        1
53560 libmodello-maven-plugin-java       	       1        0        0        0        1
53561 libmodem-vgetty-perl               	       1        0        1        0        0
53562 libmodemmanagerqt1                 	       1        0        0        0        1
53563 libmodglue1                        	       1        0        1        0        0
53564 libmodhmm-dev                      	       1        0        0        0        1
53565 libmodhmm0                         	       1        0        0        0        1
53566 libmodplug0c2                      	       1        0        0        0        1
53567 libmodulator-java                  	       1        0        0        0        1
53568 libmodule-cpants-analyse-perl      	       1        0        1        0        0
53569 libmodule-extract-perl             	       1        0        1        0        0
53570 libmodule-extract-use-perl         	       1        0        1        0        0
53571 libmodule-extract-version-perl     	       1        0        1        0        0
53572 libmodule-extractuse-perl          	       1        0        1        0        0
53573 libmodule-inspector-perl           	       1        0        1        0        0
53574 libmodule-install-authorrequires-perl	       1        0        1        0        0
53575 libmodule-install-contributors-perl	       1        0        1        0        0
53576 libmodule-install-readmefrompod-perl	       1        0        1        0        0
53577 libmodule-math-depends-perl        	       1        0        1        0        0
53578 libmodule-path-perl                	       1        0        1        0        0
53579 libmodule-used-perl                	       1        0        1        0        0
53580 libmodule-versions-report-perl     	       1        0        1        0        0
53581 libmodule-want-perl                	       1        0        1        0        0
53582 libmoe1.5                          	       1        0        1        0        0
53583 libmojo-executor-java              	       1        0        0        0        1
53584 libmojo-ioloop-readwriteprocess-perl	       1        0        1        0        0
53585 libmojo-pg-perl                    	       1        0        1        0        0
53586 libmojo-rabbitmq-client-perl       	       1        0        1        0        0
53587 libmojo-sqlite-perl                	       1        0        1        0        0
53588 libmojolicious-plugin-assetpack-perl	       1        0        1        0        0
53589 libmojolicious-plugin-authentication-perl	       1        0        1        0        0
53590 libmojolicious-plugin-authorization-perl	       1        0        1        0        0
53591 libmojolicious-plugin-basicauth-perl	       1        0        1        0        0
53592 libmojolicious-plugin-bcrypt-perl  	       1        0        1        0        0
53593 libmojolicious-plugin-i18n-perl    	       1        0        1        0        0
53594 libmojolicious-plugin-mailexception-perl	       1        0        1        0        0
53595 libmojolicious-plugin-oauth2-perl  	       1        0        1        0        0
53596 libmondorescue-perl                	       1        0        1        0        0
53597 libmongoc-dev                      	       1        0        1        0        0
53598 libmongoclient-dev                 	       1        0        1        0        0
53599 libmongoclient0                    	       1        0        0        0        1
53600 libmongocrypt-dev                  	       1        0        1        0        0
53601 libmongoose3                       	       1        0        0        0        1
53602 libmonkey-patch-action-perl        	       1        0        1        0        0
53603 libmono-bytefx0.7.6.2-cil          	       1        0        1        0        0
53604 libmono-data2.0-cil                	       1        0        1        0        0
53605 libmono-debugger-soft-cil          	       1        0        0        0        1
53606 libmono-debugging-cil              	       1        0        1        0        0
53607 libmono-debugging-soft-cil         	       1        0        0        0        1
53608 libmono-firebirdsql1.7-cil         	       1        0        1        0        0
53609 libmono-getoptions2.0-cil          	       1        0        1        0        0
53610 libmono-microsoft-visualbasic11.0-cil	       1        0        1        0        0
53611 libmono-microsoft-visualbasic8.0-cil	       1        0        1        0        0
53612 libmono0                           	       1        0        0        0        1
53613 libmoon                            	       1        0        0        0        1
53614 libmoose-autobox-perl              	       1        0        1        0        0
53615 libmoosex-abstractmethod-perl      	       1        0        1        0        0
53616 libmoosex-async-perl               	       1        0        1        0        0
53617 libmoosex-attribute-chained-perl   	       1        0        1        0        0
53618 libmoosex-attributehelpers-perl    	       1        0        1        0        0
53619 libmoosex-attributeshortcuts-perl  	       1        0        1        0        0
53620 libmoosex-blessed-reconstruct-perl 	       1        0        1        0        0
53621 libmoosex-clone-perl               	       1        0        1        0        0
53622 libmoosex-compiletime-traits-perl  	       1        0        1        0        0
53623 libmoosex-daemonize-perl           	       1        0        1        0        0
53624 libmoosex-declare-perl             	       1        0        1        0        0
53625 libmoosex-has-options-perl         	       1        0        1        0        0
53626 libmoosex-hasdefaults-perl         	       1        0        1        0        0
53627 libmoosex-log-log4perl-perl        	       1        0        1        0        0
53628 libmoosex-meta-typeconstraint-mooish-perl	       1        0        1        0        0
53629 libmoosex-multiinitarg-perl        	       1        0        1        0        0
53630 libmoosex-oneargnew-perl           	       1        0        1        0        0
53631 libmoosex-params-validate-perl     	       1        0        1        0        0
53632 libmoosex-setonce-perl             	       1        0        1        0        0
53633 libmoosex-singlearg-perl           	       1        0        1        0        0
53634 libmoosex-storage-perl             	       1        0        1        0        0
53635 libmoosex-traitfor-meta-class-betteranonclassnames-perl	       1        0        1        0        0
53636 libmoosex-types-datetime-morecoercions-perl	       1        0        1        0        0
53637 libmoosex-types-json-perl          	       1        0        1        0        0
53638 libmoosex-types-netaddr-ip-perl    	       1        0        1        0        0
53639 libmoosex-types-perl-perl          	       1        0        1        0        0
53640 libmoosex-types-set-object-perl    	       1        0        1        0        0
53641 libmoosex-types-varianttable-perl  	       1        0        1        0        0
53642 libmoosex-util-perl                	       1        0        1        0        0
53643 libmoosex-yaml-perl                	       1        0        1        0        0
53644 libmoox-log-any-perl               	       1        0        1        0        0
53645 libmoox-role-logger-perl           	       1        0        1        0        0
53646 libmoox-singleton-perl             	       1        0        1        0        0
53647 libmoox-types-setobject-perl       	       1        0        1        0        0
53648 libmorfologik-stemming2-java       	       1        0        0        0        1
53649 libmorph-dev                       	       1        0        1        0        0
53650 libmotif4                          	       1        0        0        0        1
53651 libmount1-dbgsym                   	       1        0        1        0        0
53652 libmousex-configfromfile-perl      	       1        0        1        0        0
53653 libmousex-getopt-perl              	       1        0        1        0        0
53654 libmousex-types-path-class-perl    	       1        0        1        0        0
53655 libmousex-types-perl               	       1        0        1        0        0
53656 libmove-base-msgs-dev              	       1        0        1        0        0
53657 libmovit-dev                       	       1        0        1        0        0
53658 libmowgli1                         	       1        0        0        0        1
53659 libmozilla-ca-perl                 	       1        0        1        0        0
53660 libmozjpeg-dev                     	       1        0        1        0        0
53661 libmozjpeg8                        	       1        0        0        0        1
53662 libmozjs-115-0                     	       1        0        0        0        1
53663 libmozjs-128-dev                   	       1        0        0        1        0
53664 libmozjs-60-dev                    	       1        0        1        0        0
53665 libmozjs-91-0                      	       1        0        0        0        1
53666 libmozjs-dev                       	       1        0        1        0        0
53667 libmozjs0d                         	       1        0        0        0        1
53668 libmozjs185-1.0-dbgsym             	       1        0        1        0        0
53669 libmozjs2d                         	       1        0        0        0        1
53670 libmozldap-0d                      	       1        0        0        0        1
53671 libmp3spi-java                     	       1        0        0        0        1
53672 libmp3splt-dev                     	       1        0        1        0        0
53673 libmp3tag-ruby1.9.1                	       1        0        0        0        1
53674 libmpd-dev                         	       1        0        1        0        0
53675 libmpeg3-1                         	       1        0        0        0        1
53676 libmpeg3hv-1.5.0-1                 	       1        0        0        0        1
53677 libmpich1.0-dev                    	       1        0        1        0        0
53678 libmpj-java                        	       1        0        1        0        0
53679 libmps3                            	       1        0        0        0        1
53680 libmpx2-dbg                        	       1        0        1        0        0
53681 libmqtt-client-java                	       1        0        0        0        1
53682 libmrss0-dev                       	       1        0        1        0        0
53683 libmsgpack-java                    	       1        0        0        0        1
53684 libmsgpack-ruby1.9.1               	       1        0        0        0        1
53685 libmsgpack3                        	       1        0        0        0        1
53686 libmsgraph-dev                     	       1        0        0        1        0
53687 libmsgraph-doc                     	       1        0        0        0        1
53688 libmsiecf-utils                    	       1        0        1        0        0
53689 libmsoffice-word-surgeon-perl      	       1        0        1        0        0
53690 libmsoffice-word-template-perl     	       1        0        1        0        0
53691 libmspub-0.0-0                     	       1        0        0        0        1
53692 libmsv1                            	       1        0        0        0        1
53693 libmt32emu                         	       1        0        0        0        1
53694 libmtbl-dev                        	       1        0        1        0        0
53695 libmtbl1                           	       1        0        0        0        1
53696 libmtj-java                        	       1        0        0        0        1
53697 libmtp7                            	       1        0        0        0        1
53698 libmu-dbm9t64                      	       1        0        0        0        1
53699 libmuffin-dev                      	       1        0        1        0        0
53700 libmultibitnums-ruby1.9.1          	       1        0        0        0        1
53701 libmumps-4.10.0                    	       1        0        0        0        1
53702 libmumps-5.3.3                     	       1        0        0        0        1
53703 libmumps-5.6t64                    	       1        0        0        0        1
53704 libmumps-scotch-5.1.2              	       1        0        0        0        1
53705 libmumps-seq-5.3                   	       1        0        0        0        1
53706 libmumps-seq-5.6                   	       1        0        0        0        1
53707 libmumps-seq-dev                   	       1        0        0        0        1
53708 libmunge-dev                       	       1        1        0        0        0
53709 libmunge-maven-plugin-java         	       1        0        0        0        1
53710 libmuparser2                       	       1        0        0        0        1
53711 libmupen64plus-dev                 	       1        0        1        0        0
53712 libmuroar0                         	       1        0        0        0        1
53713 libmuscle1                         	       1        0        0        0        1
53714 libmusic1v5                        	       1        0        0        0        1
53715 libmusicbrainz                     	       1        0        1        0        0
53716 libmustache-d-dev                  	       1        0        0        0        1
53717 libmustache-d0                     	       1        0        0        0        1
53718 libmutter-10-0                     	       1        0        0        0        1
53719 libmutter-9-0                      	       1        0        0        0        1
53720 libmwaw-0.2-2                      	       1        0        0        0        1
53721 libmwaw-dev                        	       1        0        0        0        1
53722 libmx-1.0-2                        	       1        0        0        0        1
53723 libmygui.ogreplatform0debian1v5-dbgsym	       1        0        1        0        0
53724 libmygui.opengl3platform0debian1v5-dbgsym	       1        0        1        0        0
53725 libmygui.openglplatform0debian1v5-dbgsym	       1        0        1        0        0
53726 libmyguiengine3debian1t64          	       1        0        0        0        1
53727 libmyguiengine3debian1v5-dbgsym    	       1        0        1        0        0
53728 libmypaint-dev                     	       1        0        1        0        0
53729 libmyspell3c2                      	       1        0        0        0        1
53730 libmysql++-doc                     	       1        0        1        0        0
53731 libmysql++3                        	       1        0        0        0        1
53732 libmysql-ocaml                     	       1        0        1        0        0
53733 libmysql-ocaml-dev                 	       1        0        1        0        0
53734 libmysql-ruby1.9.1                 	       1        0        0        0        1
53735 libmysqlcppconn7                   	       1        0        0        0        1
53736 libmysqlcppconn7t64                	       1        0        0        0        1
53737 libmyth-34                         	       1        0        1        0        0
53738 libmythavcodec58                   	       1        0        0        0        1
53739 libmythavdevice58                  	       1        0        0        0        1
53740 libmythavfilter7                   	       1        0        0        0        1
53741 libmythavformat58                  	       1        0        0        0        1
53742 libmythavutil52                    	       1        0        0        0        1
53743 libmythavutil56                    	       1        0        0        0        1
53744 libmythes-dev                      	       1        0        0        0        1
53745 libmythpostproc52                  	       1        0        0        0        1
53746 libmythpostproc55                  	       1        0        0        0        1
53747 libmythswresample0                 	       1        0        0        0        1
53748 libmythswresample3                 	       1        0        0        0        1
53749 libmythswscale2                    	       1        0        0        0        1
53750 libmythswscale5                    	       1        0        0        0        1
53751 libmythzmq1                        	       1        0        0        0        1
53752 libn32atomic1-mipsel-cross         	       1        0        0        0        1
53753 libn32gcc-12-dev-mips-cross        	       1        0        0        0        1
53754 libn32gcc-12-dev-mipsel-cross      	       1        0        0        0        1
53755 libn32gcc-s1-mips-cross            	       1        0        0        0        1
53756 libn32gcc-s1-mipsel-cross          	       1        0        0        0        1
53757 libn32gomp1-mipsel-cross           	       1        0        0        0        1
53758 libn32stdc++-12-dev-mips-cross     	       1        0        0        0        1
53759 libn32stdc++-12-dev-mipsel-cross   	       1        0        0        0        1
53760 libn32stdc++6-mips-cross           	       1        0        0        0        1
53761 libn32stdc++6-mipsel-cross         	       1        0        0        0        1
53762 libnabrit-dev                      	       1        0        1        0        0
53763 libnacore-dev                      	       1        0        1        0        0
53764 libnanopb-dev                      	       1        0        1        0        0
53765 libnarray-miss-ruby1.9.1           	       1        0        0        0        1
53766 libnarray-ruby1.9.1                	       1        0        0        0        1
53767 libnatspec-dev                     	       1        0        1        0        0
53768 libnautilus-burn3                  	       1        0        0        0        1
53769 libnautilus-burn4                  	       1        0        0        0        1
53770 libnav-msgs-dev                    	       1        0        1        0        0
53771 libnb-apisupport3-java             	       1        0        0        0        1
53772 libnb-ide14-java                   	       1        0        1        0        0
53773 libnb-java5-java                   	       1        0        1        0        0
53774 libnb-platform13-java              	       1        0        0        0        1
53775 libnbd-dev                         	       1        0        1        0        0
53776 libncbi-wvdb2                      	       1        0        0        0        1
53777 libncl2                            	       1        0        0        0        1
53778 libncurses-ruby1.9                 	       1        0        0        0        1
53779 libncurses-ruby1.9.1               	       1        0        0        0        1
53780 libncursesada11-dev                	       1        0        1        0        0
53781 libncursesada9-dev                 	       1        0        1        0        0
53782 libndesk-dbus-glib1.0-cil          	       1        0        1        0        0
53783 libndesk-dbus1.0-cil               	       1        0        1        0        0
53784 libndi4                            	       1        0        1        0        0
53785 libndpi-bin                        	       1        0        1        0        0
53786 libndpi-wireshark                  	       1        0        0        0        1
53787 libndpi1a                          	       1        0        0        0        1
53788 libndpi4.2                         	       1        0        0        0        1
53789 libnel0                            	       1        0        0        0        1
53790 libnemesis3                        	       1        0        0        0        1
53791 libnessus2                         	       1        0        0        0        1
53792 libnet-address-ip-local-perl       	       1        0        1        0        0
53793 libnet-amazon-s3-perl              	       1        0        1        0        0
53794 libnet-amazon-signature-v4-perl    	       1        0        1        0        0
53795 libnet-arp-perl                    	       1        0        0        0        1
53796 libnet-async-fastcgi-perl          	       1        0        1        0        0
53797 libnet-bonjour-perl                	       1        0        1        0        0
53798 libnet-cli-interact-perl           	       1        0        1        0        0
53799 libnet-dhcp-perl                   	       1        0        1        0        0
53800 libnet-dns-async-perl              	       1        0        1        0        0
53801 libnet-dns-resolver-mock-perl      	       1        0        1        0        0
53802 libnet-fastcgi-perl                	       1        0        1        0        0
53803 libnet-gpsd3-perl                  	       1        0        1        0        0
53804 libnet-gpsd3-poe-perl              	       1        0        1        0        0
53805 libnet-httpserver-perl             	       1        0        1        0        0
53806 libnet-imap-perl                   	       1        0        1        0        0
53807 libnet-interface-perl              	       1        0        0        0        1
53808 libnet-ip-minimal-perl             	       1        0        1        0        0
53809 libnet-mac-vendor-perl             	       1        0        1        0        0
53810 libnet-managesieve-perl            	       1        1        0        0        0
53811 libnet-ntp-perl                    	       1        0        1        0        0
53812 libnet-openid-server-perl          	       1        0        1        0        0
53813 libnet-ph-perl                     	       1        0        1        0        0
53814 libnet-ping-external-perl          	       1        0        1        0        0
53815 libnet-proxy-perl                  	       1        0        1        0        0
53816 libnet-radius-perl                 	       1        0        1        0        0
53817 libnet-scp-perl                    	       1        0        1        0        0
53818 libnet-scp-ruby1.9.1               	       1        0        0        0        1
53819 libnet-sftp-sftpserver-perl        	       1        0        1        0        0
53820 libnet-sftp2-ruby1.9.1             	       1        0        0        0        1
53821 libnet-snpp-perl                   	       1        0        1        0        0
53822 libnet-ssh-authorizedkeysfile-perl 	       1        0        1        0        0
53823 libnet-ssh-gateway-ruby1.9.1       	       1        0        0        0        1
53824 libnet-ssh-multi-ruby1.9.1         	       1        0        0        0        1
53825 libnet-ssh2-ruby1.9.1              	       1        0        0        0        1
53826 libnet-subnet-perl                 	       1        0        1        0        0
53827 libnet-twitter-perl                	       1        0        1        0        0
53828 libnet-z3950-simple2zoom-perl      	       1        0        1        0        0
53829 libnet0                            	       1        0        0        0        1
53830 libnet1-doc                        	       1        0        1        0        0
53831 libnetaid1                         	       1        0        1        0        0
53832 libnetcdf-c++4-doc                 	       1        0        0        0        1
53833 libnetcdf-mpi-18                   	       1        0        0        0        1
53834 libnetcdf15                        	       1        0        0        0        1
53835 libnetcdf3                         	       1        0        0        0        1
53836 libnetcdff5                        	       1        0        0        0        1
53837 libnetclasses0                     	       1        0        1        0        0
53838 libnetfilter-acct-dev              	       1        0        1        0        0
53839 libnetfilter-conntrack-doc         	       1        0        0        0        1
53840 libnetfilter-cthelper-doc          	       1        0        0        0        1
53841 libnetfilter-cttimeout-doc         	       1        0        0        0        1
53842 libnetfilter-log-doc               	       1        0        0        0        1
53843 libnethttpd-ocaml-dev              	       1        0        1        0        0
53844 libnetlib-java                     	       1        0        0        0        1
53845 libnetpbm9                         	       1        0        0        0        1
53846 libnetpbm9-dev                     	       1        0        1        0        0
53847 libnetsnmptrapd40t64               	       1        0        0        0        1
53848 libnettle3                         	       1        0        0        0        1
53849 libnettle7                         	       1        0        1        0        0
53850 libnetworkmanagerqt1               	       1        0        0        0        1
53851 libnewlib-ia16-elf                 	       1        0        1        0        0
53852 libnewlib-nano-arm-none-eabi       	       1        0        0        0        1
53853 libnewt-pic                        	       1        0        0        0        1
53854 libnewtonsoft-json4.5-cil          	       1        0        1        0        0
53855 libnextaw0                         	       1        0        0        0        1
53856 libnexus1                          	       1        0        0        0        1
53857 libnfft3-dev                       	       1        0        1        0        0
53858 libnfft3-long2                     	       1        0        0        0        1
53859 libnfft3-single2                   	       1        0        0        0        1
53860 libnfqueue-perl                    	       1        0        0        0        1
53861 libnfs0                            	       1        0        0        0        1
53862 libnfs1                            	       1        0        0        0        1
53863 libnfs11                           	       1        0        0        0        1
53864 libnftnl-dev-doc                   	       1        0        0        0        1
53865 libnghttp2-doc                     	       1        0        0        0        1
53866 libnginx-mod-rtmp                  	       1        1        0        0        0
53867 libnginx-mod-stream-js             	       1        1        0        0        0
53868 libnglib-6.2t64                    	       1        0        0        0        1
53869 libngs-sdk1                        	       1        0        0        0        1
53870 libngtcp2-9                        	       1        0        0        0        1
53871 libnice-doc                        	       1        0        0        0        1
53872 libnice0                           	       1        0        0        0        1
53873 libniftiio2t64                     	       1        0        0        0        1
53874 libnini1.1-cil                     	       1        0        1        0        0
53875 libnitrokey-dev                    	       1        0        1        0        0
53876 libnkf-perl                        	       1        0        0        0        1
53877 libnl-xfrm-3-200                   	       1        0        0        0        1
53878 libnl-xfrm-3-dev                   	       1        0        0        0        1
53879 libnl2                             	       1        0        0        0        1
53880 libnm-glib2                        	       1        0        0        0        1
53881 libnm-util1                        	       1        0        0        0        1
53882 libnma-headers                     	       1        0        1        0        0
53883 libnng-dev                         	       1        0        1        0        0
53884 libnode83                          	       1        0        0        0        1
53885 libnodelet-dev                     	       1        0        1        0        0
53886 libnodelet-topic-tools-dev         	       1        0        1        0        0
53887 libnodeletlib-dev                  	       1        0        1        0        0
53888 libnodeletlib-tools                	       1        0        1        0        0
53889 libnokogiri-ruby1.9                	       1        0        0        0        1
53890 libnokogiri-ruby1.9.1              	       1        0        0        0        1
53891 libnomacscore3                     	       1        0        0        0        1
53892 libnorm-doc                        	       1        0        1        0        0
53893 libnormaliz-dev                    	       1        0        0        0        1
53894 libnormaliz-dev-common             	       1        0        1        0        0
53895 libnotify-cil-dev                  	       1        0        1        0        0
53896 libnpf-dev                         	       1        0        1        0        0
53897 libnpf1                            	       1        0        0        0        1
53898 libnpgsql2.2-cil                   	       1        0        1        0        0
53899 libnpp-11-7                        	       1        0        0        0        1
53900 libnpp-dev-11-7                    	       1        0        1        0        0
53901 libnppc8.0                         	       1        0        0        0        1
53902 libnppc9.2                         	       1        0        0        0        1
53903 libnppi8.0                         	       1        0        0        0        1
53904 libnppial8.0                       	       1        0        0        0        1
53905 libnppial9.2                       	       1        0        0        0        1
53906 libnppicc8.0                       	       1        0        0        0        1
53907 libnppicc9.2                       	       1        0        0        0        1
53908 libnppicom8.0                      	       1        0        0        0        1
53909 libnppicom9.2                      	       1        0        0        0        1
53910 libnppidei8.0                      	       1        0        0        0        1
53911 libnppidei9.2                      	       1        0        0        0        1
53912 libnppif8.0                        	       1        0        0        0        1
53913 libnppif9.2                        	       1        0        0        0        1
53914 libnppig8.0                        	       1        0        0        0        1
53915 libnppig9.2                        	       1        0        0        0        1
53916 libnppim8.0                        	       1        0        0        0        1
53917 libnppim9.2                        	       1        0        0        0        1
53918 libnppist8.0                       	       1        0        0        0        1
53919 libnppist9.2                       	       1        0        0        0        1
53920 libnppisu8.0                       	       1        0        0        0        1
53921 libnppisu9.2                       	       1        0        0        0        1
53922 libnppitc8.0                       	       1        0        0        0        1
53923 libnppitc9.2                       	       1        0        0        0        1
53924 libnpps8.0                         	       1        0        0        0        1
53925 libnpps9.2                         	       1        0        0        0        1
53926 libnpth-mingw-w64-dev              	       1        0        1        0        0
53927 libnpth0-dbgsym                    	       1        0        1        0        0
53928 libnpupnp1                         	       1        0        0        0        1
53929 libnpupnp13t64                     	       1        0        0        0        1
53930 libnpupnp4                         	       1        0        0        0        1
53931 libnss-extrausers                  	       1        0        1        0        0
53932 libnss-kanidm                      	       1        0        0        0        1
53933 libntfs-3g-dev                     	       1        0        1        0        0
53934 libntfs-3g0                        	       1        0        0        0        1
53935 libntfs-3g31                       	       1        0        0        0        1
53936 libntfs-3g872                      	       1        0        0        0        1
53937 libntfs-3g881                      	       1        0        0        0        1
53938 libntfs-dev                        	       1        0        1        0        0
53939 libntfs9                           	       1        0        0        0        1
53940 libntirpc-dev                      	       1        0        1        0        0
53941 libntirpc4.3                       	       1        0        0        0        1
53942 libnucleotidelikelihoodcore0       	       1        0        0        0        1
53943 libnum-ocaml                       	       1        0        1        0        0
53944 libnumber-fraction-perl            	       1        0        1        0        0
53945 libnumbertext-dev                  	       1        0        1        0        0
53946 libnumbertext-java                 	       1        0        0        0        1
53947 libnumbertext-tools                	       1        0        1        0        0
53948 libnunit-doc                       	       1        0        1        0        0
53949 libnunit2.4-cil                    	       1        0        1        0        0
53950 libnunit2.6-cil                    	       1        0        1        0        0
53951 libnv-dev                          	       1        0        1        0        0
53952 libnv1                             	       1        0        0        0        1
53953 libnvblas6.0                       	       1        0        0        0        1
53954 libnvblas8.0                       	       1        0        0        0        1
53955 libnvblas9.2                       	       1        0        0        0        1
53956 libnvgraph8.0                      	       1        0        0        0        1
53957 libnvgraph9.2                      	       1        0        0        0        1
53958 libnvidia-egl-wayland1-dbgsym      	       1        0        1        0        0
53959 libnvidia-egl-xlib1                	       1        0        0        0        1
53960 libnvidia-gpucomp1                 	       1        1        0        0        0
53961 libnvidia-legacy-304xx-glcore      	       1        0        0        0        1
53962 libnvidia-legacy-340xx-cuda1-i386  	       1        0        0        0        1
53963 libnvidia-legacy-390xx-compiler    	       1        0        0        0        1
53964 libnvidia-tesla-450-compiler       	       1        0        0        0        1
53965 libnvidia-tesla-glcore             	       1        0        0        0        1
53966 libnvidia-tesla-glvkspirv          	       1        0        0        0        1
53967 libnvidia-tesla-ml1                	       1        0        0        0        1
53968 libnvidia-wayland-client           	       1        0        0        0        1
53969 libnvjpeg-11-7                     	       1        0        0        0        1
53970 libnvjpeg-dev-11-7                 	       1        0        1        0        0
53971 libnvpair1                         	       1        0        0        0        1
53972 libnvrtc11.1                       	       1        0        0        0        1
53973 libnvrtc8.0                        	       1        0        0        0        1
53974 libnvrtc9.2                        	       1        0        0        0        1
53975 libnvtt-bin                        	       1        0        1        0        0
53976 libnvvm2                           	       1        0        0        0        1
53977 libnx-x11-dev                      	       1        0        1        0        0
53978 libnx-xcomposite1                  	       1        0        0        0        1
53979 libnx-xdamage1                     	       1        0        0        0        1
53980 libnx-xdmcp6                       	       1        0        0        0        1
53981 libnx-xext6                        	       1        0        0        0        1
53982 libnx-xfixes3                      	       1        0        0        0        1
53983 libnx-xinerama1                    	       1        0        0        0        1
53984 libnx-xpm4                         	       1        0        0        0        1
53985 libnx-xrandr2                      	       1        0        0        0        1
53986 libnx-xrender1                     	       1        0        0        0        1
53987 libnx-xtst6                        	       1        0        0        0        1
53988 libnxcl-dev                        	       1        0        1        0        0
53989 libnxml0-dev                       	       1        0        1        0        0
53990 libo2                              	       1        0        0        0        1
53991 libo3dgc-dev                       	       1        0        1        0        0
53992 liboar-perl                        	       1        1        0        0        0
53993 liboasis-ocaml                     	       1        0        1        0        0
53994 liboasis-ocaml-dev                 	       1        0        1        0        0
53995 liboasis-ocaml-doc                 	       1        0        0        0        1
53996 liboasis3-0d                       	       1        0        0        0        1
53997 liboasis3-dev                      	       1        0        0        0        1
53998 liboath-dev                        	       1        0        1        0        0
53999 liboauth-ruby1.9.1                 	       1        0        0        0        1
54000 libobasis24.2-de                   	       1        0        0        0        1
54001 libobasis24.2-en-us-help           	       1        0        0        0        1
54002 libobasis24.2-fr                   	       1        0        0        0        1
54003 libobasis24.8-el                   	       1        0        0        0        1
54004 libobasis24.8-el-help              	       1        0        0        0        1
54005 libobasis24.8-en-us-help           	       1        0        0        0        1
54006 libobasis4.3-base                  	       1        0        0        0        1
54007 libobasis4.3-calc                  	       1        0        0        0        1
54008 libobasis4.3-core01                	       1        0        0        0        1
54009 libobasis4.3-core02                	       1        0        0        0        1
54010 libobasis4.3-core03                	       1        0        0        0        1
54011 libobasis4.3-core04                	       1        0        0        0        1
54012 libobasis4.3-core05                	       1        0        0        0        1
54013 libobasis4.3-core06                	       1        0        0        0        1
54014 libobasis4.3-core07                	       1        0        0        0        1
54015 libobasis4.3-draw                  	       1        0        0        0        1
54016 libobasis4.3-en-us                 	       1        0        0        0        1
54017 libobasis4.3-en-us-base            	       1        0        0        0        1
54018 libobasis4.3-en-us-calc            	       1        0        0        0        1
54019 libobasis4.3-en-us-help            	       1        0        0        0        1
54020 libobasis4.3-en-us-math            	       1        0        0        0        1
54021 libobasis4.3-en-us-res             	       1        0        0        0        1
54022 libobasis4.3-en-us-writer          	       1        0        0        0        1
54023 libobasis4.3-extension-beanshell-script-provider	       1        0        0        0        1
54024 libobasis4.3-extension-javascript-script-provider	       1        0        0        0        1
54025 libobasis4.3-extension-mediawiki-publisher	       1        0        0        0        1
54026 libobasis4.3-extension-nlpsolver   	       1        0        0        0        1
54027 libobasis4.3-extension-pdf-import  	       1        0        0        0        1
54028 libobasis4.3-extension-report-builder	       1        0        0        0        1
54029 libobasis4.3-filter-data           	       1        0        0        0        1
54030 libobasis4.3-gnome-integration     	       1        0        0        0        1
54031 libobasis4.3-graphicfilter         	       1        0        0        0        1
54032 libobasis4.3-images                	       1        0        0        0        1
54033 libobasis4.3-impress               	       1        0        0        0        1
54034 libobasis4.3-kde-integration       	       1        0        0        0        1
54035 libobasis4.3-librelogo             	       1        0        0        0        1
54036 libobasis4.3-math                  	       1        0        0        0        1
54037 libobasis4.3-ogltrans              	       1        0        0        0        1
54038 libobasis4.3-onlineupdate          	       1        0        0        0        1
54039 libobasis4.3-ooofonts              	       1        0        0        0        1
54040 libobasis4.3-ooolinguistic         	       1        0        0        0        1
54041 libobasis4.3-postgresql-sdbc       	       1        0        0        0        1
54042 libobasis4.3-python-script-provider	       1        0        0        0        1
54043 libobasis4.3-pyuno                 	       1        0        0        0        1
54044 libobasis4.3-writer                	       1        0        0        0        1
54045 libobasis4.3-xsltfilter            	       1        0        0        0        1
54046 libobasis5.0-base                  	       1        0        0        0        1
54047 libobasis5.0-calc                  	       1        0        0        0        1
54048 libobasis5.0-core                  	       1        0        0        0        1
54049 libobasis5.0-draw                  	       1        0        0        0        1
54050 libobasis5.0-en-us                 	       1        0        0        0        1
54051 libobasis5.0-en-us-base            	       1        0        0        0        1
54052 libobasis5.0-en-us-calc            	       1        0        0        0        1
54053 libobasis5.0-en-us-help            	       1        0        0        0        1
54054 libobasis5.0-en-us-math            	       1        0        0        0        1
54055 libobasis5.0-en-us-res             	       1        0        0        0        1
54056 libobasis5.0-en-us-writer          	       1        0        0        0        1
54057 libobasis5.0-extension-beanshell-script-provider	       1        0        0        0        1
54058 libobasis5.0-extension-javascript-script-provider	       1        0        0        0        1
54059 libobasis5.0-extension-mediawiki-publisher	       1        0        0        0        1
54060 libobasis5.0-extension-nlpsolver   	       1        0        0        0        1
54061 libobasis5.0-extension-pdf-import  	       1        0        0        0        1
54062 libobasis5.0-extension-report-builder	       1        0        0        0        1
54063 libobasis5.0-filter-data           	       1        0        0        0        1
54064 libobasis5.0-gnome-integration     	       1        0        0        0        1
54065 libobasis5.0-graphicfilter         	       1        0        0        0        1
54066 libobasis5.0-images                	       1        0        0        0        1
54067 libobasis5.0-impress               	       1        0        0        0        1
54068 libobasis5.0-kde-integration       	       1        0        0        0        1
54069 libobasis5.0-librelogo             	       1        0        0        0        1
54070 libobasis5.0-math                  	       1        0        0        0        1
54071 libobasis5.0-ogltrans              	       1        0        0        0        1
54072 libobasis5.0-onlineupdate          	       1        0        0        0        1
54073 libobasis5.0-ooofonts              	       1        0        0        0        1
54074 libobasis5.0-ooolinguistic         	       1        0        0        0        1
54075 libobasis5.0-postgresql-sdbc       	       1        0        0        0        1
54076 libobasis5.0-python-script-provider	       1        0        0        0        1
54077 libobasis5.0-pyuno                 	       1        0        0        0        1
54078 libobasis5.0-writer                	       1        0        0        0        1
54079 libobasis5.0-xsltfilter            	       1        0        0        0        1
54080 libobasis5.1-base                  	       1        0        0        0        1
54081 libobasis5.1-calc                  	       1        0        0        0        1
54082 libobasis5.1-core                  	       1        0        0        0        1
54083 libobasis5.1-draw                  	       1        0        0        0        1
54084 libobasis5.1-en-us                 	       1        0        0        0        1
54085 libobasis5.1-en-us-base            	       1        0        0        0        1
54086 libobasis5.1-en-us-calc            	       1        0        0        0        1
54087 libobasis5.1-en-us-help            	       1        0        0        0        1
54088 libobasis5.1-en-us-math            	       1        0        0        0        1
54089 libobasis5.1-en-us-res             	       1        0        0        0        1
54090 libobasis5.1-en-us-writer          	       1        0        0        0        1
54091 libobasis5.1-extension-beanshell-script-provider	       1        0        0        0        1
54092 libobasis5.1-extension-javascript-script-provider	       1        0        0        0        1
54093 libobasis5.1-extension-mediawiki-publisher	       1        0        0        0        1
54094 libobasis5.1-extension-nlpsolver   	       1        0        0        0        1
54095 libobasis5.1-extension-pdf-import  	       1        0        0        0        1
54096 libobasis5.1-extension-report-builder	       1        0        0        0        1
54097 libobasis5.1-filter-data           	       1        0        0        0        1
54098 libobasis5.1-gnome-integration     	       1        0        0        0        1
54099 libobasis5.1-graphicfilter         	       1        0        0        0        1
54100 libobasis5.1-images                	       1        0        0        0        1
54101 libobasis5.1-impress               	       1        0        0        0        1
54102 libobasis5.1-kde-integration       	       1        0        0        0        1
54103 libobasis5.1-librelogo             	       1        0        0        0        1
54104 libobasis5.1-math                  	       1        0        0        0        1
54105 libobasis5.1-ogltrans              	       1        0        0        0        1
54106 libobasis5.1-onlineupdate          	       1        0        0        0        1
54107 libobasis5.1-ooofonts              	       1        0        0        0        1
54108 libobasis5.1-ooolinguistic         	       1        0        0        0        1
54109 libobasis5.1-postgresql-sdbc       	       1        0        0        0        1
54110 libobasis5.1-python-script-provider	       1        0        0        0        1
54111 libobasis5.1-pyuno                 	       1        0        0        0        1
54112 libobasis5.1-writer                	       1        0        0        0        1
54113 libobasis5.1-xsltfilter            	       1        0        0        0        1
54114 libobasis5.2-base                  	       1        0        0        0        1
54115 libobasis5.2-calc                  	       1        0        0        0        1
54116 libobasis5.2-core                  	       1        0        0        0        1
54117 libobasis5.2-draw                  	       1        0        0        0        1
54118 libobasis5.2-en-us                 	       1        0        0        0        1
54119 libobasis5.2-en-us-base            	       1        0        0        0        1
54120 libobasis5.2-en-us-calc            	       1        0        0        0        1
54121 libobasis5.2-en-us-help            	       1        0        0        0        1
54122 libobasis5.2-en-us-math            	       1        0        0        0        1
54123 libobasis5.2-en-us-res             	       1        0        0        0        1
54124 libobasis5.2-en-us-writer          	       1        0        0        0        1
54125 libobasis5.2-extension-beanshell-script-provider	       1        0        0        0        1
54126 libobasis5.2-extension-javascript-script-provider	       1        0        0        0        1
54127 libobasis5.2-extension-mediawiki-publisher	       1        0        0        0        1
54128 libobasis5.2-extension-nlpsolver   	       1        0        0        0        1
54129 libobasis5.2-extension-pdf-import  	       1        0        0        0        1
54130 libobasis5.2-extension-report-builder	       1        0        0        0        1
54131 libobasis5.2-filter-data           	       1        0        0        0        1
54132 libobasis5.2-gnome-integration     	       1        0        0        0        1
54133 libobasis5.2-graphicfilter         	       1        0        0        0        1
54134 libobasis5.2-images                	       1        0        0        0        1
54135 libobasis5.2-impress               	       1        0        0        0        1
54136 libobasis5.2-kde-integration       	       1        0        0        0        1
54137 libobasis5.2-librelogo             	       1        0        0        0        1
54138 libobasis5.2-math                  	       1        0        0        0        1
54139 libobasis5.2-ogltrans              	       1        0        0        0        1
54140 libobasis5.2-onlineupdate          	       1        0        0        0        1
54141 libobasis5.2-ooofonts              	       1        0        0        0        1
54142 libobasis5.2-ooolinguistic         	       1        0        0        0        1
54143 libobasis5.2-postgresql-sdbc       	       1        0        0        0        1
54144 libobasis5.2-python-script-provider	       1        0        0        0        1
54145 libobasis5.2-pyuno                 	       1        0        0        0        1
54146 libobasis5.2-writer                	       1        0        0        0        1
54147 libobasis5.2-xsltfilter            	       1        0        0        0        1
54148 libobasis6.0-en-us-help            	       1        0        0        0        1
54149 libobasis6.2-en-us-help            	       1        0        0        0        1
54150 libobasis6.4-en-us-help            	       1        0        0        0        1
54151 libobasis6.4-fr                    	       1        0        0        0        1
54152 libobasis6.4-ru                    	       1        0        0        0        1
54153 libobasis6.4-ru-help               	       1        0        0        0        1
54154 libobasis7.0-ooofonts              	       1        0        0        0        1
54155 libobasis7.2-base                  	       1        0        0        0        1
54156 libobasis7.2-calc                  	       1        0        0        0        1
54157 libobasis7.2-core                  	       1        0        0        0        1
54158 libobasis7.2-draw                  	       1        0        0        0        1
54159 libobasis7.2-en-us                 	       1        0        0        0        1
54160 libobasis7.2-extension-beanshell-script-provider	       1        0        0        0        1
54161 libobasis7.2-extension-javascript-script-provider	       1        0        0        0        1
54162 libobasis7.2-extension-mediawiki-publisher	       1        0        0        0        1
54163 libobasis7.2-extension-nlpsolver   	       1        0        0        0        1
54164 libobasis7.2-extension-pdf-import  	       1        0        0        0        1
54165 libobasis7.2-extension-report-builder	       1        0        0        0        1
54166 libobasis7.2-firebird              	       1        0        0        0        1
54167 libobasis7.2-gnome-integration     	       1        0        0        0        1
54168 libobasis7.2-graphicfilter         	       1        0        0        0        1
54169 libobasis7.2-images                	       1        0        0        0        1
54170 libobasis7.2-impress               	       1        0        0        0        1
54171 libobasis7.2-kde-integration       	       1        0        0        0        1
54172 libobasis7.2-librelogo             	       1        0        0        0        1
54173 libobasis7.2-libreofficekit-data   	       1        0        0        0        1
54174 libobasis7.2-math                  	       1        0        0        0        1
54175 libobasis7.2-ogltrans              	       1        0        0        0        1
54176 libobasis7.2-onlineupdate          	       1        0        0        0        1
54177 libobasis7.2-ooofonts              	       1        0        0        0        1
54178 libobasis7.2-ooolinguistic         	       1        0        0        0        1
54179 libobasis7.2-postgresql-sdbc       	       1        0        0        0        1
54180 libobasis7.2-python-script-provider	       1        0        0        0        1
54181 libobasis7.2-pyuno                 	       1        0        1        0        0
54182 libobasis7.2-writer                	       1        0        0        0        1
54183 libobasis7.2-xsltfilter            	       1        0        0        0        1
54184 libobasis7.3-base                  	       1        0        0        0        1
54185 libobasis7.3-calc                  	       1        0        0        0        1
54186 libobasis7.3-core                  	       1        0        0        0        1
54187 libobasis7.3-draw                  	       1        0        0        0        1
54188 libobasis7.3-en-us                 	       1        0        0        0        1
54189 libobasis7.3-extension-beanshell-script-provider	       1        0        0        0        1
54190 libobasis7.3-extension-javascript-script-provider	       1        0        0        0        1
54191 libobasis7.3-extension-mediawiki-publisher	       1        0        0        0        1
54192 libobasis7.3-extension-nlpsolver   	       1        0        0        0        1
54193 libobasis7.3-extension-pdf-import  	       1        0        0        0        1
54194 libobasis7.3-extension-report-builder	       1        0        0        0        1
54195 libobasis7.3-firebird              	       1        0        0        0        1
54196 libobasis7.3-gnome-integration     	       1        0        0        0        1
54197 libobasis7.3-graphicfilter         	       1        0        0        0        1
54198 libobasis7.3-images                	       1        0        0        0        1
54199 libobasis7.3-impress               	       1        0        0        0        1
54200 libobasis7.3-kde-integration       	       1        0        0        0        1
54201 libobasis7.3-librelogo             	       1        0        0        0        1
54202 libobasis7.3-libreofficekit-data   	       1        0        0        0        1
54203 libobasis7.3-math                  	       1        0        0        0        1
54204 libobasis7.3-ogltrans              	       1        0        0        0        1
54205 libobasis7.3-onlineupdate          	       1        0        0        0        1
54206 libobasis7.3-ooolinguistic         	       1        0        0        0        1
54207 libobasis7.3-postgresql-sdbc       	       1        0        0        0        1
54208 libobasis7.3-python-script-provider	       1        0        0        0        1
54209 libobasis7.3-pyuno                 	       1        0        1        0        0
54210 libobasis7.3-ru                    	       1        0        0        0        1
54211 libobasis7.3-ru-help               	       1        0        0        0        1
54212 libobasis7.3-writer                	       1        0        0        0        1
54213 libobasis7.3-xsltfilter            	       1        0        0        0        1
54214 libobasis7.5-nl                    	       1        0        0        0        1
54215 libobasis7.5-nl-help               	       1        0        0        0        1
54216 libobexftp-perl                    	       1        0        0        0        1
54217 libobjc-12-dev-armel-cross         	       1        0        0        0        1
54218 libobjc-12-dev-armhf-cross         	       1        0        0        0        1
54219 libobjc-5-dev                      	       1        0        0        0        1
54220 libobjc2                           	       1        0        0        0        1
54221 libobjc4-armel-cross               	       1        0        0        0        1
54222 libobjc4-armhf-cross               	       1        0        0        0        1
54223 libobjc4-dbg                       	       1        0        1        0        0
54224 libobjc4-dbgsym                    	       1        0        1        0        0
54225 libobject-multitype-perl           	       1        0        1        0        0
54226 libobjenesis-java-doc              	       1        0        0        0        1
54227 libobrender27                      	       1        0        0        0        1
54228 libobt0                            	       1        0        0        0        1
54229 libocamlnet-ocaml-dev              	       1        0        1        0        0
54230 libocct-data-exchange-7.6t64       	       1        0        0        0        1
54231 libocct-draw-7.5                   	       1        0        0        0        1
54232 libocct-draw-7.6t64                	       1        0        0        0        1
54233 libocct-foundation-7.6t64          	       1        0        0        0        1
54234 libocct-modeling-algorithms-7.6t64 	       1        0        0        0        1
54235 libocct-modeling-data-7.6t64       	       1        0        0        0        1
54236 libocct-ocaf-7.6t64                	       1        0        0        0        1
54237 libocct-visualization-7.6t64       	       1        0        0        0        1
54238 liboce-foundation2                 	       1        0        0        0        1
54239 liboce-modeling2                   	       1        0        0        0        1
54240 liboclgrind-16.10                  	       1        0        0        0        1
54241 liboclgrind-19.10                  	       1        0        0        0        1
54242 liboclgrind-dev                    	       1        0        1        0        0
54243 liboctave3v5                       	       1        0        0        0        1
54244 liboctave7                         	       1        0        0        0        1
54245 liboctovis-dev                     	       1        0        1        0        0
54246 libodbc-ruby1.9.1                  	       1        0        0        0        1
54247 libodbcinstq4-1                    	       1        0        0        0        1
54248 libodc-dev                         	       1        0        1        0        0
54249 libode0-dev                        	       1        0        1        0        0
54250 libode0debian1                     	       1        0        0        0        1
54251 libode1                            	       1        0        0        0        1
54252 libodfgen-0.0-0                    	       1        0        0        0        1
54253 libodsstream0                      	       1        0        0        0        1
54254 libogg-vorbis-decoder-perl         	       1        0        0        0        1
54255 libogmrip-dev                      	       1        0        1        0        0
54256 libogre-1.12-dev                   	       1        0        1        0        0
54257 libogre-1.8-dev                    	       1        0        1        0        0
54258 libogre-1.8.0                      	       1        0        0        0        1
54259 libogre-1.9.0                      	       1        0        0        0        1
54260 libogre-1.9.0v5-dbg                	       1        0        1        0        0
54261 liboidc-agent4                     	       1        0        0        0        1
54262 liboil0.3-dev                      	       1        0        1        0        0
54263 libois-1.3.0                       	       1        0        0        0        1
54264 libokteta1core1                    	       1        0        0        0        1
54265 libokteta1gui1                     	       1        0        0        0        1
54266 libokular-ruby1.8                  	       1        0        0        0        1
54267 libole-ruby1.9.1                   	       1        0        0        0        1
54268 libomc                             	       1        0        0        0        1
54269 libomcsimulation                   	       1        0        1        0        0
54270 libomemo-c0                        	       1        0        0        0        1
54271 libomniorb4-dev                    	       1        0        1        0        0
54272 libomnithread4-dev                 	       1        0        1        0        0
54273 libomp-11-doc                      	       1        0        0        0        1
54274 libomp-15-dev                      	       1        0        1        0        0
54275 libomp-16-dev                      	       1        0        1        0        0
54276 libomp-19-doc                      	       1        0        0        0        1
54277 libomp-8-dev                       	       1        0        1        0        0
54278 libomp5-13                         	       1        0        1        0        0
54279 libomp5-15                         	       1        0        1        0        0
54280 libomp5-16t64                      	       1        0        1        0        0
54281 libompl-dev                        	       1        0        1        0        0
54282 libompl16                          	       1        0        0        0        1
54283 libomplot                          	       1        0        0        0        1
54284 libomsensplugin                    	       1        0        0        0        1
54285 libomsimulator                     	       1        0        1        0        0
54286 libomxil-bellagio0-components-base 	       1        0        0        0        1
54287 liboofem2                          	       1        0        0        0        1
54288 libooolib-perl                     	       1        0        1        0        0
54289 liboop4t64                         	       1        0        0        0        1
54290 libooptools-dev                    	       1        0        1        0        0
54291 libopal3.10.4                      	       1        0        1        0        0
54292 libopal3.6.8                       	       1        0        1        0        0
54293 libopen4-ruby1.9.1                 	       1        0        0        0        1
54294 libopenal0a                        	       1        0        0        0        1
54295 libopenapi-client-perl             	       1        0        0        1        0
54296 libopenaptx-dev                    	       1        0        1        0        0
54297 libopenbabel-doc                   	       1        0        0        0        1
54298 libopenblas0-serial                	       1        0        0        0        1
54299 libopenblas64-0                    	       1        0        0        0        1
54300 libopenblas64-0-pthread            	       1        0        0        0        1
54301 libopenblas64-dev                  	       1        0        0        0        1
54302 libopenblas64-pthread-dev          	       1        0        1        0        0
54303 libopencbm0                        	       1        0        0        0        1
54304 libopencdk8-dev                    	       1        0        1        0        0
54305 libopencl-clang-15-dev             	       1        0        1        0        0
54306 libopencl-clang-dev                	       1        0        1        0        0
54307 libopencl-clang15                  	       1        0        0        0        1
54308 libopencolorio1                    	       1        0        0        0        1
54309 libopenconnect1                    	       1        0        0        0        1
54310 libopenconnect3                    	       1        0        0        0        1
54311 libopencryptoki-dev                	       1        0        1        0        0
54312 libopenct1-dev                     	       1        0        1        0        0
54313 libopenctm-dev                     	       1        0        1        0        0
54314 libopencv-apps2d                   	       1        0        0        0        1
54315 libopencv-core4.1                  	       1        0        0        0        1
54316 libopencv-core4.2                  	       1        0        0        0        1
54317 libopencv-core4.5d                 	       1        0        0        0        1
54318 libopencv-gpu-dev                  	       1        0        0        0        1
54319 libopencv-gpu2.3                   	       1        0        0        0        1
54320 libopencv-imgcodecs4.1             	       1        0        0        0        1
54321 libopencv-imgproc4.1               	       1        0        0        0        1
54322 libopencv-imgproc4.2               	       1        0        0        0        1
54323 libopencv-imgproc4.5d              	       1        0        0        0        1
54324 libopencv-legacy-dev               	       1        0        0        0        1
54325 libopencv-ocl-dev                  	       1        0        0        0        1
54326 libopencv-superres410              	       1        0        0        0        1
54327 libopencv-ts-dev                   	       1        0        1        0        0
54328 libopencv-videostab410             	       1        0        0        0        1
54329 libopencv2.4-java                  	       1        0        0        0        1
54330 libopendht3t64                     	       1        0        0        0        1
54331 libopendkim-dev                    	       1        0        1        0        0
54332 libopendmarc2t64                   	       1        1        0        0        0
54333 libopenexr-doc                     	       1        0        0        1        0
54334 libopenexr2c2a                     	       1        0        0        0        1
54335 libopenfec-dev                     	       1        0        1        0        0
54336 libopengl0-glvnd-nvidia            	       1        0        0        0        1
54337 libopenhmd-dev                     	       1        0        1        0        0
54338 libopenigtlink-dev                 	       1        0        1        0        0
54339 libopenimageio2.3                  	       1        0        0        0        1
54340 libopenipmi-dev                    	       1        0        1        0        0
54341 libopenjfx-java-doc                	       1        0        0        0        1
54342 libopenjp3d-tools                  	       1        0        1        0        0
54343 libopenjp3d7                       	       1        0        0        0        1
54344 libopenjpip7                       	       1        0        0        0        1
54345 libopenmpi1.3                      	       1        0        1        0        0
54346 libopenmpi3-dbgsym                 	       1        0        1        0        0
54347 libopenni-java                     	       1        0        0        0        1
54348 libopenni-sensor-pointclouds-dev   	       1        0        0        0        1
54349 libopenraw-dev                     	       1        0        1        0        0
54350 libopenraw1v5                      	       1        0        0        0        1
54351 libopenrawgnome1v5                 	       1        0        0        0        1
54352 libopenrazer0                      	       1        0        0        0        1
54353 libopensc2                         	       1        0        1        0        0
54354 libopenscap25                      	       1        0        0        0        1
54355 libopenscenegraph-3.4-131          	       1        0        0        0        1
54356 libopenscenegraph100v5             	       1        0        0        0        1
54357 libopenshot-doc                    	       1        0        0        0        1
54358 libopensmtpd0                      	       1        0        1        0        0
54359 libopenspecfun1                    	       1        0        0        0        1
54360 libopenssl-ruby                    	       1        0        0        0        1
54361 libopentk-cil-dev                  	       1        0        1        0        0
54362 libopenturns-dev                   	       1        0        1        0        0
54363 libopenvas9                        	       1        0        0        0        1
54364 libopenvdb-tools                   	       1        0        1        0        0
54365 libopenvg1-mesa-dev                	       1        0        1        0        0
54366 libopenvlbi-doc                    	       1        0        0        0        1
54367 libopenxr-utils                    	       1        0        1        0        0
54368 liboping-dev                       	       1        0        1        0        0
54369 libopm-models-doc                  	       1        0        0        0        1
54370 libopm-simulators-doc              	       1        0        0        0        1
54371 liboprf0t64                        	       1        0        0        0        1
54372 libopus-ocaml-dev                  	       1        0        1        0        0
54373 libopusfile-dbg                    	       1        0        1        0        0
54374 liborbit0ldbl                      	       1        0        0        0        1
54375 liborc-0.4-doc                     	       1        0        0        0        1
54376 liborcus-0.10-0v5                  	       1        0        0        0        1
54377 liborcus-0.6-0                     	       1        0        0        0        1
54378 liborcus-bin                       	       1        0        1        0        0
54379 liborcus-doc                       	       1        0        0        0        1
54380 liborcus-mso-0.17-0                	       1        0        0        0        1
54381 liborcus-mso-0.18-0                	       1        0        0        0        1
54382 liborcus-spreadsheet-model-0.14-0  	       1        0        0        0        1
54383 liborcus-spreadsheet-model-0.17-0  	       1        0        0        0        1
54384 liborcus-spreadsheet-model-0.18-0  	       1        0        0        0        1
54385 libordered-clojure                 	       1        0        0        0        1
54386 liborlite-migrate-perl             	       1        0        1        0        0
54387 liborlite-mirror-perl              	       1        0        1        0        0
54388 liboro-java-doc                    	       1        0        0        0        1
54389 libortp5                           	       1        0        0        0        1
54390 libortp7                           	       1        0        0        0        1
54391 libortp8                           	       1        0        0        0        1
54392 liboscache-java                    	       1        0        0        0        1
54393 liboscpack-dev                     	       1        0        1        0        0
54394 libosd-dev                         	       1        0        1        0        0
54395 libosip2-15                        	       1        0        0        0        1
54396 libosip2-3deb                      	       1        0        0        0        1
54397 libosip2-4                         	       1        0        0        0        1
54398 libosip2-dev                       	       1        0        1        0        0
54399 libosmgpsmap2                      	       1        0        0        0        1
54400 libosmocodec4                      	       1        0        0        0        1
54401 libosmocore22                      	       1        0        0        0        1
54402 libosmogsm20                       	       1        0        0        0        1
54403 libosmoisdn0                       	       1        0        0        0        1
54404 libosmosccp0                       	       1        0        0        0        1
54405 libosmosdr-dev                     	       1        0        1        0        0
54406 libosmpbf-dev                      	       1        0        1        0        0
54407 libosptk-dev                       	       1        0        1        0        0
54408 libosptk4                          	       1        0        0        0        1
54409 libossp-uuid15                     	       1        0        0        0        1
54410 libotbapplicationengine-7.2-1      	       1        0        0        0        1
54411 libotbcommon-7.2-1                 	       1        0        0        0        1
54412 libotbextendedfilename-7.2-1       	       1        0        0        0        1
54413 libotbgdaladapters-7.2-1           	       1        0        0        0        1
54414 libotbimagebase-7.2-1              	       1        0        0        0        1
54415 libotbimageio-7.2-1                	       1        0        0        0        1
54416 libotbiobsq-7.2-1                  	       1        0        0        0        1
54417 libotbiogdal-7.2-1                 	       1        0        0        0        1
54418 libotbiolum-7.2-1                  	       1        0        0        0        1
54419 libotbiomstar-7.2-1                	       1        0        0        0        1
54420 libotbioonera-7.2-1                	       1        0        0        0        1
54421 libotbiorad-7.2-1                  	       1        0        0        0        1
54422 libotbmetadata-7.2-1               	       1        0        0        0        1
54423 libotbossimadapters-7.2-1          	       1        0        0        0        1
54424 libotbossimplugins-6.6-1           	       1        0        0        0        1
54425 libotbossimplugins-7.2-1           	       1        0        0        0        1
54426 libotbstreaming-7.2-1              	       1        0        0        0        1
54427 libotbtransform-6.6-1              	       1        0        0        0        1
54428 libotbvectordatabase-7.2-1         	       1        0        0        0        1
54429 libotbvectordataio-7.2-1           	       1        0        0        0        1
54430 libotp0t64-heimdal                 	       1        0        0        0        1
54431 libounit-ocaml-dev                 	       1        0        1        0        0
54432 liboverload-filecheck-perl         	       1        0        0        0        1
54433 libow-3.1-5                        	       1        0        0        0        1
54434 libow-3.2-4t64                     	       1        0        0        0        1
54435 libowcapi-3.1-5                    	       1        0        0        0        1
54436 libowcapi-3.2-4t64                 	       1        0        0        0        1
54437 libowfat-dev                       	       1        0        1        0        0
54438 libowfat0t64                       	       1        0        0        0        1
54439 libowncloudsync0t64                	       1        0        0        0        1
54440 libowrx-connector                  	       1        0        0        0        1
54441 libp4est-2.2                       	       1        0        0        0        1
54442 libp4est-2.3                       	       1        0        0        0        1
54443 libp4est-dev                       	       1        0        1        0        0
54444 libp4est-sc-2.2                    	       1        0        0        0        1
54445 libp4est-sc-2.3                    	       1        0        0        0        1
54446 libpackagekitqt6-dev               	       1        0        1        0        0
54447 libpacketdump3                     	       1        0        1        0        0
54448 libpadre-plugin-autoformat-perl    	       1        0        1        0        0
54449 libpadre-plugin-datawalker-perl    	       1        0        1        0        0
54450 libpadre-plugin-git-perl           	       1        0        1        0        0
54451 libpadre-plugin-moose-perl         	       1        0        1        0        0
54452 libpadre-plugin-parsertool-perl    	       1        0        1        0        0
54453 libpadre-plugin-pdl-perl           	       1        0        1        0        0
54454 libpadre-plugin-perlcritic-perl    	       1        0        1        0        0
54455 libpadre-plugin-perltidy-perl      	       1        0        1        0        0
54456 libpadre-plugin-snippet-perl       	       1        0        1        0        0
54457 libpadre-plugin-spellcheck-perl    	       1        0        1        0        0
54458 libpadre-plugin-svn-perl           	       1        0        1        0        0
54459 libpadre-plugin-yaml-perl          	       1        0        1        0        0
54460 libpagmo9                          	       1        0        0        0        1
54461 libpal-java                        	       1        0        0        0        1
54462 libpam-apparmor                    	       1        0        1        0        0
54463 libpam-ccreds                      	       1        0        1        0        0
54464 libpam-chksshpwd                   	       1        1        0        0        0
54465 libpam-chroot                      	       1        0        0        0        1
54466 libpam-dbus                        	       1        0        1        0        0
54467 libpam-freerdp2-dev                	       1        0        1        0        0
54468 libpam-fscrypt                     	       1        1        0        0        0
54469 libpam-kanidm                      	       1        0        0        0        1
54470 libpam-krb5-migrate-heimdal        	       1        0        0        0        1
54471 libpam-krb5-migrate-mit            	       1        0        0        0        1
54472 libpam-mysql                       	       1        0        0        0        1
54473 libpam-opie                        	       1        0        1        0        0
54474 libpam-python-doc                  	       1        0        0        0        1
54475 libpam-snapper                     	       1        1        0        0        0
54476 libpam-x2go-dev                    	       1        0        1        0        0
54477 libpam-zfs                         	       1        0        1        0        0
54478 libpanel-applet2-0                 	       1        0        0        0        1
54479 libpanel-dev                       	       1        0        1        0        0
54480 libpanel-doc                       	       1        0        0        0        1
54481 libpango1-ruby                     	       1        0        0        0        1
54482 libpapi5                           	       1        0        0        0        1
54483 libpapi6.0                         	       1        0        0        0        1
54484 libpappl-dev                       	       1        0        1        0        0
54485 libpappl1t64                       	       1        0        0        0        1
54486 libpaq-dev                         	       1        0        1        0        0
54487 libparagui1.0c2a                   	       1        0        0        0        1
54488 libparanamer-maven-plugin-java     	       1        0        0        0        1
54489 libpari-gmp-tls6                   	       1        0        0        0        1
54490 libpari-gmp-tls7                   	       1        0        0        0        1
54491 libpari-gmp-tls8t64                	       1        0        0        0        1
54492 libparlatype7                      	       1        0        0        0        1
54493 libparmetis4.0                     	       1        0        0        0        1
54494 libparse-ansicolor-tiny-perl       	       1        0        1        0        0
54495 libparse-binary-perl               	       1        0        1        0        0
54496 libparse-dia-sql-perl              	       1        0        1        0        0
54497 libparse-distname-perl             	       1        0        1        0        0
54498 libparse-errorstring-perl-perl     	       1        1        0        0        0
54499 libparse-exuberantctags-perl       	       1        0        0        0        1
54500 libparse-mediawikidump-perl        	       1        0        1        0        0
54501 libparse-netstat-perl              	       1        0        1        0        0
54502 libparse-pidl-perl                 	       1        0        1        0        0
54503 libparted0-dev                     	       1        0        0        0        1
54504 libparted0-i18n                    	       1        0        0        0        1
54505 libpasastro                        	       1        0        0        0        1
54506 libpath-class-file-stat-perl       	       1        0        1        0        0
54507 libpbbam1.6.0                      	       1        0        0        0        1
54508 libpbbam1.7.0                      	       1        0        0        0        1
54509 libpbbam2.3.0                      	       1        0        0        0        1
54510 libpbcopper1.8.0                   	       1        0        0        0        1
54511 libpbcopper1.9.3                   	       1        0        0        0        1
54512 libpbcopper2.0.0                   	       1        0        0        0        1
54513 libpbcopper2.2.0                   	       1        0        0        0        1
54514 libpbseq                           	       1        0        0        0        1
54515 libpcapnav0                        	       1        0        0        0        1
54516 libpcapnav0-dev                    	       1        0        1        0        0
54517 libpcg-cpp-dev                     	       1        0        0        0        1
54518 libpcl-apps1.13                    	       1        0        0        0        1
54519 libpcl-common1.13                  	       1        0        0        0        1
54520 libpcl-dev                         	       1        0        1        0        0
54521 libpcl-features1.13                	       1        0        0        0        1
54522 libpcl-filters1.13                 	       1        0        0        0        1
54523 libpcl-io1.13                      	       1        0        0        0        1
54524 libpcl-kdtree1.13                  	       1        0        0        0        1
54525 libpcl-keypoints1.13               	       1        0        0        0        1
54526 libpcl-ml1.13                      	       1        0        0        0        1
54527 libpcl-msgs-dev                    	       1        0        1        0        0
54528 libpcl-octree1.13                  	       1        0        0        0        1
54529 libpcl-outofcore1.13               	       1        0        0        0        1
54530 libpcl-people1.13                  	       1        0        0        0        1
54531 libpcl-recognition1.13             	       1        0        0        0        1
54532 libpcl-registration1.13            	       1        0        0        0        1
54533 libpcl-sample-consensus1.13        	       1        0        0        0        1
54534 libpcl-search1.13                  	       1        0        0        0        1
54535 libpcl-segmentation1.13            	       1        0        0        0        1
54536 libpcl-stereo1.13                  	       1        0        0        0        1
54537 libpcl-surface1.13                 	       1        0        0        0        1
54538 libpcl-tracking1.13                	       1        0        0        0        1
54539 libpcl-visualization1.13           	       1        0        0        0        1
54540 libpcp-import1-dev                 	       1        0        1        0        0
54541 libpcp-pmda3-dev                   	       1        0        1        0        0
54542 libpcp3-dev                        	       1        0        1        0        0
54543 libpcre3-dbg                       	       1        0        1        0        0
54544 libpdal-plugin-draco               	       1        0        0        0        1
54545 libpdf-api2-simple-perl            	       1        0        1        0        0
54546 libpdf-fdf-simple-perl             	       1        0        1        0        0
54547 libpdfcook-dev                     	       1        0        1        0        0
54548 libpdfcook0                        	       1        0        0        0        1
54549 libpdl-filter-perl                 	       1        0        1        0        0
54550 libpdl-fit-perl                    	       1        0        0        0        1
54551 libpdl-graphics-trid-perl          	       1        0        0        0        1
54552 libpdl-gsl-perl                    	       1        0        0        0        1
54553 libpdl-io-dicom-perl               	       1        0        1        0        0
54554 libpdl-io-envi-perl                	       1        0        1        0        0
54555 libpdl-io-gd-perl                  	       1        0        0        0        1
54556 libpdl-io-hdf-perl                 	       1        0        0        0        1
54557 libpdl-io-idl-perl                 	       1        0        1        0        0
54558 libpdl-opt-simplex-perl            	       1        0        1        0        0
54559 libpdl-transform-proj4-perl        	       1        0        0        0        1
54560 libpeas-2-0                        	       1        0        1        0        0
54561 libpeas-doc                        	       1        0        1        0        0
54562 libpeony-extension1                	       1        0        0        0        1
54563 libperl-destruct-level-perl        	       1        0        0        0        1
54564 libperl-minimumversion-perl        	       1        0        1        0        0
54565 libperl-prereqscanner-notquitelite-perl	       1        0        1        0        0
54566 libperl6-export-attrs-perl         	       1        0        1        0        0
54567 libperlbal-perl                    	       1        0        1        0        0
54568 libperlbal-xs-httpheaders-perl     	       1        0        0        0        1
54569 libperlio-eol-perl                 	       1        0        0        0        1
54570 libperlio-via-symlink-perl         	       1        0        1        0        0
54571 libpetal-perl                      	       1        1        0        0        0
54572 libpetal-utils-perl                	       1        1        0        0        0
54573 libpetsc-real3.15                  	       1        0        0        0        1
54574 libpetsc-real3.16                  	       1        0        0        0        1
54575 libpetsc-real3.18-dev              	       1        0        0        0        1
54576 libpetsc-real3.20                  	       1        0        0        0        1
54577 libpetsc3.18-dev-common            	       1        0        1        0        0
54578 libpetsc3.18-dev-examples          	       1        0        1        0        0
54579 libpfm4-dev                        	       1        0        1        0        0
54580 libpfs-1.2-0                       	       1        0        0        0        1
54581 libpfs-dev                         	       1        0        1        0        0
54582 libpg-java                         	       1        0        0        0        1
54583 libpgf-dev                         	       1        0        1        0        0
54584 libpgf7                            	       1        0        0        0        1
54585 libpgocaml-ocaml                   	       1        0        1        0        0
54586 libpgocaml-ocaml-dev               	       1        0        1        0        0
54587 libpgp-sign-perl                   	       1        0        1        0        0
54588 libpgraphutil-smlnj                	       1        0        0        0        1
54589 libpgsbox5                         	       1        0        0        0        1
54590 libpgsql-ruby1.9.1                 	       1        0        0        0        1
54591 libpgtcl-dev                       	       1        0        1        0        0
54592 libpgtcl1.5                        	       1        0        1        0        0
54593 libphat-dev                        	       1        0        1        0        0
54594 libphidget22                       	       1        0        1        0        0
54595 libphobos-dev                      	       1        0        0        0        1
54596 libphobos2-ldc-shared105           	       1        0        0        0        1
54597 libphobos2-ldc-shared110           	       1        0        0        0        1
54598 libphobos2-ldc-shared90            	       1        0        0        0        1
54599 libphobos2-ldc72                   	       1        0        0        0        1
54600 libphodav-2.0-dev                  	       1        0        1        0        0
54601 libphodav-3.0-dev                  	       1        0        1        0        0
54602 libphone-utils0                    	       1        0        0        0        1
54603 libphonon-dev                      	       1        0        1        0        0
54604 libphonon4qt6-dev                  	       1        0        0        1        0
54605 libphonon4qt6experimental-dev      	       1        0        0        1        0
54606 libphp-snoopy                      	       1        0        1        0        0
54607 libpiccolo-java                    	       1        0        0        0        1
54608 libpigment0.3-11                   	       1        0        1        0        0
54609 libpigpio-dev                      	       1        0        1        0        0
54610 libpigpio1                         	       1        0        0        0        1
54611 libpillowfight                     	       1        0        0        0        1
54612 libpillowfight-dev                 	       1        0        1        0        0
54613 libpillowfight0                    	       1        0        0        0        1
54614 libpils2-dev                       	       1        0        1        0        0
54615 libpinyin13                        	       1        0        0        0        1
54616 libpipewire-0.2-dev                	       1        0        1        0        0
54617 libpipewire-0.2-doc                	       1        0        0        0        1
54618 libpisync1                         	       1        0        0        0        1
54619 libpithub-perl                     	       1        0        1        0        0
54620 libpixelif-dev                     	       1        0        1        0        0
54621 libpixelmed-imageio-java           	       1        0        0        0        1
54622 libpixman1                         	       1        0        0        0        1
54623 libpl1000                          	       1        0        1        0        0
54624 libplack-middleware-debug-perl     	       1        0        1        0        0
54625 libplasma-dev                      	       1        0        0        1        0
54626 libplb-dev                         	       1        0        1        0        0
54627 libplb1                            	       1        0        0        0        1
54628 libplexus-cipher-java-doc          	       1        0        0        0        1
54629 libplexus-classworlds-java-doc     	       1        0        0        0        1
54630 libplexus-classworlds2-java-doc    	       1        0        0        0        1
54631 libplexus-containers-java-doc      	       1        0        0        0        1
54632 libplexus-interpolation-java-doc   	       1        0        0        0        1
54633 libplexus-maven-plugin-java-doc    	       1        0        0        0        1
54634 libplexus-sec-dispatcher-java-doc  	       1        0        0        0        1
54635 libplexus-testing-java             	       1        0        0        0        1
54636 libplfit0                          	       1        0        0        0        1
54637 libplib-dev                        	       1        0        1        0        0
54638 libplist-doc                       	       1        0        0        0        1
54639 libpload-dev                       	       1        0        1        0        0
54640 libpload4                          	       1        0        0        0        1
54641 libploop1                          	       1        0        0        0        1
54642 libplplot16                        	       1        0        0        0        1
54643 libplplotcxx14                     	       1        0        0        0        1
54644 libplumb2-dev                      	       1        0        1        0        0
54645 libplumbgpl2-dev                   	       1        0        0        0        1
54646 libpm3                             	       1        0        1        0        0
54647 libpm3-extra                       	       1        0        1        0        0
54648 libpng                             	       1        0        1        0        0
54649 libpng-sixlegs-java-doc            	       1        0        0        0        1
54650 libpng3                            	       1        0        0        0        1
54651 libpocl1                           	       1        0        0        0        1
54652 libpocl1-common                    	       1        0        1        0        0
54653 libpocoactiverecord100             	       1        0        0        0        1
54654 libpococrypto100                   	       1        0        0        0        1
54655 libpocodata100                     	       1        0        0        0        1
54656 libpocodatamysql100                	       1        0        0        0        1
54657 libpocodataodbc100                 	       1        0        0        0        1
54658 libpocodatapostgresql100           	       1        0        0        0        1
54659 libpocodatasqlite100               	       1        0        0        0        1
54660 libpocoencodings100                	       1        0        0        0        1
54661 libpocojson100                     	       1        0        0        0        1
54662 libpocojwt100                      	       1        0        0        0        1
54663 libpocomongodb100                  	       1        0        0        0        1
54664 libpoconet100                      	       1        0        0        0        1
54665 libpoconetssl100                   	       1        0        0        0        1
54666 libpocoprometheus100               	       1        0        0        0        1
54667 libpocoredis100                    	       1        0        0        0        1
54668 libpocoutil100                     	       1        0        0        0        1
54669 libpod-coverage-trustpod-perl      	       1        0        1        0        0
54670 libpod-elemental-perl              	       1        0        1        0        0
54671 libpod-elemental-perlmunger-perl   	       1        0        1        0        0
54672 libpod-elemental-transformer-list-perl	       1        0        1        0        0
54673 libpod-eventual-perl               	       1        0        1        0        0
54674 libpod-index-perl                  	       1        0        1        0        0
54675 libpod-minimumversion-perl         	       1        0        1        0        0
54676 libpod-pom-view-restructured-perl  	       1        0        1        0        0
54677 libpod-projectdocs-perl            	       1        0        1        0        0
54678 libpod-pseudopod-perl              	       1        0        1        0        0
54679 libpod-sax-perl                    	       1        0        1        0        0
54680 libpod-simple-wiki-perl            	       1        0        1        0        0
54681 libpod-tree-perl                   	       1        0        1        0        0
54682 libpod-weaver-perl                 	       1        0        1        0        0
54683 libpod-weaver-plugin-ensureuniquesections-perl	       1        0        1        0        0
54684 libpod-weaver-section-contributors-perl	       1        0        1        0        0
54685 libpod-weaver-section-legal-complicated-perl	       1        0        1        0        0
54686 libpod-weaver-section-support-perl 	       1        0        1        0        0
54687 libpod-webserver-perl              	       1        0        1        0        0
54688 libpod-wordlist-hanekomu-perl      	       1        0        1        0        0
54689 libpod-xhtml-perl                  	       1        0        1        0        0
54690 libpoe-component-client-http-perl  	       1        0        1        0        0
54691 libpoe-component-client-keepalive-perl	       1        0        1        0        0
54692 libpoe-component-client-ping-perl  	       1        0        1        0        0
54693 libpoe-component-resolver-perl     	       1        0        1        0        0
54694 libpoe-loop-event-perl             	       1        0        1        0        0
54695 libpoet-perl                       	       1        0        1        0        0
54696 libpoke0                           	       1        0        0        0        1
54697 libpolarssl-runtime                	       1        0        1        0        0
54698 libpolarssl0                       	       1        0        0        0        1
54699 libpolarssl7                       	       1        0        0        0        1
54700 libpolkit-agent-1-0-dbgsym         	       1        0        1        0        0
54701 libpolkit-backend-1-0-consolekit   	       1        0        0        0        1
54702 libpolkit-backend-consolekit-1-0-dbgsym	       1        0        1        0        0
54703 libpolkit-dbus2                    	       1        0        0        0        1
54704 libpolkit-gnome0                   	       1        0        0        0        1
54705 libpolkit-gobject-1-0-consolekit   	       1        0        0        0        1
54706 libpolkit-gobject-consolekit-1-0-dbgsym	       1        0        1        0        0
54707 libpolkit-grant2                   	       1        0        0        0        1
54708 libpolkit-qt6-1-dev                	       1        0        1        0        0
54709 libpolkit2                         	       1        0        0        0        1
54710 libpolled-camera-dev               	       1        0        1        0        0
54711 libpolled-camera0d                 	       1        0        0        0        1
54712 libpolly-16-dev                    	       1        0        1        0        0
54713 libpolyclipping-dev                	       1        0        0        0        1
54714 libpolyclipping16                  	       1        0        0        0        1
54715 libpolylib64-8                     	       1        0        0        0        1
54716 libpolyml-dev                      	       1        0        0        0        1
54717 libpolyorb4                        	       1        0        0        0        1
54718 libpomp2-dev                       	       1        0        1        0        0
54719 libpoppler-cil                     	       1        0        1        0        0
54720 libpoppler-glib3                   	       1        0        0        0        1
54721 libpoppler-glib6                   	       1        0        0        0        1
54722 libpoppler-qt4-dev                 	       1        0        1        0        0
54723 libpoppler-qt6-dev                 	       1        0        0        1        0
54724 libpoppler0c2                      	       1        0        0        0        1
54725 libpoppler0c2-glib                 	       1        0        0        0        1
54726 libpoppler5                        	       1        0        0        0        1
54727 libpoppler57                       	       1        0        0        0        1
54728 libpoppler68                       	       1        0        0        0        1
54729 libpoppler72                       	       1        0        0        0        1
54730 libpoppler74                       	       1        0        0        0        1
54731 libportal-doc                      	       1        0        0        0        1
54732 libportal-gtk4-dev                 	       1        0        1        0        0
54733 libportal-qt5-dev                  	       1        0        1        0        0
54734 libportal-tests-gtk4               	       1        0        1        0        0
54735 libportal-tests-qt5                	       1        0        1        0        0
54736 libportaudio-doc                   	       1        0        1        0        0
54737 libportaudio-ocaml-dev             	       1        0        1        0        0
54738 libportlet-api-2.0-spec-java       	       1        0        0        0        1
54739 libposixsignalmanager0a            	       1        0        0        0        1
54740 libpostproc0d                      	       1        0        0        0        1
54741 libpostproc55-dbgsym               	       1        0        1        0        0
54742 libpostproc58-dbgsym               	       1        0        1        0        0
54743 libpostscriptbarcode               	       1        0        0        0        1
54744 libppd0-dbgsym                     	       1        0        1        0        0
54745 libppix-documentname-perl          	       1        0        1        0        0
54746 libppix-editortools-perl           	       1        0        1        0        0
54747 libppl-doc                         	       1        0        0        0        1
54748 libppl12                           	       1        0        0        0        1
54749 libpqmarble2t64                    	       1        0        0        0        1
54750 libpqxx-3.0                        	       1        0        0        0        1
54751 libpqxx-doc                        	       1        0        0        0        1
54752 libprawn-ruby1.9.1                 	       1        0        0        0        1
54753 libprelude23                       	       1        0        0        0        1
54754 libprimesieve12                    	       1        0        0        0        1
54755 libprismatic-plumbing-clojure      	       1        0        0        0        1
54756 libprivileges-drop-perl            	       1        0        1        0        0
54757 libproc-background-perl            	       1        0        1        0        0
54758 libproc-invokeeditor-perl          	       1        0        1        0        0
54759 libproc-reliable-perl              	       1        0        1        0        0
54760 libproc-wait3-perl                 	       1        0        0        0        1
54761 libproc2-dev                       	       1        0        1        0        0
54762 libprocess-cpp-doc                 	       1        0        0        0        1
54763 libprocps5                         	       1        0        0        0        1
54764 libprogress-any-output-termprogressbarcolor-perl	       1        0        1        0        0
54765 libprogress-any-perl               	       1        0        1        0        0
54766 libproj15                          	       1        0        0        0        1
54767 libprojectbuilder-perl             	       1        0        1        0        0
54768 libprometheus-cpp-core1.0          	       1        0        0        0        1
54769 libprometheus-cpp-pull1.0          	       1        0        0        0        1
54770 libproperties-cpp-doc              	       1        0        0        0        1
54771 libproperties-maven-plugin-java    	       1        0        0        0        1
54772 libprotobuf-lite22                 	       1        0        0        0        1
54773 libprotobuf-lite9v5                	       1        0        0        0        1
54774 libprotobuf22                      	       1        0        0        0        1
54775 libprotobuf9v5                     	       1        0        0        0        1
54776 libprotoc22                        	       1        0        0        0        1
54777 libprotoc9                         	       1        0        0        0        1
54778 libprotocol-websocket-perl         	       1        0        1        0        0
54779 libpsiconv-dev                     	       1        0        1        0        0
54780 libpsiconv6                        	       1        0        0        0        1
54781 libpsmt2-frontend-ocaml            	       1        0        1        0        0
54782 libpstat1                          	       1        0        1        0        0
54783 libpsurface0t64                    	       1        0        0        0        1
54784 libpsych-java                      	       1        0        0        0        1
54785 libpt-1.10.0                       	       1        0        0        0        1
54786 libpt-plugins-v4l2                 	       1        0        1        0        0
54787 libpt2.10.9                        	       1        0        1        0        0
54788 libpt2.6.7                         	       1        0        1        0        0
54789 libptexenc-dev                     	       1        0        1        0        0
54790 libpth20t64                        	       1        0        0        0        1
54791 libptl-dev                         	       1        0        1        0        0
54792 libptl2                            	       1        0        0        0        1
54793 libptscotch-5.1                    	       1        0        1        0        0
54794 libptscotch-6.0                    	       1        0        0        0        1
54795 libpugixml1                        	       1        0        0        0        1
54796 libpugl-0-0                        	       1        0        0        0        1
54797 libpugl-dev                        	       1        0        1        0        0
54798 libpulse-mainloop-glib0-dbgsym     	       1        0        1        0        0
54799 libpulse0-dbgsym                   	       1        0        1        0        0
54800 libpulsecore5                      	       1        0        0        0        1
54801 libpulsedsp-dbgsym                 	       1        0        1        0        0
54802 libpuppetlabs-http-client-clojure  	       1        0        0        0        1
54803 libpuppetlabs-i18n-clojure         	       1        0        0        0        1
54804 libpuppetlabs-ring-middleware-clojure	       1        0        0        0        1
54805 libpurple-telegram-tdlib           	       1        0        1        0        0
54806 libpurple0-dbgsym                  	       1        0        1        0        0
54807 libpuzzle-bin                      	       1        0        1        0        0
54808 libpuzzle-dev                      	       1        0        1        0        0
54809 libpuzzle1                         	       1        0        0        0        1
54810 libpwiz3                           	       1        0        0        0        1
54811 libpynac-dev                       	       1        0        1        0        0
54812 libpynac18                         	       1        0        0        0        1
54813 libpynac18py3                      	       1        0        0        0        1
54814 libpyside-dev                      	       1        0        1        0        0
54815 libpyside-py3-1.2                  	       1        0        0        0        1
54816 libpython3.10-dbg                  	       1        0        1        0        0
54817 libpython3.12-testsuite            	       1        0        1        0        0
54818 libpython3.12t64-dbg               	       1        0        1        0        0
54819 libpython3.3                       	       1        0        0        0        1
54820 libpython3.6-dev                   	       1        0        1        0        0
54821 libpython3.7-testsuite             	       1        0        1        0        0
54822 libpython3.8-dev                   	       1        1        0        0        0
54823 libpyzy-1.0-0v5                    	       1        0        1        0        0
54824 libqaccessibilityclient-qt5-dev    	       1        0        1        0        0
54825 libqaccessibilityclient-qt6-dev    	       1        0        1        0        0
54826 libqbscore2.1                      	       1        0        0        0        1
54827 libqca-qt6-dev                     	       1        0        0        1        0
54828 libqca2-dev                        	       1        0        1        0        0
54829 libqcoro5quick0                    	       1        0        0        0        1
54830 libqcoro5websockets0               	       1        0        0        0        1
54831 libqcoro6network0t64               	       1        0        0        0        1
54832 libqcoro6qml0t64                   	       1        0        0        0        1
54833 libqcoro6quick0t64                 	       1        0        0        0        1
54834 libqcoro6websockets0t64            	       1        0        0        0        1
54835 libqcustomplot-doc                 	       1        0        1        0        0
54836 libqd-dev                          	       1        0        1        0        0
54837 libqd0v5                           	       1        0        0        0        1
54838 libqdox2-java-doc                  	       1        0        0        0        1
54839 libqdwizard-java                   	       1        0        0        0        1
54840 libqedje0a                         	       1        0        0        0        1
54841 libqemu-dev                        	       1        0        1        0        0
54842 libqes0                            	       1        0        0        0        1
54843 libqgis-3d3.16.15                  	       1        0        0        0        1
54844 libqgis-3d3.16.16                  	       1        0        0        0        1
54845 libqgis-3d3.22.12                  	       1        0        0        0        1
54846 libqgis-3d3.22.2                   	       1        0        0        0        1
54847 libqgis-3d3.22.4                   	       1        0        0        0        1
54848 libqgis-3d3.28.10                  	       1        0        0        0        1
54849 libqgis-3d3.28.14                  	       1        0        0        0        1
54850 libqgis-3d3.32.2                   	       1        0        0        0        1
54851 libqgis-3d3.34.10                  	       1        0        0        0        1
54852 libqgis-3d3.34.12                  	       1        0        0        0        1
54853 libqgis-3d3.34.6                   	       1        0        0        0        1
54854 libqgis-3d3.34.7                   	       1        0        0        0        1
54855 libqgis-3d3.34.8                   	       1        0        0        0        1
54856 libqgis-3d3.38.3                   	       1        1        0        0        0
54857 libqgis-3d3.40.3                   	       1        0        0        0        1
54858 libqgis-analysis2.4.0              	       1        0        0        0        1
54859 libqgis-analysis3.16.15            	       1        0        0        0        1
54860 libqgis-analysis3.16.16            	       1        0        0        0        1
54861 libqgis-analysis3.22.2             	       1        0        0        0        1
54862 libqgis-analysis3.28.10            	       1        0        0        0        1
54863 libqgis-analysis3.28.11            	       1        0        0        0        1
54864 libqgis-analysis3.32.2             	       1        0        0        0        1
54865 libqgis-analysis3.34.10            	       1        0        0        0        1
54866 libqgis-analysis3.34.12            	       1        0        0        0        1
54867 libqgis-analysis3.34.6             	       1        0        0        0        1
54868 libqgis-analysis3.34.7             	       1        0        0        0        1
54869 libqgis-analysis3.34.8             	       1        0        0        0        1
54870 libqgis-analysis3.38.3             	       1        1        0        0        0
54871 libqgis-analysis3.40.3             	       1        0        0        0        1
54872 libqgis-app3.22.2                  	       1        0        0        0        1
54873 libqgis-app3.28.10                 	       1        0        0        0        1
54874 libqgis-app3.32.2                  	       1        0        0        0        1
54875 libqgis-app3.34.10                 	       1        0        0        0        1
54876 libqgis-app3.34.12                 	       1        0        0        0        1
54877 libqgis-app3.34.6                  	       1        0        0        0        1
54878 libqgis-app3.34.7                  	       1        0        0        0        1
54879 libqgis-app3.34.8                  	       1        0        0        0        1
54880 libqgis-app3.38.3                  	       1        1        0        0        0
54881 libqgis-app3.40.3                  	       1        0        0        0        1
54882 libqgis-core2.14.11                	       1        0        0        0        1
54883 libqgis-core2.4.0                  	       1        0        0        0        1
54884 libqgis-core3.16.15                	       1        0        0        0        1
54885 libqgis-core3.16.16                	       1        0        0        0        1
54886 libqgis-core3.22.12                	       1        0        0        0        1
54887 libqgis-core3.22.2                 	       1        0        0        0        1
54888 libqgis-core3.22.4                 	       1        0        0        0        1
54889 libqgis-core3.28.10                	       1        0        0        0        1
54890 libqgis-core3.28.11                	       1        0        0        0        1
54891 libqgis-core3.28.14                	       1        0        0        0        1
54892 libqgis-core3.32.2                 	       1        0        0        0        1
54893 libqgis-core3.34.10                	       1        0        0        0        1
54894 libqgis-core3.34.12                	       1        0        0        0        1
54895 libqgis-core3.34.6                 	       1        0        0        0        1
54896 libqgis-core3.34.7                 	       1        0        0        0        1
54897 libqgis-core3.34.8                 	       1        0        0        0        1
54898 libqgis-core3.38.3                 	       1        1        0        0        0
54899 libqgis-core3.40.3                 	       1        0        0        0        1
54900 libqgis-dev                        	       1        0        1        0        0
54901 libqgis-gui2.14.11                 	       1        0        0        0        1
54902 libqgis-gui2.4.0                   	       1        0        0        0        1
54903 libqgis-gui3.10.13                 	       1        0        0        0        1
54904 libqgis-gui3.16.15                 	       1        0        0        0        1
54905 libqgis-gui3.16.16                 	       1        0        0        0        1
54906 libqgis-gui3.22.12                 	       1        0        0        0        1
54907 libqgis-gui3.22.2                  	       1        0        0        0        1
54908 libqgis-gui3.22.4                  	       1        0        0        0        1
54909 libqgis-gui3.28.10                 	       1        0        0        0        1
54910 libqgis-gui3.28.11                 	       1        0        0        0        1
54911 libqgis-gui3.28.14                 	       1        0        0        0        1
54912 libqgis-gui3.32.2                  	       1        0        0        0        1
54913 libqgis-gui3.34.10                 	       1        0        0        0        1
54914 libqgis-gui3.34.12                 	       1        0        0        0        1
54915 libqgis-gui3.34.6                  	       1        0        0        0        1
54916 libqgis-gui3.34.7                  	       1        0        0        0        1
54917 libqgis-gui3.34.8                  	       1        0        0        0        1
54918 libqgis-gui3.38.3                  	       1        1        0        0        0
54919 libqgis-gui3.40.3                  	       1        0        0        0        1
54920 libqgis-native3.10.4               	       1        0        0        0        1
54921 libqgis-native3.16.10              	       1        0        0        0        1
54922 libqgis-native3.16.12              	       1        0        0        0        1
54923 libqgis-native3.16.14              	       1        0        0        0        1
54924 libqgis-native3.16.15              	       1        0        0        0        1
54925 libqgis-native3.16.16              	       1        0        0        0        1
54926 libqgis-native3.22.12              	       1        0        0        0        1
54927 libqgis-native3.22.2               	       1        0        0        0        1
54928 libqgis-native3.22.4               	       1        0        0        0        1
54929 libqgis-native3.28.10              	       1        0        0        0        1
54930 libqgis-native3.28.11              	       1        0        0        0        1
54931 libqgis-native3.28.14              	       1        0        0        0        1
54932 libqgis-native3.32.2               	       1        0        0        0        1
54933 libqgis-native3.34.10              	       1        0        0        0        1
54934 libqgis-native3.34.12              	       1        0        0        0        1
54935 libqgis-native3.34.6               	       1        0        0        0        1
54936 libqgis-native3.34.7               	       1        0        0        0        1
54937 libqgis-native3.34.8               	       1        0        0        0        1
54938 libqgis-native3.38.3               	       1        1        0        0        0
54939 libqgis-native3.4.6                	       1        0        0        0        1
54940 libqgis-native3.40.3               	       1        0        0        0        1
54941 libqgis-networkanalysis2.4.0       	       1        0        0        0        1
54942 libqgis-server3.16.15              	       1        0        0        0        1
54943 libqgis-server3.16.16              	       1        0        0        0        1
54944 libqgis-server3.22.12              	       1        0        0        0        1
54945 libqgis-server3.22.2               	       1        0        0        0        1
54946 libqgis-server3.22.4               	       1        0        0        0        1
54947 libqgis-server3.28.10              	       1        0        0        0        1
54948 libqgis-server3.28.14              	       1        0        0        0        1
54949 libqgis-server3.32.2               	       1        0        0        0        1
54950 libqgis-server3.34.10              	       1        0        0        0        1
54951 libqgis-server3.34.12              	       1        0        0        0        1
54952 libqgis-server3.34.6               	       1        0        0        0        1
54953 libqgis-server3.34.7               	       1        0        0        0        1
54954 libqgis-server3.34.8               	       1        0        0        0        1
54955 libqgis-server3.38.3               	       1        0        0        0        1
54956 libqgis-server3.40.3               	       1        0        0        0        1
54957 libqgisgrass2.4.0                  	       1        0        0        0        1
54958 libqgisgrass7-2.18.28              	       1        0        0        0        1
54959 libqgisgrass7-3.10.13              	       1        0        0        0        1
54960 libqgisgrass7-3.16.15              	       1        0        0        0        1
54961 libqgisgrass7-3.16.16              	       1        0        0        0        1
54962 libqgisgrass7-3.22.2               	       1        0        0        0        1
54963 libqgisgrass7-3.22.4               	       1        0        0        0        1
54964 libqgisgrass8-3.22.12              	       1        0        0        0        1
54965 libqgisgrass8-3.28.10              	       1        0        0        0        1
54966 libqgisgrass8-3.28.14              	       1        0        0        0        1
54967 libqgisgrass8-3.34.10              	       1        0        0        0        1
54968 libqgisgrass8-3.34.12              	       1        0        0        0        1
54969 libqgisgrass8-3.34.6               	       1        0        0        0        1
54970 libqgisgrass8-3.34.7               	       1        0        0        0        1
54971 libqgisgrass8-3.34.8               	       1        0        0        0        1
54972 libqgisgrass8-3.38.3               	       1        0        0        0        1
54973 libqgisgrass8-3.40.3               	       1        0        0        0        1
54974 libqgispython3.16.15               	       1        0        0        0        1
54975 libqgispython3.16.16               	       1        0        0        0        1
54976 libqgispython3.22.12               	       1        0        0        0        1
54977 libqgispython3.22.2                	       1        0        0        0        1
54978 libqgispython3.22.4                	       1        0        0        0        1
54979 libqgispython3.28.10               	       1        0        0        0        1
54980 libqgispython3.28.14               	       1        0        0        0        1
54981 libqgispython3.32.2                	       1        0        0        0        1
54982 libqgispython3.34.10               	       1        0        0        0        1
54983 libqgispython3.34.12               	       1        0        0        0        1
54984 libqgispython3.34.6                	       1        0        0        0        1
54985 libqgispython3.34.7                	       1        0        0        0        1
54986 libqgispython3.34.8                	       1        0        0        0        1
54987 libqgispython3.38.3                	       1        1        0        0        0
54988 libqgispython3.40.3                	       1        0        0        0        1
54989 libqgpgmeqt6-dev                   	       1        0        0        1        0
54990 libqhttpengine-doc                 	       1        0        0        0        1
54991 libqhttpengine0                    	       1        0        0        0        1
54992 libqhull-doc                       	       1        0        1        0        0
54993 libqhull5                          	       1        0        0        0        1
54994 libqhull7deb1                      	       1        0        0        0        1
54995 libqjdns-qt5-2                     	       1        0        0        0        1
54996 libqjson-dev                       	       1        0        1        0        0
54997 libqmatrixclient0.4                	       1        0        0        0        1
54998 libqmmp-misc                       	       1        0        1        0        0
54999 libqmmp0                           	       1        0        0        0        1
55000 libqmmpui0                         	       1        0        0        0        1
55001 libqmobipocket6-dev                	       1        0        0        1        0
55002 libqof2                            	       1        0        0        0        1
55003 libqof2-backend-qsf                	       1        0        0        0        1
55004 libqofono-qt5-0                    	       1        0        0        0        1
55005 libqpdf18                          	       1        0        0        0        1
55006 libqpdf26                          	       1        0        0        0        1
55007 libqpid-proton-cpp12               	       1        0        0        0        1
55008 libqpid-proton-cpp12-dev           	       1        0        0        0        1
55009 libqqc2breezestyle-dev             	       1        0        0        0        1
55010 libqrtr1                           	       1        0        0        0        1
55011 libqsbr-dev                        	       1        0        1        0        0
55012 libqsbr1                           	       1        0        0        0        1
55013 libqscintilla2-designer            	       1        0        0        0        1
55014 libqscintilla2-qt6-designer        	       1        0        0        0        1
55015 libqscintilla2-qt6-dev             	       1        0        1        0        0
55016 libqt3-mt-dev                      	       1        0        1        0        0
55017 libqt4-dbg                         	       1        0        1        0        0
55018 libqt4-declarative-folderlistmodel 	       1        0        0        0        1
55019 libqt4-declarative-shaders         	       1        0        0        0        1
55020 libqt4-sql-psql                    	       1        0        0        0        1
55021 libqt5concurrent5-dbgsym           	       1        0        1        0        0
55022 libqt5contacts5                    	       1        0        0        0        1
55023 libqt5core5a-dbgsym                	       1        0        1        0        0
55024 libqt5dbus5-dbgsym                 	       1        0        1        0        0
55025 libqt5gui5-dbgsym                  	       1        0        1        0        0
55026 libqt5network5-dbgsym              	       1        0        1        0        0
55027 libqt5opengl5-dbgsym               	       1        0        1        0        0
55028 libqt5printsupport5-dbgsym         	       1        0        1        0        0
55029 libqt5qevercloud3                  	       1        0        0        0        1
55030 libqt5qevercloud6                  	       1        0        0        0        1
55031 libqt5qml5-dbgsym                  	       1        0        1        0        0
55032 libqt5quentier0                    	       1        0        0        0        1
55033 libqt5quick5-dbgsym                	       1        0        1        0        0
55034 libqt5scintilla2-designer          	       1        0        0        0        1
55035 libqt5sql5-dbgsym                  	       1        0        1        0        0
55036 libqt5sql5-ibase                   	       1        0        0        0        1
55037 libqt5sql5-sqlite-dbgsym           	       1        0        1        0        0
55038 libqt5test5-dbgsym                 	       1        0        1        0        0
55039 libqt5versit5                      	       1        0        0        0        1
55040 libqt5versitorganizer5             	       1        0        0        0        1
55041 libqt5websockets5-dbgsym           	       1        0        1        0        0
55042 libqt5widgets5-dbgsym              	       1        0        1        0        0
55043 libqt5xml5-dbgsym                  	       1        0        1        0        0
55044 libqt63dquickscene3d6              	       1        0        0        0        1
55045 libqt6graphs6                      	       1        0        0        0        1
55046 libqt6graphswidgets6               	       1        0        0        0        1
55047 libqt6grpc6                        	       1        0        0        0        1
55048 libqt6grpcquick6                   	       1        0        0        0        1
55049 libqt6location6                    	       1        0        0        0        1
55050 libqt6pas-dev                      	       1        0        0        0        1
55051 libqt6protobuf6                    	       1        0        0        0        1
55052 libqt6protobufqtcoretypes6         	       1        0        0        0        1
55053 libqt6protobufqtguitypes6          	       1        0        0        0        1
55054 libqt6protobufquick6               	       1        0        0        0        1
55055 libqt6protobufwellknowntypes6      	       1        0        0        0        1
55056 libqt6quick3dxr6                   	       1        0        0        0        1
55057 libqt6quickvectorimage6            	       1        0        0        0        1
55058 libqt6test6t64                     	       1        0        0        0        1
55059 libqt6waylandcompositoriviapplication6	       1        0        0        0        1
55060 libqt6waylandcompositorpresentationtime6	       1        0        0        0        1
55061 libqt6waylandcompositorwlshell6    	       1        0        0        0        1
55062 libqt6waylandcompositorxdgshell6   	       1        0        0        0        1
55063 libqt6xml6t64                      	       1        0        0        0        1
55064 libqtav-dev                        	       1        0        1        0        0
55065 libqtav-private-dev                	       1        0        1        0        0
55066 libqtdbustest1                     	       1        0        0        0        1
55067 libqtexengine1                     	       1        0        0        0        1
55068 libqthreads-12                     	       1        0        0        0        1
55069 libqtilitools-dev                  	       1        0        1        0        0
55070 libqtkeychain0                     	       1        0        0        0        1
55071 libqtmirserver1                    	       1        0        0        0        1
55072 libqtmirserver2                    	       1        0        0        0        1
55073 libqtpropertybrowser4              	       1        0        0        0        1
55074 libqtscript4-opengl                	       1        0        0        0        1
55075 libqtscript4-phonon                	       1        0        0        0        1
55076 libqtscript4-qtbindings            	       1        0        0        0        1
55077 libqtscript4-svg                   	       1        0        0        0        1
55078 libqtscript4-webkit                	       1        0        0        0        1
55079 libqtscript4-xmlpatterns           	       1        0        0        0        1
55080 libqtsolutions-soap-2.7-1          	       1        0        0        0        1
55081 libqtspell-qt6-1                   	       1        0        0        0        1
55082 libqtspell-qt6-data                	       1        0        0        0        1
55083 libqtspell-qt6-dev                 	       1        0        0        0        1
55084 libqtspell-qt6-html                	       1        0        0        0        1
55085 libqtwebkit-qmlwebkitplugin        	       1        0        0        0        1
55086 libquadmath0-dbgsym                	       1        0        1        0        0
55087 libquadmath0-ppc64el-cross         	       1        0        0        0        1
55088 libquadrule-dev                    	       1        0        1        0        0
55089 libquadrule1                       	       1        0        0        0        1
55090 libquantlib0v5                     	       1        0        1        0        0
55091 libquartz2-java                    	       1        0        0        0        1
55092 libquazip-dev                      	       1        0        0        0        1
55093 libquazip-headers                  	       1        0        1        0        0
55094 libquazip-qt5-1                    	       1        0        0        0        1
55095 libquazip-tulip-4.8-1              	       1        0        0        0        1
55096 libquazip1-qt6-doc                 	       1        0        0        0        1
55097 libquickfix-doc                    	       1        0        1        0        0
55098 libquicktime1                      	       1        0        1        0        0
55099 libquicktimehv-1.6.0-1             	       1        0        0        0        1
55100 libquvi-0.9-dev                    	       1        0        1        0        0
55101 libquvi-0.9-doc                    	       1        0        0        0        1
55102 libquvi-dev                        	       1        0        1        0        0
55103 libquvi0                           	       1        0        0        0        1
55104 libqwt5-qt4-dev                    	       1        0        1        0        0
55105 libqwtplot3d-qt5-dev               	       1        0        1        0        0
55106 libqzion0a                         	       1        0        0        0        1
55107 librabbitmq1                       	       1        0        0        0        1
55108 librack-ruby1.9.1                  	       1        0        0        0        1
55109 libradare2-5.0.0                   	       1        0        0        0        1
55110 libramaze-ruby1.9.1                	       1        0        0        0        1
55111 librandom-numbers-dev              	       1        0        1        0        0
55112 librandom-numbers0d                	       1        0        0        0        1
55113 librandom123-dev                   	       1        0        0        1        0
55114 librandom123-doc                   	       1        0        0        1        0
55115 librandombytes-dev                 	       1        0        1        0        0
55116 librandomx-dev                     	       1        0        1        0        0
55117 libranlip-dev                      	       1        0        1        0        0
55118 libranlip1c2                       	       1        0        1        0        0
55119 librarian-puppet                   	       1        0        1        0        0
55120 libraspberrypi-bin                 	       1        0        1        0        0
55121 librasqal0                         	       1        0        0        0        1
55122 librasqal3-doc                     	       1        0        0        0        1
55123 librasterlite2-dev                 	       1        0        1        0        0
55124 libraynes-fs-clojure               	       1        0        0        0        1
55125 librazik-apt                       	       1        0        0        0        1
55126 librazik-banquesdeson-all          	       1        0        0        0        1
55127 librazik-gig-all                   	       1        0        0        0        1
55128 librazik-keyring                   	       1        0        0        0        1
55129 librazik-sf2-all                   	       1        0        0        0        1
55130 librazik-sf3-all                   	       1        0        0        0        1
55131 librazik-sfz-all                   	       1        0        0        0        1
55132 librb-inotify-ruby1.9.1            	       1        0        0        0        1
55133 librbac-client-clojure             	       1        0        0        0        1
55134 librcc-dev                         	       1        0        1        0        0
55135 librccui0                          	       1        0        0        0        1
55136 librcd-dev                         	       1        0        1        0        0
55137 librcpputils-dev                   	       1        0        0        0        1
55138 librcutils-dev                     	       1        0        1        0        0
55139 librda-bin                         	       1        0        1        0        0
55140 librda-dev                         	       1        0        1        0        0
55141 librdf-icalendar-perl              	       1        0        1        0        0
55142 librdf-ldf-perl                    	       1        0        1        0        0
55143 librdf-rdfa-generator-perl         	       1        0        1        0        0
55144 librdf-storage-mysql               	       1        0        0        0        1
55145 librdf-storage-postgresql          	       1        0        0        0        1
55146 librdf-storage-sqlite              	       1        0        0        0        1
55147 librdf-storage-virtuoso            	       1        0        0        0        1
55148 librdf-vcard-perl                  	       1        0        1        0        0
55149 librdp-taxonomy-tree-java          	       1        0        0        0        1
55150 libre                              	       1        0        0        0        1
55151 libre-dbgsym                       	       1        0        1        0        0
55152 libre-ocaml-dev                    	       1        0        1        0        0
55153 libre2-1                           	       1        0        0        0        1
55154 libre2-2                           	       1        0        0        0        1
55155 libreactivedata-ocaml              	       1        0        1        0        0
55156 libreactivedata-ocaml-dev          	       1        0        1        0        0
55157 libreadline-java-doc               	       1        0        0        0        1
55158 libreadline8-dbgsym                	       1        0        1        0        0
55159 libreadonly-xs-perl                	       1        0        0        0        1
55160 libreadosm-dev                     	       1        0        1        0        0
55161 libreadstat1t64                    	       1        0        0        0        1
55162 librec-dev                         	       1        0        1        0        0
55163 librecoll                          	       1        0        0        0        1
55164 libredis-fast-perl                 	       1        0        0        0        1
55165 libregexp-common-time-perl         	       1        0        1        0        0
55166 libregexp-debugger-perl            	       1        0        1        0        0
55167 libregexp-java-doc                 	       1        0        0        0        1
55168 libregexp-optimizer-perl           	       1        0        1        0        0
55169 libregexp-pattern-defhash-perl     	       1        0        1        0        0
55170 libregexp-trie-perl                	       1        0        1        0        0
55171 libregfi-dev                       	       1        0        1        0        0
55172 libregfi1t64                       	       1        0        0        0        1
55173 libregina3-dev                     	       1        0        1        0        0
55174 librem-dev                         	       1        0        1        0        0
55175 librem-ec-acpi-dkms                	       1        0        0        0        1
55176 librenderdoc-dev                   	       1        0        1        0        0
55177 libreoffice-base-nogui             	       1        0        1        0        0
55178 libreoffice-canzeley-client        	       1        0        1        0        0
55179 libreoffice-dev-gui                	       1        0        0        1        0
55180 libreoffice-filter-binfilter       	       1        1        0        0        0
55181 libreoffice-filter-mobiledev       	       1        1        0        0        0
55182 libreoffice-help-id                	       1        0        0        0        1
55183 libreoffice-help-om                	       1        0        0        0        1
55184 libreoffice-help-tr                	       1        0        0        0        1
55185 libreoffice-help-vi                	       1        0        0        0        1
55186 libreoffice-impress-templates-all  	       1        0        1        0        0
55187 libreoffice-l10n-af                	       1        0        1        0        0
55188 libreoffice-l10n-am                	       1        0        1        0        0
55189 libreoffice-l10n-as                	       1        0        1        0        0
55190 libreoffice-l10n-br                	       1        0        1        0        0
55191 libreoffice-l10n-gd                	       1        0        1        0        0
55192 libreoffice-l10n-gug               	       1        0        1        0        0
55193 libreoffice-l10n-kk                	       1        0        1        0        0
55194 libreoffice-l10n-kmr               	       1        0        1        0        0
55195 libreoffice-l10n-kn                	       1        0        1        0        0
55196 libreoffice-l10n-mn                	       1        0        1        0        0
55197 libreoffice-l10n-nr                	       1        0        1        0        0
55198 libreoffice-l10n-nso               	       1        0        1        0        0
55199 libreoffice-l10n-oc                	       1        0        1        0        0
55200 libreoffice-l10n-or                	       1        0        1        0        0
55201 libreoffice-l10n-rw                	       1        0        1        0        0
55202 libreoffice-l10n-ss                	       1        0        1        0        0
55203 libreoffice-l10n-st                	       1        0        1        0        0
55204 libreoffice-l10n-szl               	       1        0        1        0        0
55205 libreoffice-l10n-tg                	       1        0        1        0        0
55206 libreoffice-l10n-tn                	       1        0        1        0        0
55207 libreoffice-l10n-ts                	       1        0        1        0        0
55208 libreoffice-l10n-uz                	       1        0        1        0        0
55209 libreoffice-l10n-ve                	       1        0        1        0        0
55210 libreoffice-l10n-zu                	       1        0        1        0        0
55211 libreoffice-officebean             	       1        0        1        0        0
55212 libreoffice-parlatype              	       1        0        1        0        0
55213 libreoffice-style-crystal          	       1        0        0        0        1
55214 libreoffice-subsequentcheckbase    	       1        0        1        0        0
55215 libreoffice-tango-iconset          	       1        0        1        0        0
55216 libreoffice24.2-de                 	       1        0        0        0        1
55217 libreoffice24.2-dict-de            	       1        0        0        0        1
55218 libreoffice24.2-en-gb              	       1        0        0        0        1
55219 libreoffice24.2-fr                 	       1        0        0        0        1
55220 libreoffice24.8-dict-el            	       1        0        0        0        1
55221 libreoffice24.8-el                 	       1        0        0        0        1
55222 libreoffice4.3                     	       1        0        0        0        1
55223 libreoffice4.3-base                	       1        0        0        0        1
55224 libreoffice4.3-calc                	       1        0        0        0        1
55225 libreoffice4.3-debian-menus        	       1        0        1        0        0
55226 libreoffice4.3-dict-en             	       1        0        0        0        1
55227 libreoffice4.3-dict-es             	       1        0        0        0        1
55228 libreoffice4.3-dict-fr             	       1        0        0        0        1
55229 libreoffice4.3-draw                	       1        0        0        0        1
55230 libreoffice4.3-en-us               	       1        0        0        0        1
55231 libreoffice4.3-impress             	       1        0        0        0        1
55232 libreoffice4.3-math                	       1        0        0        0        1
55233 libreoffice4.3-ure                 	       1        0        0        0        1
55234 libreoffice4.3-writer              	       1        0        0        0        1
55235 libreoffice5.0                     	       1        0        0        0        1
55236 libreoffice5.0-base                	       1        0        0        0        1
55237 libreoffice5.0-calc                	       1        0        0        0        1
55238 libreoffice5.0-debian-menus        	       1        0        1        0        0
55239 libreoffice5.0-dict-en             	       1        0        0        0        1
55240 libreoffice5.0-dict-es             	       1        0        0        0        1
55241 libreoffice5.0-dict-fr             	       1        0        0        0        1
55242 libreoffice5.0-draw                	       1        0        0        0        1
55243 libreoffice5.0-en-us               	       1        0        0        0        1
55244 libreoffice5.0-impress             	       1        0        0        0        1
55245 libreoffice5.0-math                	       1        0        0        0        1
55246 libreoffice5.0-ure                 	       1        0        0        0        1
55247 libreoffice5.0-writer              	       1        0        0        0        1
55248 libreoffice5.1                     	       1        0        0        0        1
55249 libreoffice5.1-base                	       1        0        0        0        1
55250 libreoffice5.1-calc                	       1        0        0        0        1
55251 libreoffice5.1-dict-en             	       1        0        0        0        1
55252 libreoffice5.1-dict-es             	       1        0        0        0        1
55253 libreoffice5.1-dict-fr             	       1        0        0        0        1
55254 libreoffice5.1-draw                	       1        0        0        0        1
55255 libreoffice5.1-en-us               	       1        0        0        0        1
55256 libreoffice5.1-impress             	       1        0        0        0        1
55257 libreoffice5.1-math                	       1        0        0        0        1
55258 libreoffice5.1-ure                 	       1        0        0        0        1
55259 libreoffice5.1-writer              	       1        0        0        0        1
55260 libreoffice5.2                     	       1        0        0        0        1
55261 libreoffice5.2-base                	       1        0        0        0        1
55262 libreoffice5.2-calc                	       1        0        0        0        1
55263 libreoffice5.2-debian-menus        	       1        0        1        0        0
55264 libreoffice5.2-dict-en             	       1        0        0        0        1
55265 libreoffice5.2-dict-es             	       1        0        0        0        1
55266 libreoffice5.2-dict-fr             	       1        0        0        0        1
55267 libreoffice5.2-draw                	       1        0        0        0        1
55268 libreoffice5.2-en-us               	       1        0        0        0        1
55269 libreoffice5.2-impress             	       1        0        0        0        1
55270 libreoffice5.2-math                	       1        0        0        0        1
55271 libreoffice5.2-ure                 	       1        0        0        0        1
55272 libreoffice5.2-writer              	       1        0        0        0        1
55273 libreoffice5.4-debian-menus        	       1        0        1        0        0
55274 libreoffice6.1-debian-menus        	       1        0        1        0        0
55275 libreoffice6.1-ure                 	       1        0        0        0        1
55276 libreoffice6.4-dict-ru             	       1        0        0        0        1
55277 libreoffice6.4-fr                  	       1        0        0        0        1
55278 libreoffice6.4-ru                  	       1        0        0        0        1
55279 libreoffice7.2-debian-menus        	       1        0        1        0        0
55280 libreoffice7.2-ure                 	       1        0        0        0        1
55281 libreoffice7.3                     	       1        0        0        0        1
55282 libreoffice7.3-base                	       1        0        0        0        1
55283 libreoffice7.3-calc                	       1        0        0        0        1
55284 libreoffice7.3-debian-menus        	       1        0        1        0        0
55285 libreoffice7.3-dict-en             	       1        0        0        0        1
55286 libreoffice7.3-dict-es             	       1        0        0        0        1
55287 libreoffice7.3-dict-fr             	       1        0        0        0        1
55288 libreoffice7.3-dict-ru             	       1        0        0        0        1
55289 libreoffice7.3-draw                	       1        0        0        0        1
55290 libreoffice7.3-en-us               	       1        0        0        0        1
55291 libreoffice7.3-impress             	       1        0        0        0        1
55292 libreoffice7.3-math                	       1        0        0        0        1
55293 libreoffice7.3-ru                  	       1        0        0        0        1
55294 libreoffice7.3-ure                 	       1        0        0        0        1
55295 libreoffice7.3-writer              	       1        0        0        0        1
55296 libreoffice7.5-dict-nl             	       1        0        0        0        1
55297 libreoffice7.5-nl                  	       1        0        0        0        1
55298 libreofficebox-keyring             	       1        0        0        0        1
55299 libreofficekit-dev                 	       1        0        1        0        0
55300 librepfunc1                        	       1        0        0        0        1
55301 libresource-retriever-dev          	       1        0        1        0        0
55302 libresource-retriever0d            	       1        0        0        0        1
55303 libressl                           	       1        0        1        0        0
55304 librest-application-perl           	       1        0        1        0        0
55305 librest-doc                        	       1        0        0        0        1
55306 librest-extras-1.0-0               	       1        0        0        0        1
55307 librest-extras-dev                 	       1        0        1        0        0
55308 libresult-ocaml                    	       1        0        1        0        0
55309 libreswan                          	       1        0        1        0        0
55310 libretro-genesisplusgx             	       1        0        0        0        1
55311 libretro-mupen64plus               	       1        0        0        0        1
55312 libreturn-multilevel-perl          	       1        0        1        0        0
55313 libreturn-type-perl                	       1        0        1        0        0
55314 libreturn-value-perl               	       1        0        1        0        0
55315 librevm0                           	       1        0        0        0        1
55316 librexml-ruby                      	       1        0        0        0        1
55317 librg-exception-perl               	       1        0        1        0        0
55318 librg-reprof-bundle-perl           	       1        0        1        0        0
55319 librg-utils-perl                   	       1        0        1        0        0
55320 librgxg0                           	       1        0        0        0        1
55321 librhash0-dbgsym                   	       1        0        1        0        0
55322 librhonabwy0.9                     	       1        0        0        0        1
55323 librhonabwy1.1                     	       1        0        0        0        1
55324 librhythmbox-core9                 	       1        0        0        0        1
55325 librime-data-array30               	       1        0        0        0        1
55326 librime-data-combo-pinyin          	       1        0        0        0        1
55327 librime-data-double-pinyin         	       1        0        0        0        1
55328 librime-data-emoji                 	       1        0        0        0        1
55329 librime-data-ipa-xsampa            	       1        0        0        0        1
55330 librime-data-ipa-yunlong           	       1        0        0        0        1
55331 librime-data-jyutping              	       1        0        0        0        1
55332 librime-data-pinyin-simp           	       1        0        0        0        1
55333 librime-data-quick5                	       1        0        0        0        1
55334 librime-data-sampheng              	       1        0        0        0        1
55335 librime-data-scj6                  	       1        0        0        0        1
55336 librime-data-soutzoe               	       1        0        0        0        1
55337 librime-data-stenotype             	       1        0        0        0        1
55338 librime-data-wubi                  	       1        0        0        0        1
55339 librime-data-wugniu                	       1        0        0        0        1
55340 librime-data-zyenpheng             	       1        0        0        0        1
55341 libring-anti-forgery-clojure       	       1        0        0        0        1
55342 libring-defaults-clojure           	       1        0        0        0        1
55343 libring-headers-clojure            	       1        0        0        0        1
55344 libring-json-clojure               	       1        0        0        0        1
55345 libring-mock-clojure               	       1        0        0        0        1
55346 libring-servlet-clojure            	       1        0        0        0        1
55347 libring-ssl-clojure                	       1        0        0        0        1
55348 librlog1c2a                        	       1        0        0        0        1
55349 librnd4-dev                        	       1        0        0        1        0
55350 librnnoise-dev                     	       1        0        1        0        0
55351 librnnoise0                        	       1        0        0        0        1
55352 libroar-compat-tools               	       1        0        1        0        0
55353 libroar-compat1                    	       1        0        1        0        0
55354 libroar-dev                        	       1        0        1        0        0
55355 libroar-plugins-universal          	       1        0        0        0        1
55356 libroar1                           	       1        0        0        0        1
55357 libroaring-dev                     	       1        0        1        0        0
55358 libroaring0                        	       1        0        0        0        1
55359 librocksdb7.8                      	       1        0        0        0        1
55360 librocrand1                        	       1        0        0        0        1
55361 librocsparse0                      	       1        0        0        0        1
55362 librole-basic-perl                 	       1        0        1        0        0
55363 librole-eventemitter-perl          	       1        0        1        0        0
55364 librole-hasmessage-perl            	       1        0        1        0        0
55365 librole-identifiable-perl          	       1        0        1        0        0
55366 librome-java                       	       1        0        0        0        1
55367 librosbag-dev                      	       1        0        1        0        0
55368 librosbag-storage-dev              	       1        0        1        0        0
55369 librosbag-storage4d                	       1        0        0        0        1
55370 librosbag4d                        	       1        0        0        0        1
55371 librosconsole-bridge-dev           	       1        0        1        0        0
55372 librosconsole-bridge0d             	       1        0        0        0        1
55373 librose-datetime-perl              	       1        0        1        0        0
55374 libroslib-dev                      	       1        0        1        0        0
55375 libroslz4-1d                       	       1        0        0        0        1
55376 libroslz4-dev                      	       1        0        1        0        0
55377 librospack-dev                     	       1        0        1        0        0
55378 librostest-dev                     	       1        0        1        0        0
55379 librpcsecgss-dev                   	       1        0        1        0        0
55380 librplay3-dev                      	       1        0        1        0        0
55381 librpm9t64                         	       1        0        0        0        1
55382 librpmbuild9t64                    	       1        0        0        0        1
55383 librpmio9t64                       	       1        0        0        0        1
55384 librpmsign9t64                     	       1        0        0        0        1
55385 librqrcode-ruby1.9                 	       1        0        0        0        1
55386 librrd2                            	       1        0        0        0        1
55387 librrdp-perl                       	       1        0        1        0        0
55388 librrdtool-oo-perl                 	       1        0        1        0        0
55389 librresult-ocaml                   	       1        0        1        0        0
55390 librresult-ocaml-dev               	       1        0        1        0        0
55391 librsb0t64                         	       1        0        0        0        1
55392 librsl-dev                         	       1        0        1        0        0
55393 librspec-ruby1.9.1                 	       1        0        0        0        1
55394 librsskit-dev                      	       1        0        1        0        0
55395 librsvg2-tests                     	       1        0        0        0        1
55396 librt-client-rest-perl             	       1        0        1        0        0
55397 librtaudio5                        	       1        0        0        0        1
55398 librte-acl2                        	       1        0        0        0        1
55399 librte-bus-dpaa18.11               	       1        0        0        0        1
55400 librte-bus-fslmc18.11              	       1        0        0        0        1
55401 librte-bus-ifpga18.11              	       1        0        0        0        1
55402 librte-bus-pci18.11                	       1        0        0        0        1
55403 librte-bus-vdev18.11               	       1        0        0        0        1
55404 librte-bus-vmbus18.11              	       1        0        0        0        1
55405 librte-cfgfile2                    	       1        0        0        0        1
55406 librte-cmdline2                    	       1        0        0        0        1
55407 librte-common-cpt18.11             	       1        0        0        0        1
55408 librte-common-dpaax18.11           	       1        0        0        0        1
55409 librte-common-octeontx18.11        	       1        0        0        0        1
55410 librte-cryptodev2                  	       1        0        0        0        1
55411 librte-cryptodev20.0               	       1        0        0        0        1
55412 librte-distributor1                	       1        0        0        0        1
55413 librte-eal20.0                     	       1        0        0        0        1
55414 librte-eal21                       	       1        0        0        0        1
55415 librte-eal3                        	       1        0        0        0        1
55416 librte-ethdev21                    	       1        0        0        0        1
55417 librte-ethdev5                     	       1        0        0        0        1
55418 librte-hash2                       	       1        0        0        0        1
55419 librte-hash20.0                    	       1        0        0        0        1
55420 librte-ip-frag1                    	       1        0        0        0        1
55421 librte-ipsec0.200                  	       1        0        0        0        1
55422 librte-jobstats1                   	       1        0        0        0        1
55423 librte-kni2                        	       1        0        0        0        1
55424 librte-kvargs1                     	       1        0        0        0        1
55425 librte-kvargs20.0                  	       1        0        0        0        1
55426 librte-kvargs21                    	       1        0        0        0        1
55427 librte-lpm2                        	       1        0        0        0        1
55428 librte-mbuf2                       	       1        0        0        0        1
55429 librte-mbuf20.0                    	       1        0        0        0        1
55430 librte-mbuf21                      	       1        0        0        0        1
55431 librte-mempool-bucket18.11         	       1        0        0        0        1
55432 librte-mempool-dpaa18.11           	       1        0        0        0        1
55433 librte-mempool-dpaa2-18.11         	       1        0        0        0        1
55434 librte-mempool-octeontx18.11       	       1        0        0        0        1
55435 librte-mempool-ring18.11           	       1        0        0        0        1
55436 librte-mempool-stack18.11          	       1        0        0        0        1
55437 librte-mempool2                    	       1        0        0        0        1
55438 librte-mempool20.0                 	       1        0        0        0        1
55439 librte-mempool21                   	       1        0        0        0        1
55440 librte-meter1                      	       1        0        0        0        1
55441 librte-meter21                     	       1        0        0        0        1
55442 librte-net1                        	       1        0        0        0        1
55443 librte-net20.0                     	       1        0        0        0        1
55444 librte-net21                       	       1        0        0        0        1
55445 librte-pdump1                      	       1        0        0        0        1
55446 librte-pipeline3                   	       1        0        0        0        1
55447 librte-pmd-aesni-gcm18.11          	       1        0        0        0        1
55448 librte-pmd-aesni-mb18.11           	       1        0        0        0        1
55449 librte-pmd-af-packet1              	       1        0        0        0        1
55450 librte-pmd-af-packet18.11          	       1        0        0        0        1
55451 librte-pmd-ark18.11                	       1        0        0        0        1
55452 librte-pmd-atlantic18.11           	       1        0        0        0        1
55453 librte-pmd-avf18.11                	       1        0        0        0        1
55454 librte-pmd-avp18.11                	       1        0        0        0        1
55455 librte-pmd-axgbe18.11              	       1        0        0        0        1
55456 librte-pmd-bbdev-null18.11         	       1        0        0        0        1
55457 librte-pmd-bnx2x18.11              	       1        0        0        0        1
55458 librte-pmd-bnxt1                   	       1        0        0        0        1
55459 librte-pmd-bnxt18.11               	       1        0        0        0        1
55460 librte-pmd-bond1                   	       1        0        0        0        1
55461 librte-pmd-bond18.11               	       1        0        0        0        1
55462 librte-pmd-caam-jr18.11            	       1        0        0        0        1
55463 librte-pmd-ccp18.11                	       1        0        0        0        1
55464 librte-pmd-crypto-scheduler18.11   	       1        0        0        0        1
55465 librte-pmd-cxgbe1                  	       1        0        0        0        1
55466 librte-pmd-cxgbe18.11              	       1        0        0        0        1
55467 librte-pmd-dpaa-event18.11         	       1        0        0        0        1
55468 librte-pmd-dpaa-sec18.11           	       1        0        0        0        1
55469 librte-pmd-dpaa18.11               	       1        0        0        0        1
55470 librte-pmd-dpaa2-18.11             	       1        0        0        0        1
55471 librte-pmd-dpaa2-cmdif18.11        	       1        0        0        0        1
55472 librte-pmd-dpaa2-event18.11        	       1        0        0        0        1
55473 librte-pmd-dpaa2-qdma18.11         	       1        0        0        0        1
55474 librte-pmd-dpaa2-sec18.11          	       1        0        0        0        1
55475 librte-pmd-dsw-event18.11          	       1        0        0        0        1
55476 librte-pmd-e1000-1                 	       1        0        0        0        1
55477 librte-pmd-e1000-18.11             	       1        0        0        0        1
55478 librte-pmd-ena1                    	       1        0        0        0        1
55479 librte-pmd-ena18.11                	       1        0        0        0        1
55480 librte-pmd-enetc18.11              	       1        0        0        0        1
55481 librte-pmd-enic1                   	       1        0        0        0        1
55482 librte-pmd-enic18.11               	       1        0        0        0        1
55483 librte-pmd-failsafe18.11           	       1        0        0        0        1
55484 librte-pmd-fm10k1                  	       1        0        0        0        1
55485 librte-pmd-fm10k18.11              	       1        0        0        0        1
55486 librte-pmd-i40e1                   	       1        0        0        0        1
55487 librte-pmd-i40e18.11               	       1        0        0        0        1
55488 librte-pmd-ifc18.11                	       1        0        0        0        1
55489 librte-pmd-ifpga-rawdev18.11       	       1        0        0        0        1
55490 librte-pmd-ixgbe1                  	       1        0        0        0        1
55491 librte-pmd-ixgbe18.11              	       1        0        0        0        1
55492 librte-pmd-kni18.11                	       1        0        0        0        1
55493 librte-pmd-liquidio18.11           	       1        0        0        0        1
55494 librte-pmd-mlx4-18.11              	       1        0        0        0        1
55495 librte-pmd-mlx5-18.11              	       1        0        0        0        1
55496 librte-pmd-netvsc18.11             	       1        0        0        0        1
55497 librte-pmd-nfp18.11                	       1        0        0        0        1
55498 librte-pmd-null-crypto1            	       1        0        0        0        1
55499 librte-pmd-null-crypto18.11        	       1        0        0        0        1
55500 librte-pmd-null1                   	       1        0        0        0        1
55501 librte-pmd-null18.11               	       1        0        0        0        1
55502 librte-pmd-octeontx-compress18.11  	       1        0        0        0        1
55503 librte-pmd-octeontx-crypto18.11    	       1        0        0        0        1
55504 librte-pmd-octeontx-event18.11     	       1        0        0        0        1
55505 librte-pmd-octeontx18.11           	       1        0        0        0        1
55506 librte-pmd-opdl-event18.11         	       1        0        0        0        1
55507 librte-pmd-openssl18.11            	       1        0        0        0        1
55508 librte-pmd-pcap1                   	       1        0        0        0        1
55509 librte-pmd-pcap18.11               	       1        0        0        0        1
55510 librte-pmd-qat18.11                	       1        0        0        0        1
55511 librte-pmd-qede1                   	       1        0        0        0        1
55512 librte-pmd-qede18.11               	       1        0        0        0        1
55513 librte-pmd-ring18.11               	       1        0        0        0        1
55514 librte-pmd-ring2                   	       1        0        0        0        1
55515 librte-pmd-sfc18.11                	       1        0        0        0        1
55516 librte-pmd-skeleton-event18.11     	       1        0        0        0        1
55517 librte-pmd-skeleton-rawdev18.11    	       1        0        0        0        1
55518 librte-pmd-softnic18.11            	       1        0        0        0        1
55519 librte-pmd-sw-event18.11           	       1        0        0        0        1
55520 librte-pmd-tap18.11                	       1        0        0        0        1
55521 librte-pmd-thunderx18.11           	       1        0        0        0        1
55522 librte-pmd-vdev-netvsc18.11        	       1        0        0        0        1
55523 librte-pmd-vhost1                  	       1        0        0        0        1
55524 librte-pmd-vhost18.11              	       1        0        0        0        1
55525 librte-pmd-virtio-crypto18.11      	       1        0        0        0        1
55526 librte-pmd-virtio1                 	       1        0        0        0        1
55527 librte-pmd-virtio18.11             	       1        0        0        0        1
55528 librte-pmd-vmxnet3-18.11           	       1        0        0        0        1
55529 librte-pmd-vmxnet3-uio1            	       1        0        0        0        1
55530 librte-pmd-xenvirt1                	       1        0        0        0        1
55531 librte-pmd-zlib18.11               	       1        0        0        0        1
55532 librte-port3                       	       1        0        0        0        1
55533 librte-power1                      	       1        0        0        0        1
55534 librte-reorder1                    	       1        0        0        0        1
55535 librte-ring1                       	       1        0        0        0        1
55536 librte-ring20.0                    	       1        0        0        0        1
55537 librte-ring21                      	       1        0        0        0        1
55538 librte-sched1                      	       1        0        0        0        1
55539 librte-security20.0                	       1        0        0        0        1
55540 librte-table2                      	       1        0        0        0        1
55541 librte-telemetry21                 	       1        0        0        0        1
55542 librte-timer1                      	       1        0        0        0        1
55543 librte-vhost3                      	       1        0        0        0        1
55544 librtpkcs11ecp                     	       1        0        0        0        1
55545 libruby1.6                         	       1        0        1        0        0
55546 librududu0                         	       1        0        0        0        1
55547 librulexdb-dev                     	       1        0        1        0        0
55548 librulexdb0                        	       1        0        0        0        1
55549 libruli4                           	       1        0        0        0        1
55550 librust-ab-glyph-dev               	       1        0        0        0        1
55551 librust-ab-glyph-rasterizer+libm-dev	       1        0        0        0        1
55552 librust-ab-glyph-rasterizer-dev    	       1        0        0        0        1
55553 librust-ahash-0.7-dev              	       1        0        0        0        1
55554 librust-anes-dev                   	       1        0        0        0        1
55555 librust-annotate-snippets-dev      	       1        0        0        0        1
55556 librust-arc-swap-dev               	       1        0        0        0        1
55557 librust-array-init-dev             	       1        0        0        0        1
55558 librust-async-attributes-dev       	       1        0        0        0        1
55559 librust-async-channel-dev          	       1        0        0        0        1
55560 librust-async-executor-dev         	       1        0        0        0        1
55561 librust-async-fs-dev               	       1        0        0        0        1
55562 librust-async-global-executor-dev  	       1        0        0        0        1
55563 librust-async-io-dev               	       1        0        0        0        1
55564 librust-async-lock-dev             	       1        0        0        0        1
55565 librust-async-net-dev              	       1        0        0        0        1
55566 librust-async-process-dev          	       1        0        0        0        1
55567 librust-async-signal-dev           	       1        0        0        0        1
55568 librust-async-std-dev              	       1        0        0        0        1
55569 librust-async-task-dev             	       1        0        0        0        1
55570 librust-async-trait-dev            	       1        0        0        0        1
55571 librust-atk-dev                    	       1        0        0        0        1
55572 librust-atomic-waker-dev           	       1        0        0        0        1
55573 librust-automod-dev                	       1        0        0        0        1
55574 librust-base64-dev                 	       1        0        0        0        1
55575 librust-bit-field-dev              	       1        0        0        0        1
55576 librust-bit-set-dev                	       1        0        0        0        1
55577 librust-bitflags-1-dev             	       1        0        0        0        1
55578 librust-bitvec-dev                 	       1        0        0        0        1
55579 librust-blocking-dev               	       1        0        0        0        1
55580 librust-bstr-dev                   	       1        0        0        0        1
55581 librust-bytecheck+std-dev          	       1        0        0        0        1
55582 librust-bytemuck-derive-dev        	       1        0        0        0        1
55583 librust-bytemuck-dev               	       1        0        0        0        1
55584 librust-bytesize-dev               	       1        0        0        0        1
55585 librust-capnp-dev                  	       1        0        0        0        1
55586 librust-cast-dev                   	       1        0        0        0        1
55587 librust-cfg-aliases-dev            	       1        0        0        0        1
55588 librust-cfg-if-0.1-dev             	       1        0        0        0        1
55589 librust-ciborium-dev               	       1        0        0        0        1
55590 librust-ciborium-io-dev            	       1        0        0        0        1
55591 librust-ciborium-ll-dev            	       1        0        0        0        1
55592 librust-clap-builder-dev           	       1        0        0        0        1
55593 librust-clap-derive-dev            	       1        0        0        0        1
55594 librust-clap-dev                   	       1        0        1        0        0
55595 librust-color-quant-dev            	       1        0        0        0        1
55596 librust-concurrent-queue-dev       	       1        0        0        0        1
55597 librust-const-oid-dev              	       1        0        0        0        1
55598 librust-convert-case-dev           	       1        0        0        0        1
55599 librust-core-maths-dev             	       1        0        0        0        1
55600 librust-criterion-dev              	       1        0        0        0        1
55601 librust-cssparser-dev              	       1        0        0        0        1
55602 librust-cssparser-macros-dev       	       1        0        0        0        1
55603 librust-cstr-dev                   	       1        0        0        0        1
55604 librust-csv-core-dev               	       1        0        0        0        1
55605 librust-csv-dev                    	       1        0        0        0        1
55606 librust-curl-sys+openssl-sys-dev   	       1        0        0        0        1
55607 librust-curl-sys-dev               	       1        0        0        0        1
55608 librust-data-url-dev               	       1        0        0        0        1
55609 librust-defmt-dev                  	       1        0        0        0        1
55610 librust-defmt-macros-dev           	       1        0        0        0        1
55611 librust-defmt-parser-dev           	       1        0        0        0        1
55612 librust-derive-more-0.99-dev       	       1        0        0        0        1
55613 librust-diff-dev                   	       1        0        0        0        1
55614 librust-dirs-dev                   	       1        0        0        0        1
55615 librust-dirs-next-dev              	       1        0        0        0        1
55616 librust-dirs-sys-dev               	       1        0        0        0        1
55617 librust-dirs-sys-next-dev          	       1        0        0        0        1
55618 librust-dlv-list-dev               	       1        0        0        0        1
55619 librust-downcast-rs-dev            	       1        0        0        0        1
55620 librust-doxygen-rs-dev             	       1        0        0        0        1
55621 librust-dtoa-dev                   	       1        0        0        0        1
55622 librust-dtoa-short-dev             	       1        0        0        0        1
55623 librust-dunce-dev                  	       1        0        0        0        1
55624 librust-encoding-dev               	       1        0        0        0        1
55625 librust-encoding-index-japanese-dev	       1        0        0        0        1
55626 librust-encoding-index-korean-dev  	       1        0        0        0        1
55627 librust-encoding-index-simpchinese-dev	       1        0        0        0        1
55628 librust-encoding-index-singlebyte-dev	       1        0        0        0        1
55629 librust-encoding-index-tests-dev   	       1        0        0        0        1
55630 librust-encoding-index-tradchinese-dev	       1        0        0        0        1
55631 librust-eui48-dev                  	       1        0        0        0        1
55632 librust-event-listener-dev         	       1        0        0        0        1
55633 librust-event-listener-strategy-dev	       1        0        0        0        1
55634 librust-exr-dev                    	       1        0        0        0        1
55635 librust-fastrand-dev               	       1        0        0        0        1
55636 librust-field-offset-dev           	       1        0        0        0        1
55637 librust-float-cmp-dev              	       1        0        0        0        1
55638 librust-flume-dev                  	       1        0        0        0        1
55639 librust-fnv-dev                    	       1        0        0        0        1
55640 librust-form-urlencoded-dev        	       1        0        0        0        1
55641 librust-fragile-dev                	       1        0        0        0        1
55642 librust-funty-dev                  	       1        0        0        0        1
55643 librust-futf-dev                   	       1        0        0        0        1
55644 librust-futures-dev                	       1        0        0        0        1
55645 librust-futures-lite-dev           	       1        0        0        0        1
55646 librust-fxhash-dev                 	       1        0        0        0        1
55647 librust-gdk4-dev                   	       1        0        0        0        1
55648 librust-gdk4-sys-dev               	       1        0        1        0        0
55649 librust-geo-types-dev              	       1        0        0        0        1
55650 librust-gif-dev                    	       1        0        0        0        1
55651 librust-graphene-rs-dev            	       1        0        0        0        1
55652 librust-graphene-sys-dev           	       1        0        1        0        0
55653 librust-gsk4-dev                   	       1        0        0        0        1
55654 librust-gsk4-sys-dev               	       1        0        1        0        0
55655 librust-gtk-sys-dev                	       1        0        1        0        0
55656 librust-gtk4-dev                   	       1        0        0        0        1
55657 librust-gtk4-macros-dev            	       1        0        0        0        1
55658 librust-gtk4-sys-dev               	       1        0        1        0        0
55659 librust-half-dev                   	       1        0        0        0        1
55660 librust-hex-dev                    	       1        0        0        0        1
55661 librust-hmac-dev                   	       1        0        0        0        1
55662 librust-home-dev                   	       1        0        0        0        1
55663 librust-idna-dev                   	       1        0        0        0        1
55664 librust-image-dev                  	       1        0        0        0        1
55665 librust-image-webp-dev             	       1        0        0        0        1
55666 librust-is-terminal-dev            	       1        0        0        0        1
55667 librust-jobserver-dev              	       1        0        0        0        1
55668 librust-jpeg-decoder-dev           	       1        0        0        0        1
55669 librust-kstring-dev                	       1        0        0        0        1
55670 librust-kv-log-macro-dev           	       1        0        0        0        1
55671 librust-language-tags-dev          	       1        0        0        0        1
55672 librust-lebe-dev                   	       1        0        0        0        1
55673 librust-libdbus-sys-dev            	       1        0        0        0        1
55674 librust-librsvg-dev                	       1        0        0        0        1
55675 librust-lmdb-dev                   	       1        0        0        0        1
55676 librust-lmdb-sys-dev               	       1        0        0        0        1
55677 librust-locale-config-dev          	       1        0        0        0        1
55678 librust-mac-dev                    	       1        0        0        0        1
55679 librust-markup5ever-dev            	       1        0        0        0        1
55680 librust-matches-dev                	       1        0        0        0        1
55681 librust-matrixmultiply-dev         	       1        0        0        0        1
55682 librust-memmap2-dev                	       1        0        0        0        1
55683 librust-mint-dev                   	       1        0        0        0        1
55684 librust-munge-dev                  	       1        0        0        0        1
55685 librust-munge-macro-dev            	       1        0        0        0        1
55686 librust-nalgebra-dev               	       1        0        0        0        1
55687 librust-nalgebra-macros-dev        	       1        0        0        0        1
55688 librust-nanorand-dev               	       1        0        0        0        1
55689 librust-new-debug-unreachable-dev  	       1        0        0        0        1
55690 librust-num-bigint-dev             	       1        0        0        0        1
55691 librust-num-complex-dev            	       1        0        0        0        1
55692 librust-num-integer+std-dev        	       1        0        0        0        1
55693 librust-num-rational-dev           	       1        0        0        0        1
55694 librust-num-threads-dev            	       1        0        0        0        1
55695 librust-oorandom-dev               	       1        0        0        0        1
55696 librust-option-ext-dev             	       1        0        0        0        1
55697 librust-ordered-multimap-dev       	       1        0        0        0        1
55698 librust-osmesa-sys-dev             	       1        0        0        0        1
55699 librust-owned-ttf-parser-dev       	       1        0        0        0        1
55700 librust-pam-sys-dev                	       1        0        0        0        1
55701 librust-pamsm-dev                  	       1        0        0        0        1
55702 librust-parking-dev                	       1        0        0        0        1
55703 librust-paste-dev                  	       1        0        0        0        1
55704 librust-pest-derive-dev            	       1        0        0        0        1
55705 librust-pest-dev                   	       1        0        0        0        1
55706 librust-pest-generator-dev         	       1        0        0        0        1
55707 librust-pest-meta-dev              	       1        0        0        0        1
55708 librust-phf+phf-macros-dev         	       1        0        0        0        1
55709 librust-phf-codegen-dev            	       1        0        0        0        1
55710 librust-phf-generator-dev          	       1        0        1        0        0
55711 librust-phf-macros-dev             	       1        0        0        0        1
55712 librust-plotters-backend-dev       	       1        0        0        0        1
55713 librust-plotters-bitmap-dev        	       1        0        0        0        1
55714 librust-plotters-dev               	       1        0        0        0        1
55715 librust-plotters-svg-dev           	       1        0        0        0        1
55716 librust-png-dev                    	       1        0        0        0        1
55717 librust-podio-dev                  	       1        0        0        0        1
55718 librust-polling-dev                	       1        0        0        0        1
55719 librust-postgres-derive-dev        	       1        0        0        0        1
55720 librust-postgres-protocol-dev      	       1        0        0        0        1
55721 librust-postgres-types-dev         	       1        0        0        0        1
55722 librust-precomputed-hash-dev       	       1        0        0        0        1
55723 librust-prettyplease-dev           	       1        0        0        0        1
55724 librust-proc-macro-error-attr2-dev 	       1        0        0        0        1
55725 librust-proc-macro-error2-dev      	       1        0        0        0        1
55726 librust-proptest-dev               	       1        0        0        0        1
55727 librust-pure-rust-locales-dev      	       1        0        0        0        1
55728 librust-python3-dll-a-dev          	       1        0        0        0        1
55729 librust-qoi-dev                    	       1        0        0        0        1
55730 librust-quick-error-dev            	       1        0        0        0        1
55731 librust-quick-xml-dev              	       1        0        0        0        1
55732 librust-radium-dev                 	       1        0        0        0        1
55733 librust-rancor-dev                 	       1        0        0        0        1
55734 librust-rand-distr-dev             	       1        0        0        0        1
55735 librust-rand-xorshift-dev          	       1        0        0        0        1
55736 librust-rawpointer-dev             	       1        0        0        0        1
55737 librust-rctree-dev                 	       1        0        0        0        1
55738 librust-regex-cursor-dev           	       1        0        0        0        1
55739 librust-regex-lite-dev             	       1        0        0        0        1
55740 librust-regex-test-dev             	       1        0        0        0        1
55741 librust-rgb-dev                    	       1        0        0        0        1
55742 librust-ropey-dev                  	       1        0        0        0        1
55743 librust-rust-ini-dev               	       1        0        0        0        1
55744 librust-rustc-serialize-dev        	       1        0        0        0        1
55745 librust-rusty-fork+wait-timeout-dev	       1        0        0        0        1
55746 librust-rusty-fork-dev             	       1        0        0        0        1
55747 librust-ruzstd-dev                 	       1        0        1        0        0
55748 librust-safe-arch-dev              	       1        0        0        0        1
55749 librust-scoped-tls-dev             	       1        0        0        0        1
55750 librust-selectors-dev              	       1        0        0        0        1
55751 librust-semver-0.9-dev             	       1        0        0        0        1
55752 librust-semver-parser-0.7-dev      	       1        0        0        0        1
55753 librust-serde-spanned-dev          	       1        0        0        0        1
55754 librust-servo-arc-dev              	       1        0        0        0        1
55755 librust-shared-library-dev         	       1        0        0        0        1
55756 librust-simba-dev                  	       1        0        0        0        1
55757 librust-simd-adler32-dev           	       1        0        0        0        1
55758 librust-simd-helpers-dev           	       1        0        0        0        1
55759 librust-smol-dev                   	       1        0        0        0        1
55760 librust-smol-str-dev               	       1        0        0        0        1
55761 librust-str-indices-dev            	       1        0        0        0        1
55762 librust-string-cache-codegen-dev   	       1        0        0        0        1
55763 librust-string-cache-dev           	       1        0        0        0        1
55764 librust-stringprep-dev             	       1        0        0        0        1
55765 librust-subtle+default-dev         	       1        0        0        0        1
55766 librust-syn-1-dev                  	       1        0        0        0        1
55767 librust-tap-dev                    	       1        0        0        0        1
55768 librust-tempfile-dev               	       1        0        0        0        1
55769 librust-tendril-dev                	       1        0        0        0        1
55770 librust-thin-vec-dev               	       1        0        0        0        1
55771 librust-thiserror-1-dev            	       1        0        0        0        1
55772 librust-thiserror-impl-1-dev       	       1        0        0        0        1
55773 librust-tiff-dev                   	       1        0        0        0        1
55774 librust-time-dev                   	       1        0        0        0        1
55775 librust-time-macros-dev            	       1        0        0        0        1
55776 librust-tinytemplate-dev           	       1        0        0        0        1
55777 librust-tokio-openssl-dev          	       1        0        0        0        1
55778 librust-tokio-postgres-dev         	       1        0        0        0        1
55779 librust-tokio-util-dev             	       1        0        0        0        1
55780 librust-toml-datetime-dev          	       1        0        0        0        1
55781 librust-toml-edit-dev              	       1        0        0        0        1
55782 librust-traitobject-dev            	       1        0        0        0        1
55783 librust-trim-in-place-dev          	       1        0        0        0        1
55784 librust-triomphe-dev               	       1        0        0        0        1
55785 librust-ttf-parser-dev             	       1        0        0        0        1
55786 librust-twox-hash-dev              	       1        0        0        0        1
55787 librust-typed-arena-dev            	       1        0        0        0        1
55788 librust-typemap-dev                	       1        0        0        0        1
55789 librust-ucd-trie-dev               	       1        0        0        0        1
55790 librust-unarray-dev                	       1        0        0        0        1
55791 librust-unicode-width-0.1-dev      	       1        0        0        0        1
55792 librust-unsafe-any-dev             	       1        0        0        0        1
55793 librust-unsize-dev                 	       1        0        0        0        1
55794 librust-url-dev                    	       1        0        0        0        1
55795 librust-utf-8-dev                  	       1        0        0        0        1
55796 librust-wait-timeout-dev           	       1        0        1        0        0
55797 librust-wasm-bindgen+default-dev   	       1        0        0        0        1
55798 librust-wasm-bindgen+spans-dev     	       1        0        0        0        1
55799 librust-wasm-bindgen-macro+spans-dev	       1        0        0        0        1
55800 librust-wasm-bindgen-macro-support+spans-dev	       1        0        0        0        1
55801 librust-wayland-client+scoped-tls-dev	       1        0        0        0        1
55802 librust-wayland-client+use-system-lib-dev	       1        0        0        0        1
55803 librust-wayland-client-dev         	       1        0        0        0        1
55804 librust-wayland-commons-dev        	       1        0        0        0        1
55805 librust-wayland-egl-dev            	       1        0        0        0        1
55806 librust-wayland-scanner-dev        	       1        0        0        0        1
55807 librust-wayland-sys-dev            	       1        0        0        0        1
55808 librust-weezl-dev                  	       1        0        1        0        0
55809 librust-wide-dev                   	       1        0        0        0        1
55810 librust-winnow-dev                 	       1        0        0        0        1
55811 librust-wyz-dev                    	       1        0        0        0        1
55812 librust-xcb+xfixes-dev             	       1        0        0        0        1
55813 librust-xcb-dev                    	       1        0        0        0        1
55814 librust-xdg-dev                    	       1        0        0        0        1
55815 librust-xdg-home-dev               	       1        0        0        0        1
55816 librust-xml5ever-dev               	       1        0        0        0        1
55817 librust-xmlparser-dev              	       1        0        0        0        1
55818 librust-xmltree+indexmap-dev       	       1        0        0        0        1
55819 librust-xmltree-dev                	       1        0        0        0        1
55820 librust-xmlwriter-dev              	       1        0        0        0        1
55821 librust-xor-name-dev               	       1        0        0        0        1
55822 librust-xshell-macros-dev          	       1        0        0        0        1
55823 librust-xterm-query-dev            	       1        0        0        0        1
55824 librust-yaml-dev                   	       1        0        0        0        1
55825 librust-yansi-dev                  	       1        0        0        0        1
55826 librust-yansi-term-dev             	       1        0        0        0        1
55827 librust-zstd-dev                   	       1        0        0        0        1
55828 librust-zstd-safe-dev              	       1        0        0        0        1
55829 librust-zstd-sys-dev               	       1        0        1        0        0
55830 librust-zune-core-dev              	       1        0        0        0        1
55831 librust-zune-inflate-dev           	       1        0        0        0        1
55832 librust-zune-jpeg-dev              	       1        0        0        0        1
55833 librviz5d                          	       1        0        0        0        1
55834 librviz6d                          	       1        0        0        0        1
55835 librviz7d                          	       1        0        0        0        1
55836 librxp0                            	       1        0        0        0        1
55837 librygel-core-1.0-0                	       1        0        0        0        1
55838 librygel-core-2.0-1                	       1        0        0        0        1
55839 librygel-renderer-1.0-0            	       1        0        0        0        1
55840 librygel-server-1.0-0              	       1        0        0        0        1
55841 libryzom-clientsheets0             	       1        0        0        0        1
55842 libryzom-gameshare0                	       1        0        0        0        1
55843 libs3-4                            	       1        0        0        0        1
55844 libs6-2.13                         	       1        0        0        0        1
55845 libsafe-iop-dev                    	       1        0        1        0        0
55846 libsafe-iop0                       	       1        0        0        0        1
55847 libsaga                            	       1        0        0        0        1
55848 libsaga-api-2.3.1                  	       1        0        0        0        1
55849 libsaga-dev                        	       1        0        1        0        0
55850 libsaga-gdi-2.3.1                  	       1        0        0        0        1
55851 libsage-dev                        	       1        0        1        0        0
55852 libsam-java                        	       1        0        0        0        1
55853 libsaml-doc                        	       1        0        0        0        1
55854 libsaml12                          	       1        0        0        0        1
55855 libsampleicc-dev                   	       1        0        1        0        0
55856 libsampleicc2                      	       1        0        0        0        1
55857 libsane-dsseries                   	       1        0        0        0        1
55858 libsapdbc-java                     	       1        0        0        0        1
55859 libsasl2-module-xoauth2            	       1        1        0        0        0
55860 libsass0                           	       1        0        0        0        1
55861 libsbjson-dev                      	       1        0        1        0        0
55862 libsbjson2.3                       	       1        0        0        0        1
55863 libsbml5                           	       1        0        0        0        1
55864 libsbml5-dev                       	       1        0        1        0        0
55865 libsbt-launcher-interface-java     	       1        0        0        0        1
55866 libsbt-serialization-java          	       1        0        0        0        1
55867 libscala-pickling-java             	       1        0        0        0        1
55868 libscalapack-mpich2.2              	       1        0        0        0        1
55869 libscalapack-openmpi1              	       1        0        0        0        1
55870 libscalar-readonly-perl            	       1        0        0        0        1
55871 libscalar-util-numeric-perl        	       1        0        0        0        1
55872 libscamperfile0t64                 	       1        0        0        0        1
55873 libscca-utils                      	       1        0        1        0        0
55874 libschedule-cron-events-perl       	       1        1        0        0        0
55875 libschroedinger-doc                	       1        0        0        0        1
55876 libscim-dev                        	       1        0        1        0        0
55877 libscim8c2a                        	       1        0        0        0        1
55878 libsciplot1                        	       1        0        0        0        1
55879 libscitokens-dev                   	       1        1        0        0        0
55880 libscotch-5.1                      	       1        0        1        0        0
55881 libscotchmetis-dev                 	       1        0        1        0        0
55882 libscotchparmetis-dev              	       1        0        1        0        0
55883 libscrollkeeper0                   	       1        0        0        0        1
55884 libscscp1                          	       1        0        0        0        1
55885 libscscp1-dev                      	       1        0        1        0        0
55886 libscythestat-dev                  	       1        0        1        0        0
55887 libsdl-sound-gst                   	       1        0        0        0        1
55888 libsdl-stretch-0-3                 	       1        0        0        0        1
55889 libsdl-stretch-dev                 	       1        0        1        0        0
55890 libsdl-ttf-gst                     	       1        0        0        0        1
55891 libsdl1.2-compat-dbgsym            	       1        0        1        0        0
55892 libsdl1.2-compat-tests             	       1        0        0        0        1
55893 libsdl2-2.0-0-dbgsym               	       1        0        1        0        0
55894 libsdl2-build-deps-depends         	       1        0        0        0        1
55895 libsdl2-dev-dbgsym                 	       1        0        1        0        0
55896 libsdl2-image-2.0-0-dbgsym         	       1        0        1        0        0
55897 libsdl2-mixer-2.0-0-dbgsym         	       1        0        1        0        0
55898 libsdl2-pango4                     	       1        0        0        0        1
55899 libsdl3-0                          	       1        0        0        0        1
55900 libsdl3-image0                     	       1        0        0        0        1
55901 libsdl3-ttf0                       	       1        0        0        0        1
55902 libsdsl-dev                        	       1        0        0        0        1
55903 libseafile0t64                     	       1        0        0        0        1
55904 libsearch-gin-perl                 	       1        0        1        0        0
55905 libsearch-queryparser-perl         	       1        0        1        0        0
55906 libsearpc-dev                      	       1        0        1        0        0
55907 libsecp256k1-2                     	       1        0        0        0        1
55908 libsedlex-ocaml                    	       1        0        1        0        0
55909 libsedlex-ocaml-dev                	       1        0        1        0        0
55910 libselenium-remote-driver-perl     	       1        0        1        0        0
55911 libsemanage-dev                    	       1        1        0        0        0
55912 libsemanage1-dev                   	       1        0        1        0        0
55913 libsemver-clojure                  	       1        0        0        0        1
55914 libsensor-msgs-dev                 	       1        0        1        0        0
55915 libsentencepiece0                  	       1        0        0        0        1
55916 libsequel-ruby1.9.1                	       1        0        0        0        1
55917 libserf-dev                        	       1        0        1        0        0
55918 libserf1                           	       1        0        0        0        1
55919 libserializer-java-openoffice.org  	       1        0        0        0        1
55920 libservlet2.4-java                 	       1        0        0        0        1
55921 libsession-token-perl              	       1        0        0        0        1
55922 libset-crontab-perl                	       1        1        0        0        0
55923 libsexp-processor-ruby1.9.1        	       1        0        0        0        1
55924 libsfark-dev                       	       1        0        1        0        0
55925 libsfcutil0                        	       1        0        0        0        1
55926 libsfdo0                           	       1        0        0        0        1
55927 libsfml-audio2                     	       1        0        0        0        1
55928 libsfml-graphics2                  	       1        0        0        0        1
55929 libsfml-network2                   	       1        0        0        0        1
55930 libsfml-network2.4                 	       1        0        0        0        1
55931 libsfml-system2                    	       1        0        0        0        1
55932 libsfml-window2                    	       1        0        0        0        1
55933 libsgutils1-0                      	       1        0        0        0        1
55934 libshairport1                      	       1        0        0        0        1
55935 libshape-msgs-dev                  	       1        0        1        0        0
55936 libshark-dev                       	       1        0        1        0        0
55937 libshark0                          	       1        0        0        0        1
55938 libshell-utils-clojure             	       1        0        0        0        1
55939 libshiboken-dev                    	       1        0        1        0        0
55940 libshine-ocaml                     	       1        0        1        0        0
55941 libshine-ocaml-dev                 	       1        0        1        0        0
55942 libshogun-dev                      	       1        0        1        0        0
55943 libshogun16                        	       1        0        0        0        1
55944 libshumate-dev                     	       1        0        1        0        0
55945 libsidplay1-dev                    	       1        0        1        0        0
55946 libsidplayfp                       	       1        0        0        0        1
55947 libsidplayfp-doc                   	       1        0        0        0        1
55948 libsieve2-1                        	       1        0        0        0        1
55949 libsigc++-1.2-5c2                  	       1        0        0        0        1
55950 libsigc++0c2                       	       1        0        0        0        1
55951 libsight                           	       1        0        1        0        0
55952 libsignon-plugins-doc              	       1        0        0        0        1
55953 libsignon-qt6-dev                  	       1        0        1        0        0
55954 libsignon-qt6-doc                  	       1        0        0        0        1
55955 libsilc-1.1-2-dbg                  	       1        0        1        0        0
55956 libsilc-dev                        	       1        0        1        0        0
55957 libsilcclient-1.1-3-dbg            	       1        0        1        0        0
55958 libsilk1                           	       1        0        0        0        1
55959 libsilo-bin                        	       1        0        1        0        0
55960 libsiloh5-0t64                     	       1        0        0        0        1
55961 libsimbody-dev                     	       1        0        1        0        0
55962 libsimbody3.7                      	       1        0        0        0        1
55963 libsimdjson19                      	       1        0        0        0        1
55964 libsinatra-ruby1.9.1               	       1        0        0        0        1
55965 libsingular4m1                     	       1        0        0        0        1
55966 libsingular4m2n1                   	       1        0        0        0        1
55967 libsiscone-dev                     	       1        0        1        0        0
55968 libsiscone-spherical-dev           	       1        0        1        0        0
55969 libsiscone-spherical0v5            	       1        0        0        0        1
55970 libsiscone0v5                      	       1        0        0        0        1
55971 libsisu-maven-plugin-java          	       1        0        0        0        1
55972 libsixel-examples                  	       1        0        0        0        1
55973 libskarnet2.12                     	       1        0        0        0        1
55974 libskstream-0.3-4                  	       1        0        0        0        1
55975 libskstream-0.3-7                  	       1        0        0        0        1
55976 libsl0t64-heimdal                  	       1        0        0        0        1
55977 libslang1                          	       1        0        0        0        1
55978 libsleef-dev                       	       1        0        1        0        0
55979 libslepc-real3.18-dev              	       1        0        0        0        1
55980 libslicot-dev                      	       1        0        0        0        1
55981 libslingshot-clojure               	       1        0        0        0        1
55982 libslirp-helper                    	       1        0        0        1        0
55983 libslurm-dev                       	       1        0        1        0        0
55984 libslurm39                         	       1        0        0        0        1
55985 libslvs1-dev                       	       1        0        1        0        0
55986 libsmallfry-dev                    	       1        0        1        0        0
55987 libsmallfry0                       	       1        0        0        0        1
55988 libsmartcols1-dbgsym               	       1        0        1        0        0
55989 libsmbios-dev                      	       1        0        0        1        0
55990 libsmc-dev                         	       1        0        1        0        0
55991 libsmf-dev                         	       1        0        1        0        0
55992 libsmi2-common                     	       1        0        0        0        1
55993 libsmithwaterman0                  	       1        0        0        0        1
55994 libsml1                            	       1        0        0        0        1
55995 libsmltk0t64                       	       1        0        0        0        1
55996 libsmokeokular3                    	       1        0        0        0        1
55997 libsnack2                          	       1        0        1        0        0
55998 libsnapper5                        	       1        0        0        0        1
55999 libsndifsdl2-dev                   	       1        0        1        0        0
56000 libsndio6.0                        	       1        0        0        0        1
56001 libsndobj-dev                      	       1        0        1        0        0
56002 libsnl0t64                         	       1        0        0        0        1
56003 libsnmp-info-perl                  	       1        0        1        0        0
56004 libsnmp35                          	       1        0        0        0        1
56005 libsnmp9                           	       1        0        0        0        1
56006 libsnp-sites1                      	       1        0        0        0        1
56007 libsoapysdr0.6                     	       1        0        0        0        1
56008 libsocket++-dev                    	       1        0        1        0        0
56009 libsocket-wrapper                  	       1        0        1        0        0
56010 libsofa1                           	       1        0        0        0        1
56011 libsofia-sip-ua-glib3t64           	       1        0        1        0        0
56012 libsofia-sip-ua0t64                	       1        0        1        0        0
56013 libsofthsm2-dev                    	       1        0        1        0        0
56014 libsoftware-copyright-perl         	       1        0        0        1        0
56015 libsonic-dev                       	       1        0        1        0        0
56016 libsoprano-dev                     	       1        0        1        0        0
56017 libsort-maker-perl                 	       1        0        1        0        0
56018 libsoundtouch1c2                   	       1        0        0        0        1
56019 libsoup-3.0-tests                  	       1        0        0        0        1
56020 libsource-highlight3               	       1        0        0        0        1
56021 libsource-highlight4               	       1        0        0        0        1
56022 libspa-lib-0.1-dev                 	       1        0        1        0        0
56023 libspandsp-doc                     	       1        0        0        0        1
56024 libsparskit-dev                    	       1        0        1        0        0
56025 libsparskit2.0                     	       1        0        0        0        1
56026 libspatialindex-c4v5               	       1        0        0        0        1
56027 libspatialindex-c5                 	       1        0        0        0        1
56028 libspatialindex3                   	       1        0        0        0        1
56029 libspatialite5                     	       1        0        0        0        1
56030 libspctag1                         	       1        0        0        0        1
56031 libspdylay-dev                     	       1        0        1        0        0
56032 libspdylay7                        	       1        0        0        0        1
56033 libspecio-library-path-tiny-perl   	       1        0        1        0        0
56034 libspeex-ocaml                     	       1        0        0        0        1
56035 libspeex-ocaml-dev                 	       1        0        1        0        0
56036 libspex2                           	       1        0        0        0        1
56037 libsphinx-dev                      	       1        0        1        0        0
56038 libsphinx-search-perl              	       1        0        1        0        0
56039 libsphinx0                         	       1        0        0        0        1
56040 libsphinx2g0                       	       1        0        0        0        1
56041 libsphinxbase-doc                  	       1        0        0        0        1
56042 libspice-client-gtk-2.0-4          	       1        0        0        0        1
56043 libspiro1-dbgsym                   	       1        0        1        0        0
56044 libspoa1.1.3                       	       1        0        0        0        1
56045 libspoa7.0.0                       	       1        0        0        0        1
56046 libspock-java                      	       1        0        0        0        1
56047 libspooles-dev                     	       1        0        1        0        0
56048 libspqr1.3.1                       	       1        0        0        0        1
56049 libspqr3                           	       1        0        0        0        1
56050 libspread-sheet-widget0            	       1        0        0        0        1
56051 libspreadsheet-ruby1.9.1           	       1        0        0        0        1
56052 libspreadsheet-wright-perl         	       1        0        1        0        0
56053 libsql-splitstatement-perl         	       1        0        1        0        0
56054 libsql-tokenizer-perl              	       1        0        1        0        0
56055 libsqlite3-ruby1.9.1               	       1        0        0        0        1
56056 libsquid-dev                       	       1        0        1        0        0
56057 libsquid1                          	       1        0        0        0        1
56058 libsquizz                          	       1        0        0        0        1
56059 libsrt1                            	       1        0        0        0        1
56060 libsrt1-gnutls                     	       1        0        0        0        1
56061 libsrtp2-docs                      	       1        0        0        0        1
56062 libssh2-php                        	       1        0        0        0        1
56063 libssl-utils-clojure               	       1        0        0        0        1
56064 libssl0.9.7                        	       1        0        1        0        0
56065 libssl1.0.0-dbg                    	       1        0        1        0        0
56066 libssl1.1-dbgsym                   	       1        0        1        0        0
56067 libssm-dev                         	       1        0        1        0        0
56068 libssm2                            	       1        0        0        0        1
56069 libssw-java                        	       1        0        1        0        0
56070 libssw0                            	       1        0        0        0        1
56071 libstaden-read14                   	       1        0        0        0        1
56072 libstarlink-ast-err0               	       1        0        0        0        1
56073 libstarlink-ast0                   	       1        0        0        0        1
56074 libstaroffice-doc                  	       1        0        0        0        1
56075 libstaroffice-tools                	       1        0        1        0        0
56076 libstarpu-1.2-0                    	       1        0        0        0        1
56077 libstat-lsmode-perl                	       1        0        1        0        0
56078 libstax-java-doc                   	       1        0        0        0        1
56079 libstb-build-deps                  	       1        0        0        0        1
56080 libstb0-dbgsym                     	       1        0        1        0        0
56081 libstd-rust-1.34                   	       1        0        0        0        1
56082 libstd-rust-1.60                   	       1        0        0        0        1
56083 libstd-rust-1.66                   	       1        0        0        0        1
56084 libstd-rust-dev-windows            	       1        0        0        0        1
56085 libstd-rust-mozilla-1.59           	       1        0        0        0        1
56086 libstd-rust-web-1.78               	       1        0        0        0        1
56087 libstd-rust-web-dev                	       1        0        0        0        1
56088 libstd-srvs-dev                    	       1        0        1        0        0
56089 libstdc++-10-dev-armel-cross       	       1        0        1        0        0
56090 libstdc++-10-dev-armhf-cross       	       1        0        1        0        0
56091 libstdc++-10-dev-ppc64-cross       	       1        0        1        0        0
56092 libstdc++-10-dev-riscv64-cross     	       1        0        1        0        0
56093 libstdc++-11-dev-armel-cross       	       1        0        1        0        0
56094 libstdc++-11-dev-armhf-cross       	       1        0        1        0        0
56095 libstdc++-12-dev-riscv64-cross     	       1        0        1        0        0
56096 libstdc++-12-dev-x32-cross         	       1        0        1        0        0
56097 libstdc++-13-dev-arm64-cross       	       1        0        1        0        0
56098 libstdc++-13-doc                   	       1        0        0        0        1
56099 libstdc++-15-dev                   	       1        0        1        0        0
56100 libstdc++-8-dev-mips-cross         	       1        0        1        0        0
56101 libstdc++-8-dev-mips64el-cross     	       1        0        1        0        0
56102 libstdc++-8-dev-mipsel-cross       	       1        0        1        0        0
56103 libstdc++-9-doc                    	       1        0        0        0        1
56104 libstdc++-arm-none-eabi-picolibc   	       1        0        0        0        1
56105 libstdc++2.10                      	       1        0        0        0        1
56106 libstdc++5-3.3-dev                 	       1        0        1        0        0
56107 libstdc++6-10-dbg                  	       1        0        0        0        1
56108 libstdc++6-4.6-dev                 	       1        0        1        0        0
56109 libstdc++6-6-dbg                   	       1        0        1        0        0
56110 libstdc++6-mips64el-cross          	       1        0        0        0        1
56111 libstdc++6-ppc64el-cross           	       1        0        0        0        1
56112 libstderesi0                       	       1        0        0        0        1
56113 libstereo-msgs-dev                 	       1        0        1        0        0
56114 libstilview-dev                    	       1        0        1        0        0
56115 libstilview0                       	       1        0        0        0        1
56116 libstk0-dev                        	       1        0        0        0        1
56117 libstk0c2a                         	       1        0        0        0        1
56118 libstlink-dev                      	       1        0        1        0        0
56119 libstlport4.6c2                    	       1        0        0        0        1
56120 libstlport4.6ldbl                  	       1        0        0        0        1
56121 libstomp-ruby1.9.1                 	       1        0        0        0        1
56122 libstonith1-dev                    	       1        0        1        0        0
56123 libstorj0                          	       1        0        0        0        1
56124 libstorj0t64                       	       1        0        0        0        1
56125 libstreamanalyzer0v5               	       1        0        1        0        0
56126 libstring-crc-cksum-perl           	       1        0        1        0        0
56127 libstring-diff-perl                	       1        0        1        0        0
56128 libstring-elide-parts-perl         	       1        0        1        0        0
56129 libstring-errf-perl                	       1        0        1        0        0
56130 libstring-flogger-perl             	       1        0        1        0        0
56131 libstring-print-perl               	       1        0        1        0        0
56132 libstring-template-maven-plugin-java	       1        0        0        0        1
56133 libstring-trim-more-perl           	       1        0        1        0        0
56134 libstring-truncate-perl            	       1        0        1        0        0
56135 libstruct-diff-perl                	       1        0        1        0        0
56136 libstutter-pcre                    	       1        0        1        0        0
56137 libstutter0                        	       1        0        1        0        0
56138 libstxxl-dev                       	       1        0        1        0        0
56139 libstxxl1v5                        	       1        0        0        0        1
56140 libstyx2                           	       1        0        0        0        1
56141 libsub-delete-perl                 	       1        0        1        0        0
56142 libsub-exporter-globexporter-perl  	       1        0        1        0        0
56143 libsubid-dev                       	       1        0        1        0        0
56144 libsuitesparseconfig4.4.6          	       1        0        0        0        1
56145 libsuma1                           	       1        0        0        0        1
56146 libsundials-core7                  	       1        0        0        0        1
56147 libsundials-ida7                   	       1        0        0        0        1
56148 libsundials-nvecparallel-petsc4    	       1        0        0        0        1
56149 libsundials-sunmatrix5             	       1        0        0        0        1
56150 libsuperlu-dist-dev                	       1        0        1        0        0
56151 libsuperlu3                        	       1        0        0        0        1
56152 libsuperlu4                        	       1        0        0        0        1
56153 libsv1                             	       1        0        0        0        1
56154 libsvgpp-dev                       	       1        0        0        0        1
56155 libsvgpp-doc                       	       1        0        0        0        1
56156 libsvmloc-dev                      	       1        0        0        0        1
56157 libsvmloc0                         	       1        0        0        0        1
56158 libsvn-class-perl                  	       1        0        1        0        0
56159 libsvnqt4-trinity                  	       1        0        0        0        1
56160 libsvtav1dec-dev                   	       1        0        0        0        1
56161 libsvthevcenc-dev                  	       1        1        0        0        0
56162 libswagger-core-java               	       1        0        0        0        1
56163 libswagger2-perl                   	       1        0        1        0        0
56164 libswe-dev                         	       1        0        1        0        0
56165 libswe0                            	       1        0        0        0        1
56166 libswe2.0                          	       1        0        0        0        1
56167 libswfdec0.3                       	       1        0        0        0        1
56168 libswfdec0.3-dev                   	       1        0        1        0        0
56169 libswingx-java-doc                 	       1        0        0        0        1
56170 libswiss-perl                      	       1        0        1        0        0
56171 libsword-1.8.1                     	       1        0        0        0        1
56172 libsword1.9.0t64                   	       1        0        0        0        1
56173 libsword11                         	       1        0        0        0        1
56174 libsword11v5                       	       1        0        0        0        1
56175 libswresample3-dbgsym              	       1        0        1        0        0
56176 libswresample5-dbgsym              	       1        0        1        0        0
56177 libswscale5-dbgsym                 	       1        0        1        0        0
56178 libswscale8-dbgsym                 	       1        0        1        0        0
56179 libswt-gtk-3-java-gcj              	       1        0        1        0        0
56180 libswt-gtk2-4-jni                  	       1        0        1        0        0
56181 libsymbol-get-perl                 	       1        0        1        0        0
56182 libsymbol-global-name-perl         	       1        0        1        0        0
56183 libsyncevo-dbus0                   	       1        0        0        0        1
56184 libsyncevolution0                  	       1        0        0        0        1
56185 libsynctex-dev                     	       1        0        1        0        0
56186 libsynfig-dev                      	       1        0        1        0        0
56187 libsyntax-highlight-perl-perl      	       1        0        1        0        0
56188 libsynthesis0t64                   	       1        0        0        0        1
56189 libsys-cpuload-perl                	       1        0        0        0        1
56190 libsys-filesystem-perl             	       1        0        1        0        0
56191 libsys-hostip-perl                 	       1        0        1        0        0
56192 libsys-statistics-linux-perl       	       1        0        1        0        0
56193 libsysprof-6-6                     	       1        0        0        0        1
56194 libsysprof-6-modules               	       1        0        0        0        1
56195 libsysprof-ui-4                    	       1        0        0        0        1
56196 libsysstat-qt5-0-dev               	       1        0        0        1        0
56197 libsysstat-qt6-1-dev               	       1        0        1        0        0
56198 libsystemback-dbg                  	       1        0        1        0        0
56199 libsystemc-doc                     	       1        0        1        0        0
56200 libsystemu-ruby1.9.1               	       1        0        0        0        1
56201 libt1-dev                          	       1        0        1        0        0
56202 libt3config-dev                    	       1        0        1        0        0
56203 libt3highlight-dev                 	       1        0        1        0        0
56204 libt3widget2t64                    	       1        0        0        0        1
56205 libtabixpp0                        	       1        0        0        0        1
56206 libtachyon-dev-common              	       1        0        1        0        0
56207 libtachyon-openmpi-0               	       1        0        0        0        1
56208 libtachyon-openmpi-0-dev           	       1        0        0        0        1
56209 libtag-doc                         	       1        0        0        0        1
56210 libtaglib2.0-cil                   	       1        0        0        0        1
56211 libtagsoup-java-doc                	       1        0        0        0        1
56212 libtaint-runtime-perl              	       1        0        0        0        1
56213 libtalloc1                         	       1        0        0        0        1
56214 libtaoframework-openal-cil-dev     	       1        0        1        0        0
56215 libtaoframework-sdl-cil-dev        	       1        0        1        0        0
56216 libtap-harness-archive-perl        	       1        0        1        0        0
56217 libtap-parser-sourcehandler-pgtap-perl	       1        0        1        0        0
56218 libtar                             	       1        0        0        0        1
56219 libtar0t64                         	       1        0        0        0        1
56220 libtcd-dev                         	       1        0        1        0        0
56221 libtcl-perl                        	       1        0        0        0        1
56222 libtcod-dev                        	       1        0        1        0        0
56223 libtcod0                           	       1        0        0        0        1
56224 libtcod1                           	       1        0        0        0        1
56225 libtcpcrypt-dev                    	       1        0        1        0        0
56226 libtcpcrypt0                       	       1        0        0        0        1
56227 libtcplay                          	       1        0        0        0        1
56228 libtcplay-dev                      	       1        0        1        0        0
56229 libteckit-dev                      	       1        0        1        0        0
56230 libteem-dev                        	       1        0        1        0        0
56231 libtelepathy-farstream-dev         	       1        0        1        0        0
56232 libtelepathy-glib-doc              	       1        0        1        0        0
56233 libtelepathy-logger-qt4-1          	       1        1        0        0        0
56234 libtelepathy-qt5-dev               	       1        0        1        0        0
56235 libtemplate-autofilter-perl        	       1        0        1        0        0
56236 libtemplate-multilingual-perl      	       1        0        1        0        0
56237 libtemplate-plugin-datetime-format-perl	       1        0        1        0        0
56238 libtemplate-plugin-posix-perl      	       1        0        1        0        0
56239 libtemplate-timer-perl             	       1        0        1        0        0
56240 libtemplates-parser11.10.1         	       1        0        0        0        1
56241 libtemplates-parser14-dev          	       1        0        1        0        0
56242 libtemplates-parser18              	       1        0        0        0        1
56243 libtemplates-parser20              	       1        0        0        0        1
56244 libtepl-4-0                        	       1        0        0        0        1
56245 libtercpp0v5                       	       1        0        0        0        1
56246 libterm-clui-perl                  	       1        0        1        0        0
56247 libterm-encoding-perl              	       1        0        1        0        0
56248 libterm-extendedcolor-perl         	       1        0        1        0        0
56249 libterm-filter-perl                	       1        0        1        0        0
56250 libterm-progressbar-quiet-perl     	       1        0        1        0        0
56251 libterm-progressbar-simple-perl    	       1        0        1        0        0
56252 libterm-prompt-perl                	       1        0        1        0        0
56253 libterm-readline-ttytter-perl      	       1        0        1        0        0
56254 libterm-slang-perl                 	       1        0        0        0        1
56255 libterm-spinner-color-perl         	       1        1        0        0        0
56256 libtermpaint0a                     	       1        0        0        0        1
56257 libtest-abortable-perl             	       1        0        1        0        0
56258 libtest-api-perl                   	       1        0        1        0        0
56259 libtest-async-http-perl            	       1        0        1        0        0
56260 libtest-autoloader-perl            	       1        0        1        0        0
56261 libtest-bdd-cucumber-perl          	       1        0        1        0        0
56262 libtest-bits-perl                  	       1        0        1        0        0
56263 libtest-carp-perl                  	       1        0        1        0        0
56264 libtest-check-clojure              	       1        0        0        0        1
56265 libtest-checkdeps-perl             	       1        0        1        0        0
56266 libtest-checkmanifest-perl         	       1        0        1        0        0
56267 libtest-chuck-clojure              	       1        0        0        0        1
56268 libtest-cleannamespaces-perl       	       1        0        1        0        0
56269 libtest-cmd-perl                   	       1        0        1        0        0
56270 libtest-command-simple-perl        	       1        0        1        0        0
56271 libtest-compile-perl               	       1        0        1        0        0
56272 libtest-consistentversion-perl     	       1        0        1        0        0
56273 libtest-cpan-meta-json-perl        	       1        0        1        0        0
56274 libtest-cukes-perl                 	       1        0        1        0        0
56275 libtest-data-perl                  	       1        0        1        0        0
56276 libtest-database-perl              	       1        0        1        0        0
56277 libtest-databaserow-perl           	       1        0        1        0        0
56278 libtest-dbic-expectedqueries-perl  	       1        0        1        0        0
56279 libtest-debian-perl                	       1        0        1        0        0
56280 libtest-deep-json-perl             	       1        0        1        0        0
56281 libtest-deep-type-perl             	       1        0        1        0        0
56282 libtest-deep-unorderedpairs-perl   	       1        0        1        0        0
56283 libtest-dependencies-perl          	       1        0        1        0        0
56284 libtest-diaginc-perl               	       1        0        1        0        0
56285 libtest-dir-perl                   	       1        0        1        0        0
56286 libtest-distmanifest-perl          	       1        0        1        0        0
56287 libtest-distribution-perl          	       1        0        1        0        0
56288 libtest-effects-perl               	       1        0        1        0        0
56289 libtest-email-perl                 	       1        0        1        0        0
56290 libtest-eol-perl                   	       1        0        1        0        0
56291 libtest-exception-lessclever-perl  	       1        0        1        0        0
56292 libtest-exit-perl                  	       1        0        1        0        0
56293 libtest-expander-perl              	       1        0        1        0        0
56294 libtest-expect-perl                	       1        0        1        0        0
56295 libtest-expectandcheck-perl        	       1        0        1        0        0
56296 libtest-exports-perl               	       1        0        1        0        0
56297 libtest-failwarnings-perl          	       1        0        1        0        0
56298 libtest-fake-httpd-perl            	       1        0        1        0        0
56299 libtest-file-contents-perl         	       1        0        1        0        0
56300 libtest-fitesque-perl              	       1        0        1        0        0
56301 libtest-fitesque-rdf-perl          	       1        0        0        1        0
56302 libtest-fixme-perl                 	       1        0        0        1        0
56303 libtest-fork-perl                  	       1        0        1        0        0
56304 libtest-future-io-impl-perl        	       1        0        1        0        0
56305 libtest-generative-clojure         	       1        0        0        0        1
56306 libtest-hasversion-perl            	       1        0        1        0        0
56307 libtest-hexdifferences-perl        	       1        0        1        0        0
56308 libtest-hexstring-perl             	       1        0        1        0        0
56309 libtest-html-content-perl          	       1        0        1        0        0
56310 libtest-html-w3c-perl              	       1        0        1        0        0
56311 libtest-http-localserver-perl      	       1        0        1        0        0
56312 libtest-http-server-simple-perl    	       1        0        1        0        0
56313 libtest-http-server-simple-stashwarnings-perl	       1        0        1        0        0
56314 libtest-identity-perl              	       1        0        1        0        0
56315 libtest-if-perl                    	       1        0        1        0        0
56316 libtest-image-gd-perl              	       1        0        1        0        0
56317 libtest-indistdir-perl             	       1        0        1        0        0
56318 libtest-inter-perl                 	       1        0        1        0        0
56319 libtest-is-perl                    	       1        0        1        0        0
56320 libtest-json-perl                  	       1        0        1        0        0
56321 libtest-json-schema-acceptance-perl	       1        0        1        0        0
56322 libtest-kwalitee-perl              	       1        0        1        0        0
56323 libtest-lectrotest-perl            	       1        0        1        0        0
56324 libtest-lib-perl                   	       1        0        1        0        0
56325 libtest-log-log4perl-perl          	       1        0        1        0        0
56326 libtest-log4perl-perl              	       1        0        1        0        0
56327 libtest-lwp-useragent-perl         	       1        0        1        0        0
56328 libtest-manifest-perl              	       1        0        1        0        0
56329 libtest-memorygrowth-perl          	       1        0        1        0        0
56330 libtest-minimumversion-perl        	       1        0        1        0        0
56331 libtest-mock-cmd-perl              	       1        0        1        0        0
56332 libtest-mock-lwp-perl              	       1        0        1        0        0
56333 libtest-mock-redis-perl            	       1        0        1        0        0
56334 libtest-mock-time-perl             	       1        0        1        0        0
56335 libtest-mockdatetime-perl          	       1        0        1        0        0
56336 libtest-mockdbi-perl               	       1        0        1        0        0
56337 libtest-mockfile-perl              	       1        0        1        0        0
56338 libtest-mockrandom-perl            	       1        0        1        0        0
56339 libtest-mocktime-hires-perl        	       1        0        1        0        0
56340 libtest-modern-perl                	       1        0        1        0        0
56341 libtest-module-used-perl           	       1        0        1        0        0
56342 libtest-mojibake-perl              	       1        0        1        0        0
56343 libtest-moose-more-perl            	       1        0        1        0        0
56344 libtest-name-fromline-perl         	       1        0        1        0        0
56345 libtest-needsdisplay-perl          	       1        0        1        0        0
56346 libtest-net-ldap-perl              	       1        0        1        0        0
56347 libtest-nicedump-perl              	       1        0        1        0        0
56348 libtest-nobreakpoints-perl         	       1        0        1        0        0
56349 libtest-notabs-perl                	       1        0        1        0        0
56350 libtest-object-perl                	       1        0        1        0        0
56351 libtest-perl-critic-progressive-perl	       1        0        1        0        0
56352 libtest-poe-client-tcp-perl        	       1        0        1        0        0
56353 libtest-poe-server-tcp-perl        	       1        0        1        0        0
56354 libtest-portability-files-perl     	       1        0        1        0        0
56355 libtest-postgresql-perl            	       1        0        1        0        0
56356 libtest-prereq-perl                	       1        0        1        0        0
56357 libtest-randomresult-perl          	       1        0        1        0        0
56358 libtest-rdf-doap-version-perl      	       1        0        1        0        0
56359 libtest-rdf-perl                   	       1        0        1        0        0
56360 libtest-redisserver-perl           	       1        0        1        0        0
56361 libtest-regexp-pattern-perl        	       1        0        1        0        0
56362 libtest-regexp-perl                	       1        0        1        0        0
56363 libtest-regression-perl            	       1        0        1        0        0
56364 libtest-reporter-perl              	       1        0        1        0        0
56365 libtest-requires-git-perl          	       1        0        1        0        0
56366 libtest-roo-perl                   	       1        0        1        0        0
56367 libtest-routine-perl               	       1        0        1        0        0
56368 libtest-script-perl                	       1        0        1        0        0
56369 libtest-script-run-perl            	       1        0        1        0        0
56370 libtest-sharedobject-perl          	       1        0        1        0        0
56371 libtest-signature-perl             	       1        0        1        0        0
56372 libtest-skip-unlessexistsexecutable-perl	       1        0        1        0        0
56373 libtest-snapshot-perl              	       1        0        1        0        0
56374 libtest-spec-perl                  	       1        0        1        0        0
56375 libtest-subcalls-perl              	       1        0        1        0        0
56376 libtest-synopsis-expectation-perl  	       1        0        1        0        0
56377 libtest-sys-info-perl              	       1        0        1        0        0
56378 libtest-tabledriven-perl           	       1        0        1        0        0
56379 libtest-tabs-perl                  	       1        0        1        0        0
56380 libtest-tempdir-perl               	       1        0        1        0        0
56381 libtest-tempdir-tiny-perl          	       1        0        1        0        0
56382 libtest-time-perl                  	       1        0        1        0        0
56383 libtest-timer-perl                 	       1        0        1        0        0
56384 libtest-trap-perl                  	       1        0        1        0        0
56385 libtest-unit-perl                  	       1        0        1        0        0
56386 libtest-unixsock-perl              	       1        0        1        0        0
56387 libtest-use-ok-perl                	       1        0        1        0        0
56388 libtest-useallmodules-perl         	       1        0        1        0        0
56389 libtest-valgrind-perl              	       1        0        1        0        0
56390 libtest-version-perl               	       1        0        1        0        0
56391 libtest-weaken-perl                	       1        0        1        0        0
56392 libtest-without-module-perl        	       1        0        1        0        0
56393 libtest-www-declare-perl           	       1        0        1        0        0
56394 libtest-www-mechanize-cgiapp-perl  	       1        0        1        0        0
56395 libtest-www-mechanize-mojo-perl    	       1        0        1        0        0
56396 libtest-www-mechanize-psgi-perl    	       1        0        1        0        0
56397 libtest-www-selenium-perl          	       1        0        1        0        0
56398 libtest-xml-perl                   	       1        0        1        0        0
56399 libtest-xml-simple-perl            	       1        0        1        0        0
56400 libtest-xpath-perl                 	       1        0        1        0        0
56401 libtest-yaml-meta-perl             	       1        0        1        0        0
56402 libtest2-harness-perl              	       1        0        1        0        0
56403 libtest2-plugin-ioevents-perl      	       1        0        1        0        0
56404 libtest2-plugin-memusage-perl      	       1        0        1        0        0
56405 libtest2-plugin-nowarnings-perl    	       1        0        1        0        0
56406 libtest2-plugin-uuid-perl          	       1        0        1        0        0
56407 libtest2-tools-command-perl        	       1        0        1        0        0
56408 libtest2-tools-explain-perl        	       1        0        1        0        0
56409 libtestng7-java                    	       1        0        0        0        1
56410 libtestu01-0                       	       1        0        0        0        1
56411 libtext-ansi-util-perl             	       1        0        1        0        0
56412 libtext-context-eitherside-perl    	       1        0        1        0        0
56413 libtext-context-perl               	       1        0        1        0        0
56414 libtext-csv-unicode-perl           	       1        0        1        0        0
56415 libtext-diff-formattedhtml-perl    	       1        0        1        0        0
56416 libtext-findindent-perl            	       1        0        1        0        0
56417 libtext-flow-perl                  	       1        0        1        0        0
56418 libtext-hogan-perl-build-deps      	       1        0        0        0        1
56419 libtext-kakasi-perl                	       1        0        0        0        1
56420 libtext-password-pronounceable-perl	       1        0        1        0        0
56421 libtext-patch-perl                 	       1        0        1        0        0
56422 libtext-qrcode-perl                	       1        0        0        0        1
56423 libtext-quoted-perl                	       1        0        1        0        0
56424 libtext-simpletable-autowidth-perl 	       1        0        1        0        0
56425 libtext-trim-perl-build-deps       	       1        0        0        0        1
56426 libtext-wikiformat-perl            	       1        0        1        0        0
56427 libtextcat-data                    	       1        0        0        0        1
56428 libtextcat0                        	       1        0        0        0        1
56429 libtexttools-doc                   	       1        0        0        0        1
56430 libtexttools11                     	       1        0        0        0        1
56431 libtexttools11-dev                 	       1        0        0        0        1
56432 libtf-conversions-dev              	       1        0        1        0        0
56433 libtf-conversions0d                	       1        0        0        0        1
56434 libtf-dev                          	       1        0        1        0        0
56435 libtf2-bullet-dev                  	       1        0        1        0        0
56436 libtf2-eigen-dev                   	       1        0        1        0        0
56437 libtf2-kdl-dev                     	       1        0        1        0        0
56438 libtf2-sensor-msgs-dev             	       1        0        1        0        0
56439 libtgl-0.0.0.20160623-0t64         	       1        0        0        0        1
56440 libtgvoip1.0                       	       1        0        0        0        1
56441 libtheora-ocaml                    	       1        0        1        0        0
56442 libtheora-ocaml-dev                	       1        0        1        0        0
56443 libthmap-dev                       	       1        0        1        0        0
56444 libthmap1                          	       1        0        0        0        1
56445 libthread-pool-dev                 	       1        0        0        0        1
56446 libthreadar-dev                    	       1        0        1        0        0
56447 libthunarx-3-0-dbgsym              	       1        0        1        0        0
56448 libticables2-6                     	       1        0        1        0        0
56449 libticcutils5                      	       1        0        0        0        1
56450 libtigris-clojure                  	       1        0        0        0        1
56451 libtime-format-perl                	       1        0        1        0        0
56452 libtins4.5                         	       1        0        0        0        1
56453 libtinyexr1d                       	       1        0        0        0        1
56454 libtinyframe-dev                   	       1        0        1        0        0
56455 libtinyframe0t64                   	       1        0        0        0        1
56456 libtinygltf1d                      	       1        0        0        0        1
56457 libtinygltf5d                      	       1        0        0        0        1
56458 libtinyxml-doc                     	       1        0        0        0        1
56459 libtinyxml2.6.2v5-dbg              	       1        0        1        0        0
56460 libtk-codetext-perl                	       1        0        1        0        0
56461 libtk-filedialog-perl              	       1        0        1        0        0
56462 libtk-gbarr-perl                   	       1        0        1        0        0
56463 libtk-objeditor-perl               	       1        0        1        0        0
56464 libtk-objscanner-perl              	       1        0        1        0        0
56465 libtk-splashscreen-perl            	       1        0        1        0        0
56466 libtk8.4                           	       1        0        0        0        1
56467 libtkrzw1                          	       1        0        0        0        1
56468 libtlog0                           	       1        0        0        0        1
56469 libtmglib64-3                      	       1        0        0        0        1
56470 libtmglib64-dev                    	       1        0        0        0        1
56471 libtnt-dev                         	       1        0        1        0        0
56472 libtntdb-dev                       	       1        0        1        0        0
56473 libtntdb4                          	       1        0        1        0        0
56474 libtntnet10                        	       1        0        0        0        1
56475 libtntnet12                        	       1        0        0        0        1
56476 libtolua++5.1-dev                  	       1        0        1        0        0
56477 libtolua-dev                       	       1        0        1        0        0
56478 libtomcat9-embed-java              	       1        0        0        0        1
56479 libtomlplusplus-dev                	       1        0        1        0        0
56480 libtool-build-deps                 	       1        0        0        0        1
56481 libtools-analyzer-clojure          	       1        0        0        0        1
56482 libtools-analyzer-jvm-clojure      	       1        0        0        0        1
56483 libtools-cli-clojure               	       1        0        0        0        1
56484 libtools-logging-clojure           	       1        0        0        0        1
56485 libtools-macro-clojure             	       1        0        0        0        1
56486 libtools-namespace-clojure         	       1        0        0        0        1
56487 libtools-nrepl-clojure             	       1        0        0        0        1
56488 libtoon-dev                        	       1        0        1        0        0
56489 libtoontag-dev                     	       1        0        1        0        0
56490 libtoontag0t64                     	       1        0        0        0        1
56491 libtopic-tools-dev                 	       1        0        1        0        0
56492 libtopic-tools2d                   	       1        0        0        0        1
56493 libtorch-luat                      	       1        0        0        0        1
56494 libtorch-th                        	       1        0        0        0        1
56495 libtorch-thnn                      	       1        0        0        0        1
56496 libtorch-thnn-dev                  	       1        0        1        0        0
56497 libtorch2.6                        	       1        0        0        0        1
56498 libtorrent-build-deps              	       1        0        0        0        1
56499 libtorrent-rasterbar-build-deps    	       1        0        0        0        1
56500 libtorrent-rasterbar-dbg           	       1        0        1        0        0
56501 libtorrent-rasterbar-doc           	       1        0        0        0        1
56502 libtorrent-rasterbar6              	       1        0        0        0        1
56503 libtorrent14                       	       1        0        0        0        1
56504 libtorrent21-dbgsym                	       1        0        1        0        0
56505 libtotem-pg-dev                    	       1        0        1        0        0
56506 libtotem-plparser17                	       1        1        0        0        0
56507 libtpl-dev                         	       1        1        0        0        0
56508 libtpl0                            	       1        0        0        0        1
56509 libtpm-unseal1t64                  	       1        0        0        0        1
56510 libtpm2-pkcs11-tools               	       1        0        1        0        0
56511 libtqsllib1                        	       1        0        0        0        1
56512 libtqt-perl                        	       1        0        1        0        0
56513 libtqt3-mt-mysql                   	       1        0        0        0        1
56514 libtqt3-mt-odbc                    	       1        0        0        0        1
56515 libtqt3-mt-psql                    	       1        0        0        0        1
56516 libtqtinterface-doc                	       1        0        0        0        1
56517 libtrace-tools                     	       1        0        1        0        0
56518 libtrace3                          	       1        0        0        0        1
56519 libtraceevent-doc                  	       1        0        0        0        1
56520 libtracker-control-1.0-dev         	       1        0        1        0        0
56521 libtracker-control-doc             	       1        0        0        0        1
56522 libtracker-doc                     	       1        0        0        0        1
56523 libtracker-miner-0.14-dev          	       1        0        1        0        0
56524 libtracker-miner-doc               	       1        0        0        0        1
56525 libtracker-sparql-0.12-0           	       1        0        1        0        0
56526 libtracker-sparql-0.14-dev         	       1        0        1        0        0
56527 libtracker-sparql-doc              	       1        0        0        0        1
56528 libtrackerclient0                  	       1        0        0        0        1
56529 libtrajectory-msgs-dev             	       1        0        1        0        0
56530 libtrapperkeeper-authorization-clojure	       1        0        0        0        1
56531 libtrapperkeeper-clojure           	       1        0        0        0        1
56532 libtrapperkeeper-comidi-metrics-clojure	       1        0        0        0        1
56533 libtrapperkeeper-filesystem-watcher-clojure	       1        0        0        0        1
56534 libtrapperkeeper-metrics-clojure   	       1        0        0        0        1
56535 libtrapperkeeper-scheduler-clojure 	       1        0        0        0        1
56536 libtrapperkeeper-status-clojure    	       1        0        0        0        1
56537 libtrapperkeeper-webserver-jetty9-clojure	       1        0        0        0        1
56538 libtravel-routing-de-hafas-perl    	       1        0        1        0        0
56539 libtravel-routing-de-vrr-perl      	       1        0        1        0        0
56540 libtravel-status-de-deutschebahn-perl	       1        0        1        0        0
56541 libtravel-status-de-iris-perl      	       1        0        1        0        0
56542 libtre-dev                         	       1        0        1        0        0
56543 libtree-r-perl                     	       1        0        1        0        0
56544 libtree-xpathengine-perl           	       1        0        1        0        0
56545 libtrilead-putty-extension-java    	       1        0        0        0        1
56546 libtrilinos-kokkos-dev             	       1        0        1        0        0
56547 libtrio-dev                        	       1        0        1        0        0
56548 libtrompeloeil-cpp-dev             	       1        0        0        0        1
56549 libtry-tiny-smartcatch-perl        	       1        1        0        0        0
56550 libtrycatch-perl                   	       1        0        0        0        1
56551 libts-bin                          	       1        0        1        0        0
56552 libtsan2-ppc64el-cross             	       1        0        0        0        1
56553 libtse3-0.3.1t64                   	       1        0        0        0        1
56554 libtse3-dev                        	       1        0        1        0        0
56555 libtsk3-3                          	       1        0        0        0        1
56556 libtsm4                            	       1        0        0        0        1
56557 libtss2-dev                        	       1        0        1        0        0
56558 libtss2-mu-4.0.1-0                 	       1        0        0        0        1
56559 libtss2-tcti-pcap0                 	       1        0        0        0        1
56560 libttspico0t64                     	       1        0        0        0        1
56561 libtuiwidgets0a                    	       1        0        0        0        1
56562 libtulip-dev                       	       1        0        1        0        0
56563 libturbojpeg1-dev                  	       1        0        1        0        0
56564 libtwitter-ruby1.9.1               	       1        0        0        0        1
56565 libtxc-dxtn-s2tc-bin               	       1        0        1        0        0
56566 libtxc-dxtn-s2tc-dev               	       1        0        1        0        0
56567 libtxc-dxtn0                       	       1        0        0        0        1
56568 libtypesafe-config-clojure         	       1        0        0        0        1
56569 libtyxml-ocaml                     	       1        0        1        0        0
56570 libtyxml-ocaml-dev                 	       1        0        1        0        0
56571 libtzinfo-ruby1.9.1                	       1        0        0        0        1
56572 libu2f-host-dev                    	       1        0        1        0        0
56573 libu2f-host-doc                    	       1        0        0        0        1
56574 libubertooth-dev                   	       1        0        1        0        0
56575 libubi-dev                         	       1        0        1        0        0
56576 libubox1                           	       1        0        1        0        0
56577 libubsan0-armhf-cross              	       1        0        0        0        1
56578 libubsan1-ppc64el-cross            	       1        0        0        0        1
56579 libubus1                           	       1        0        1        0        0
56580 libucimf0                          	       1        0        0        0        1
56581 libucommon6                        	       1        0        0        0        1
56582 libucommon7v5                      	       1        0        0        0        1
56583 libucto3                           	       1        0        0        0        1
56584 libucx0-dbgsym                     	       1        0        1        0        0
56585 libudev-compat-dev                 	       1        0        1        0        0
56586 libudev-compat-helpers             	       1        0        1        0        0
56587 libudev1-compat                    	       1        0        1        0        0
56588 libudisks2-0-dbgsym                	       1        0        1        0        0
56589 libudp-tcl                         	       1        0        1        0        0
56590 libuev-dev                         	       1        0        1        0        0
56591 libuev2                            	       1        0        0        0        1
56592 libufo-data                        	       1        0        0        0        1
56593 libufo1                            	       1        0        0        0        1
56594 libuhd-dev                         	       1        0        1        0        0
56595 libuhd003                          	       1        0        0        0        1
56596 libui-utilcpp-dev                  	       1        0        1        0        0
56597 libui0                             	       1        0        0        0        1
56598 libuim-dev                         	       1        0        1        0        0
56599 libukui-menu2                      	       1        0        0        0        1
56600 libukui-panel-applet-4-1           	       1        0        0        0        1
56601 libukui-screensaverclient-dev      	       1        0        1        0        0
56602 libukui-screensaverclient0         	       1        0        0        0        1
56603 libukui-touchpadclient-dev         	       1        0        1        0        0
56604 libukui-touchpadclient0            	       1        0        0        0        1
56605 libukui-usersetting-dev            	       1        0        1        0        0
56606 libukui-usersetting0               	       1        0        0        0        1
56607 libulfius2.7t64                    	       1        0        0        0        1
56608 libunbescape-java-doc              	       1        0        0        0        1
56609 libunbound8-dbgsym                 	       1        0        1        0        0
56610 libungif-bin                       	       1        0        0        0        1
56611 libunibilium-dev                   	       1        0        1        0        0
56612 libunibreak-doc                    	       1        0        0        0        1
56613 libunicap2-dev                     	       1        0        1        0        0
56614 libunicode-escape-perl             	       1        0        1        0        0
56615 libuniconf4.6t64                   	       1        0        0        0        1
56616 libunicorn-dev                     	       1        0        1        0        0
56617 libunicorn2t64                     	       1        0        0        0        1
56618 libuninameslist-dev                	       1        0        1        0        0
56619 libunity-java                      	       1        0        0        0        1
56620 libunix-fcntllock-perl             	       1        0        0        0        1
56621 libunix-mad-syslog-perl            	       1        0        0        0        1
56622 libunrar-headers                   	       1        0        0        0        1
56623 libunrar5t64                       	       1        0        0        0        1
56624 libunwind7-dev                     	       1        1        0        0        0
56625 libupb-dev                         	       1        0        1        0        0
56626 libupb0                            	       1        0        0        0        1
56627 libupnp10                          	       1        0        0        0        1
56628 libupnp3                           	       1        0        0        0        1
56629 libupnp6-doc                       	       1        0        0        0        1
56630 libupnpp4                          	       1        0        0        0        1
56631 libupnpp6                          	       1        0        0        0        1
56632 libups-nut-perl                    	       1        0        1        0        0
56633 libupsclient-dev                   	       1        0        1        0        0
56634 liburdf-dev                        	       1        0        1        0        0
56635 liburdf-parser-plugin-dev          	       1        0        1        0        0
56636 liburdf1d                          	       1        0        0        0        1
56637 liburdfdom-dev                     	       1        0        1        0        0
56638 liburdfdom-headers-dev             	       1        0        1        0        0
56639 liburdfdom-model-state3.0          	       1        0        0        0        1
56640 liburdfdom-model3.0                	       1        0        0        0        1
56641 liburdfdom-sensor3.0               	       1        0        0        0        1
56642 liburdfdom-tools                   	       1        0        1        0        0
56643 liburdfdom-world3.0                	       1        0        0        0        1
56644 liburfkill-glib-dev                	       1        0        1        0        0
56645 liburfkill-glib0-dbg               	       1        0        1        0        0
56646 liburi-cpan-perl                   	       1        0        1        0        0
56647 liburi-find-delimited-perl         	       1        0        1        0        0
56648 liburi-find-simple-perl            	       1        0        1        0        0
56649 liburi-normalize-perl              	       1        0        1        0        0
56650 liburi-query-perl                  	       1        0        1        0        0
56651 liburi-ws-perl                     	       1        0        1        0        0
56652 liburweb-cgi0                      	       1        0        0        0        1
56653 liburweb-fastcgi0                  	       1        0        0        0        1
56654 liburweb-http0                     	       1        0        0        0        1
56655 liburweb-static0                   	       1        0        0        0        1
56656 liburweb0                          	       1        0        0        0        1
56657 libusageenvironment2               	       1        0        0        0        1
56658 libusb-libusb-perl                 	       1        0        0        0        1
56659 libusb-ocaml                       	       1        0        1        0        0
56660 libusb-ocaml-dev                   	       1        0        1        0        0
56661 libusb3380-dev                     	       1        0        1        0        0
56662 libusbauth-configparser-dev        	       1        0        1        0        0
56663 libusbauth-configparser1           	       1        0        0        0        1
56664 libusbdrdaq                        	       1        0        1        0        0
56665 libusbguard-dev                    	       1        0        0        0        1
56666 libusbgx-dev                       	       1        0        1        0        0
56667 libusbgx-doc                       	       1        0        0        0        1
56668 libusbmuxd-tools-dbgsym            	       1        0        1        0        0
56669 libusbmuxd6-dbgsym                 	       1        0        1        0        0
56670 libuser-perl                       	       1        0        1        0        0
56671 libusplash0                        	       1        0        0        0        1
56672 libusrsctp1                        	       1        0        0        0        1
56673 libustr-doc                        	       1        0        0        0        1
56674 libutf8.h-dev                      	       1        0        1        0        0
56675 libutfcpp-doc                      	       1        0        0        0        1
56676 libutvideo15.0.2                   	       1        0        0        0        1
56677 libuuidtools-ruby1.9.1             	       1        0        0        0        1
56678 libuutf-ocaml                      	       1        0        1        0        0
56679 libuutf-ocaml-dev                  	       1        0        1        0        0
56680 libuutf-ocaml-doc                  	       1        0        0        0        1
56681 libuutil1                          	       1        0        0        0        1
56682 libuv0.10                          	       1        0        0        0        1
56683 libuv0.10-dev                      	       1        0        1        0        0
56684 libuvc-dev                         	       1        0        1        0        0
56685 libuvc-doc                         	       1        0        0        0        1
56686 libuwac0-dev                       	       1        0        1        0        0
56687 libv2m0                            	       1        0        0        0        1
56688 libv8-2.2.24                       	       1        0        0        0        1
56689 libva-amdgpu-dev                   	       1        0        1        0        0
56690 libva-intel-vaapi-driver           	       1        0        0        0        1
56691 libva-x11-2-dbgsym                 	       1        0        1        0        0
56692 libvala-0.42-dev                   	       1        0        1        0        0
56693 libvala-0.46-0                     	       1        0        0        0        1
56694 libvala-0.46-dev                   	       1        0        1        0        0
56695 libvala-dev                        	       1        0        1        0        0
56696 libvala0                           	       1        0        0        0        1
56697 libvaladoc-0.56-0                  	       1        0        0        0        1
56698 libvaladoc-0.56-data               	       1        0        0        0        1
56699 libvalidatable-ruby1.9.1           	       1        0        0        0        1
56700 libvalidate-yubikey-perl           	       1        0        1        0        0
56701 libvarconf-1.0-6                   	       1        0        0        0        1
56702 libvarconf-1.0-8                   	       1        0        0        0        1
56703 libvarnishapi-dev                  	       1        0        1        0        0
56704 libvcflib-tools                    	       1        0        1        0        0
56705 libvcflib1                         	       1        0        0        0        1
56706 libvcflib2                         	       1        0        0        0        1
56707 libversioneer-clojure              	       1        0        0        0        1
56708 libvformat-dev                     	       1        0        1        0        0
56709 libviennacl-dev                    	       1        0        1        0        0
56710 libview-dev                        	       1        0        1        0        0
56711 libview2-dbg                       	       1        0        1        0        0
56712 libvigraimpex-doc                  	       1        0        0        0        1
56713 libvirt-ocaml-dev                  	       1        0        1        0        0
56714 libvirtualpg-dev                   	       1        0        1        0        0
56715 libvisa0                           	       1        0        0        0        1
56716 libvisual-projectm                 	       1        0        0        0        1
56717 libvisualization-msgs-dev          	       1        0        1        0        0
56718 libvkd3d-doc                       	       1        0        0        0        1
56719 libvkfft-dev                       	       1        0        1        0        0
56720 libvlc-bin-dbgsym                  	       1        0        1        0        0
56721 libvlc5-dbgsym                     	       1        0        1        0        0
56722 libvlccore9-dbgsym                 	       1        0        1        0        0
56723 libvm-ec2-security-credentialcache-perl	       1        0        1        0        0
56724 libvmdk-utils                      	       1        0        1        0        0
56725 libvmime-kopano3                   	       1        1        0        0        0
56726 libvncauth0                        	       1        0        0        0        1
56727 libvnlog-perl                      	       1        0        1        0        0
56728 libvolk-doc                        	       1        0        0        0        1
56729 libvorbis-dbg                      	       1        0        1        0        0
56730 libvorbisspi-java                  	       1        0        0        0        1
56731 libvsqlitepp-doc                   	       1        0        0        0        1
56732 libvte-2.91-gtk4-doc               	       1        0        0        0        1
56733 libvte0.16-cil                     	       1        0        0        0        1
56734 libvte9t64                         	       1        0        0        0        1
56735 libvted-3-dev                      	       1        0        0        0        1
56736 libvtk-dicom-dev                   	       1        0        1        0        0
56737 libvtk6-dev                        	       1        0        1        0        0
56738 libvtk6-java                       	       1        0        1        0        0
56739 libvtk6-jni                        	       1        0        0        0        1
56740 libvtk7.1-qt                       	       1        0        0        0        1
56741 libvtk9.3-qt                       	       1        0        0        0        1
56742 libvtkgdcm-9.1                     	       1        0        0        0        1
56743 libvtkgdcm-cil                     	       1        0        0        0        1
56744 libvtkgdcm-java                    	       1        0        0        0        1
56745 libvtkgdcm-tools                   	       1        0        1        0        0
56746 libvtkgdcm2.6                      	       1        0        0        0        1
56747 libvtkgdcm2.8a                     	       1        0        0        0        1
56748 libvtkgdcm3.0                      	       1        0        0        0        1
56749 libvulkan-memory-allocator-dev     	       1        0        1        0        0
56750 libwandio1                         	       1        0        0        0        1
56751 libwavpack0                        	       1        0        0        0        1
56752 libwayland-amdgpu-bin              	       1        0        1        0        0
56753 libwayland-amdgpu-cursor0          	       1        0        1        0        0
56754 libwayland-amdgpu-dev              	       1        0        1        0        0
56755 libwayland-amdgpu-egl-backend-dev  	       1        0        1        0        0
56756 libwayland-client-extra++0         	       1        0        0        0        1
56757 libwbxml2-dev                      	       1        0        1        0        0
56758 libwcat1                           	       1        1        0        0        0
56759 libwebcam0-dev                     	       1        0        1        0        0
56760 libwebkit2-sharp-4.0-cil           	       1        0        0        0        1
56761 libwebkit2gtk-4.0-37-dbgsym        	       1        0        1        0        0
56762 libwebkit2gtk-5.0-0                	       1        0        0        0        1
56763 libwebkitgtk-3.0-dev               	       1        0        1        0        0
56764 libwebp4                           	       1        0        0        0        1
56765 libwebservice-validator-html-w3c-perl	       1        0        1        0        0
56766 libwebsockets18                    	       1        0        0        0        1
56767 libweston-12-0                     	       1        0        0        0        1
56768 libweston-13-0                     	       1        0        0        0        1
56769 libwfa2-0                          	       1        0        0        0        1
56770 libwfmath-0.3-4                    	       1        0        0        0        1
56771 libwfmath-1.0-1                    	       1        0        0        0        1
56772 libwfut-0.2-1                      	       1        0        0        0        1
56773 libwgdb-dev                        	       1        0        1        0        0
56774 libwgdb0                           	       1        0        0        0        1
56775 libwget2t64                        	       1        0        0        0        1
56776 libwildfly-common-java             	       1        0        0        0        1
56777 libwildmidi0                       	       1        0        0        0        1
56778 libwin32-exe-perl                  	       1        0        1        0        0
56779 libwine-gecko-1.4                  	       1        0        0        0        1
56780 libwine-gecko-2.24                 	       1        0        0        0        1
56781 libwinpr3-dev                      	       1        0        0        1        0
56782 libwireplumber-0.4-dev             	       1        0        1        0        0
56783 libwireplumber-0.5-dev             	       1        0        1        0        0
56784 libwlroots-dev                     	       1        0        1        0        0
56785 libwlroots-examples                	       1        0        1        0        0
56786 libwlroots7                        	       1        0        0        0        1
56787 libwmaker-dev                      	       1        0        1        0        0
56788 libwmaker1                         	       1        0        0        0        1
56789 libwnck-doc                        	       1        0        0        0        1
56790 libwnck2.20-cil                    	       1        0        0        0        1
56791 libwolfssl24                       	       1        0        0        0        1
56792 libwordnet-querydata-perl          	       1        0        1        0        0
56793 libwpebackend-fdo-1.0-dev          	       1        0        1        0        0
56794 libwpewebkit-1.0-3                 	       1        0        0        0        1
56795 libwpewebkit-1.0-dev               	       1        0        1        0        0
56796 libwpewebkit-doc                   	       1        0        0        0        1
56797 libwpg-doc                         	       1        0        0        0        1
56798 libwpg-tools                       	       1        0        1        0        0
56799 libwps-doc                         	       1        0        0        0        1
56800 libwreport-dev                     	       1        0        1        0        0
56801 libwsdl4j-java-doc                 	       1        0        0        0        1
56802 libwslay-dev                       	       1        0        1        0        0
56803 libwsman-client2                   	       1        0        0        0        1
56804 libwsman-curl-client-transport1    	       1        0        0        0        1
56805 libwsman-server1                   	       1        0        0        0        1
56806 libwsman1                          	       1        0        0        0        1
56807 libwsutil10                        	       1        0        0        0        1
56808 libwv2-1c2                         	       1        0        0        0        1
56809 libwv2-dev                         	       1        0        1        0        0
56810 libwvstreams4.6t64-base            	       1        0        0        0        1
56811 libwvstreams4.6t64-extras          	       1        0        0        0        1
56812 libwww-dev                         	       1        0        1        0        0
56813 libwww-dict-leo-org-perl           	       1        0        1        0        0
56814 libwww-finger-perl                 	       1        0        1        0        0
56815 libwww-indexparser-perl            	       1        0        1        0        0
56816 libwww-mechanize-autopager-perl    	       1        0        1        0        0
56817 libwww-mechanize-gzip-perl         	       1        0        1        0        0
56818 libwww-mechanize-ruby1.9.1         	       1        0        0        0        1
56819 libwww-mechanize-shell-perl        	       1        0        1        0        0
56820 libwww-search-perl                 	       1        0        1        0        0
56821 libwww-shorten-perl                	       1        0        1        0        0
56822 libwww0                            	       1        0        1        0        0
56823 libwwwbrowser-perl                 	       1        0        1        0        0
56824 libwxbase2.6-dev                   	       1        0        1        0        0
56825 libwxbase2.8-dbg                   	       1        0        0        0        1
56826 libwxbase3.0-dbg                   	       1        0        0        0        1
56827 libwxbase3.1-0-unofficial          	       1        0        0        0        1
56828 libwxbase3.1-0-unofficial3         	       1        0        0        0        1
56829 libwxbase3.1-dev                   	       1        0        1        0        0
56830 libwxbase3.1unofficial3-dev        	       1        0        1        0        0
56831 libwxbase3.2-0                     	       1        0        0        0        1
56832 libwxgtk-webview3.0-dev            	       1        0        0        0        1
56833 libwxgtk-webview3.2-0              	       1        0        0        0        1
56834 libwxgtk2.6-0                      	       1        0        0        0        1
56835 libwxgtk2.8-dbg                    	       1        0        0        0        1
56836 libwxgtk3.0-dbg                    	       1        0        0        0        1
56837 libwxgtk3.1-0-unofficial3          	       1        0        0        0        1
56838 libwxgtk3.1unofficial3-dev         	       1        0        1        0        0
56839 libwxgtk3.2-0                      	       1        0        0        0        1
56840 libx264-125                        	       1        0        0        0        1
56841 libx264-129                        	       1        0        0        0        1
56842 libx264-138                        	       1        0        0        0        1
56843 libx264-140                        	       1        0        0        0        1
56844 libx264-161                        	       1        0        0        0        1
56845 libx264-54                         	       1        0        0        0        1
56846 libx264-93                         	       1        0        0        0        1
56847 libx265-102                        	       1        0        0        0        1
56848 libx265-151                        	       1        0        0        0        1
56849 libx265-43                         	       1        0        0        0        1
56850 libx32asan5-i386-cross             	       1        0        0        0        1
56851 libx32asan6-i386-cross             	       1        0        0        0        1
56852 libx32atomic1-dbgsym               	       1        0        1        0        0
56853 libx32gcc-11-dev-i386-cross        	       1        0        0        0        1
56854 libx32gcc-14-dev-i386-cross        	       1        0        0        0        1
56855 libx32gcc-4.7-dev                  	       1        0        0        0        1
56856 libx32gcc-8-dev-i386-cross         	       1        0        0        0        1
56857 libx32gcc-s1-dbgsym                	       1        0        1        0        0
56858 libx32gcc1-i386-cross              	       1        0        0        0        1
56859 libx32gfortran-4.9-dev             	       1        0        0        0        1
56860 libx32gfortran-6-dev               	       1        0        0        0        1
56861 libx32gfortran-8-dev               	       1        0        0        0        1
56862 libx32gfortran3                    	       1        0        0        0        1
56863 libx32gfortran5-dbgsym             	       1        0        1        0        0
56864 libx32go-11-dev-i386-cross         	       1        0        0        0        1
56865 libx32go-13-dev                    	       1        0        0        0        1
56866 libx32go19-i386-cross              	       1        0        0        0        1
56867 libx32go22                         	       1        0        0        0        1
56868 libx32gomp1-dbgsym                 	       1        0        1        0        0
56869 libx32gphobos-13-dev               	       1        0        0        0        1
56870 libx32gphobos4                     	       1        0        0        0        1
56871 libx32gphobos4-dbgsym              	       1        0        1        0        0
56872 libx32itm1-dbgsym                  	       1        0        1        0        0
56873 libx32objc-12-dev                  	       1        0        0        0        1
56874 libx32objc-13-dev                  	       1        0        0        0        1
56875 libx32objc-14-dev                  	       1        0        0        0        1
56876 libx32objc-4.9-dev                 	       1        0        0        0        1
56877 libx32objc-6-dev                   	       1        0        0        0        1
56878 libx32objc4-dbgsym                 	       1        0        1        0        0
56879 libx32quadmath0-dbgsym             	       1        0        1        0        0
56880 libx32stdc++6-10-dbg               	       1        0        1        0        0
56881 libx32stdc++6-12-dbg               	       1        0        1        0        0
56882 libx32stdc++6-dbgsym               	       1        0        1        0        0
56883 libx86-dev                         	       1        0        1        0        0
56884 libxalan-c-doc                     	       1        0        0        0        1
56885 libxalan2-java-gcj                 	       1        0        1        0        0
56886 libxar1                            	       1        0        0        0        1
56887 libxatracker-amdgpu-dev            	       1        0        1        0        0
56888 libxavs2-dev                       	       1        0        1        0        0
56889 libxbase2.0-bin                    	       1        0        1        0        0
56890 libxbase64-bin                     	       1        0        1        0        0
56891 libxc-dev                          	       1        0        1        0        0
56892 libxc5                             	       1        0        0        0        1
56893 libxcb-build-deps-depends          	       1        0        0        0        1
56894 libxcb-errors-dev                  	       1        0        1        0        0
56895 libxcb-sync0                       	       1        0        0        0        1
56896 libxcb-util1-dbgsym                	       1        0        1        0        0
56897 libxcb-xinput0-dbgsym              	       1        0        1        0        0
56898 libxcb1-dbgsym                     	       1        0        1        0        0
56899 libxcomp-dev                       	       1        0        1        0        0
56900 libxcompshad-dev                   	       1        0        1        0        0
56901 libxcrypt-dev                      	       1        0        1        0        0
56902 libxdg-basedir1-dbg                	       1        0        1        0        0
56903 libxdmf2                           	       1        0        0        0        1
56904 libxdo-dev                         	       1        0        1        0        0
56905 libxdo2                            	       1        0        0        0        1
56906 libxen-4.3                         	       1        0        0        0        1
56907 libxenmisc4.20                     	       1        0        0        0        1
56908 libxerces-c-samples                	       1        0        1        0        0
56909 libxerces-c2-dev                   	       1        0        1        0        0
56910 libxerces27                        	       1        0        0        0        1
56911 libxeus-python0                    	       1        0        0        0        1
56912 libxeus6                           	       1        0        0        0        1
56913 libxevie1                          	       1        0        0        0        1
56914 libxfce4menu-0.1-0                 	       1        0        0        0        1
56915 libxfce4ui-build-deps              	       1        0        0        0        1
56916 libxfce4ui-glade-dbgsym            	       1        0        1        0        0
56917 libxfce4util-bin-dbgsym            	       1        0        1        0        0
56918 libxfce4util-build-deps            	       1        0        0        0        1
56919 libxfce4util7-dbgsym               	       1        0        1        0        0
56920 libxfconf-0-3-dbgsym               	       1        0        1        0        0
56921 libxfontp1                         	       1        0        0        0        1
56922 libxgboost0                        	       1        0        0        0        1
56923 libxgks2                           	       1        0        0        0        1
56924 libxgks2-data                      	       1        0        1        0        0
56925 libxine-dev                        	       1        0        1        0        0
56926 libxine1-doc                       	       1        0        0        0        1
56927 libxine1-plugins                   	       1        0        0        0        1
56928 libxisf0                           	       1        0        0        0        1
56929 libxklavier10                      	       1        0        0        0        1
56930 libxlsxwriter-dev                  	       1        0        1        0        0
56931 libxlsxwriter5                     	       1        0        0        0        1
56932 libxml++2.6-2                      	       1        0        0        0        1
56933 libxml++2.6-dbg                    	       1        0        1        0        0
56934 libxml++2.6-doc                    	       1        0        1        0        0
56935 libxml-atom-service-perl           	       1        0        1        0        0
56936 libxml-bare-perl                   	       1        0        0        0        1
56937 libxml-compacttree-perl            	       1        0        1        0        0
56938 libxml-compile-perl                	       1        0        1        0        0
56939 libxml-feedpp-mediarss-perl        	       1        0        1        0        0
56940 libxml-handler-yawriter-perl       	       1        0        1        0        0
56941 libxml-maven-plugin-java           	       1        0        0        0        1
56942 libxml-mini-perl                   	       1        0        1        0        0
56943 libxml-opml-perl                   	       1        0        1        0        0
56944 libxml-parser-easytree-perl        	       1        0        1        0        0
56945 libxml-rss-feed-perl               	       1        0        1        0        0
56946 libxml-rss-simplegen-perl          	       1        0        1        0        0
56947 libxml-rsslite-perl                	       1        0        1        0        0
56948 libxml-ruby                        	       1        0        0        0        1
56949 libxml-ruby1.9.1                   	       1        0        0        0        1
56950 libxml-semanticdiff-perl           	       1        0        1        0        0
56951 libxml-simpleobject-perl           	       1        0        1        0        0
56952 libxml-smart-perl                  	       1        0        1        0        0
56953 libxml-struct-perl                 	       1        0        1        0        0
56954 libxml-structured-perl             	       1        0        1        0        0
56955 libxml-treepuller-perl             	       1        0        1        0        0
56956 libxml1                            	       1        0        0        0        1
56957 libxml2-dbg                        	       1        0        1        0        0
56958 libxml2-utils-dbg                  	       1        0        1        0        0
56959 libxmlada-doc                      	       1        0        0        0        1
56960 libxmlada-dom10-dev                	       1        0        0        0        1
56961 libxmlada-dom12-dev                	       1        0        0        0        1
56962 libxmlada-dom5                     	       1        0        0        0        1
56963 libxmlada-input10-dev              	       1        0        0        0        1
56964 libxmlada-input12-dev              	       1        0        0        0        1
56965 libxmlada-input5                   	       1        0        0        0        1
56966 libxmlada-sax10-dev                	       1        0        0        0        1
56967 libxmlada-sax12-dev                	       1        0        0        0        1
56968 libxmlada-sax5                     	       1        0        0        0        1
56969 libxmlada-schema10-dev             	       1        0        0        0        1
56970 libxmlada-schema12-dev             	       1        0        0        0        1
56971 libxmlada-schema5                  	       1        0        0        0        1
56972 libxmlada-unicode10-dev            	       1        0        0        0        1
56973 libxmlada-unicode12-dev            	       1        0        0        0        1
56974 libxmlada-unicode5                 	       1        0        0        0        1
56975 libxmlbeans-maven-plugin-java      	       1        0        0        0        1
56976 libxmlm-ocaml-dev                  	       1        0        1        0        0
56977 libxmlplaylist-ocaml-dev           	       1        0        1        0        0
56978 libxmlrpc-c++8-dev                 	       1        0        1        0        0
56979 libxmlrpc-core-c3-dbgsym           	       1        0        1        0        0
56980 libxmlrpc-core-c3-dev-dbgsym       	       1        0        1        0        0
56981 libxmlrpc-epi-dev                  	       1        0        1        0        0
56982 libxmlrpc-util-dev                 	       1        0        0        0        1
56983 libxmlrpc3-common-java             	       1        0        0        0        1
56984 libxmltooling10                    	       1        0        0        0        1
56985 libxmmsclient++-dev                	       1        0        1        0        0
56986 libxmpi4                           	       1        0        0        0        1
56987 libxmpi4-dev                       	       1        0        1        0        0
56988 libxnnpack-dev                     	       1        0        1        0        0
56989 libxp6-dbg                         	       1        0        1        0        0
56990 libxpa-dev                         	       1        0        1        0        0
56991 libxplc0.3.13-dev                  	       1        0        1        0        0
56992 libxpresent-build-deps             	       1        0        0        0        1
56993 libxpresent1-dbgsym                	       1        0        1        0        0
56994 libxqdbm3t64                       	       1        0        0        0        1
56995 libxrd-parser-perl                 	       1        0        1        0        0
56996 libxrdapputils2                    	       1        0        0        0        1
56997 libxrdhttputils2                   	       1        0        0        0        1
56998 libxrdserver3                      	       1        0        0        0        1
56999 libxrdssilib2                      	       1        0        0        0        1
57000 libxrdssishmap2                    	       1        0        0        0        1
57001 libxrootd-client-dev               	       1        0        0        0        1
57002 libxrootd-dev                      	       1        0        1        0        0
57003 libxrootd-private-dev              	       1        0        0        0        1
57004 libxrootd-server-dev               	       1        0        0        0        1
57005 libxsettings-client-dev            	       1        0        1        0        0
57006 libxsettings-dev                   	       1        0        1        0        0
57007 libxsettings0                      	       1        0        0        0        1
57008 libxsimd-doc                       	       1        0        0        0        1
57009 libxt-java                         	       1        0        0        0        1
57010 libxtables11                       	       1        0        0        0        1
57011 libxtrap-dev                       	       1        0        1        0        0
57012 libxtrap6                          	       1        0        0        0        1
57013 libxul0d                           	       1        0        1        0        0
57014 libxxf86misc-dev                   	       1        0        1        0        0
57015 libxxf86misc1                      	       1        0        0        0        1
57016 libxxf86vm1-dbg                    	       1        0        1        0        0
57017 libxxhash0-dbgsym                  	       1        0        1        0        0
57018 liby2-14                           	       1        0        0        0        1
57019 libyahoo2-11                       	       1        0        0        0        1
57020 libyajl-doc                        	       1        0        0        0        1
57021 libyaml-0-2-dbg                    	       1        0        1        0        0
57022 libyaml-cpp0.3-dev                 	       1        0        1        0        0
57023 libyaml-ruby                       	       1        0        0        0        1
57024 libyang-dev                        	       1        0        1        0        0
57025 libyang-tools                      	       1        0        0        0        1
57026 libyang2-dev                       	       1        0        1        0        0
57027 libyang2t64                        	       1        0        0        0        1
57028 libyara-dev                        	       1        0        1        0        0
57029 libyascreen1                       	       1        0        0        0        1
57030 libyascreen1-dbgsym                	       1        0        1        0        0
57031 libyaz4-dev                        	       1        0        1        0        0
57032 libyder2.0t64                      	       1        0        0        0        1
57033 libyecht-java                      	       1        0        0        0        1
57034 libykclient-dev                    	       1        0        1        0        0
57035 libykneomgr0                       	       1        0        0        0        1
57036 libykpiv-dev                       	       1        0        1        0        0
57037 libykpiv1                          	       1        0        0        0        1
57038 libyuma-base                       	       1        0        0        0        1
57039 libyuma2t64                        	       1        0        0        0        1
57040 libyuv                             	       1        0        0        0        1
57041 libz3-java                         	       1        0        0        0        1
57042 libz3-jni                          	       1        0        0        0        1
57043 libzarchive-dev                    	       1        0        1        0        0
57044 libzbarqt0t64                      	       1        0        0        0        1
57045 libze-dev                          	       1        0        1        0        0
57046 libze-intel-gpu-dev                	       1        0        1        0        0
57047 libze-intel-gpu1                   	       1        0        0        0        1
57048 libzeitgeist-1.0-1                 	       1        0        0        0        1
57049 libzeitgeist-2.0-doc               	       1        0        0        0        1
57050 libzen0                            	       1        0        0        0        1
57051 libzeroc-ice3.6                    	       1        0        0        0        1
57052 libzeus-jscl-java                  	       1        0        0        0        1
57053 libzim-dev                         	       1        0        1        0        0
57054 libzim-git                         	       1        0        1        0        0
57055 libzim9                            	       1        0        0        0        1
57056 libzint2.15                        	       1        0        0        0        1
57057 libzip-ocaml-dev                   	       1        0        1        0        0
57058 libzipios++-doc                    	       1        0        0        0        1
57059 libzipios++0c2a                    	       1        0        0        0        1
57060 libzlcore0.10                      	       1        0        0        0        1
57061 libzltext-dev                      	       1        0        1        0        0
57062 libzltext0.10                      	       1        0        0        0        1
57063 libzmf-tools                       	       1        0        1        0        0
57064 libzmq-dev                         	       1        0        1        0        0
57065 libzpool2                          	       1        0        0        0        1
57066 libzrtpcpp-dev                     	       1        0        1        0        0
57067 libzscanner1                       	       1        0        0        0        1
57068 libzulucrypt1                      	       1        0        0        0        1
57069 libzvt2                            	       1        0        1        0        0
57070 libzxcvbn-dev                      	       1        0        1        0        0
57071 libzxing-dev                       	       1        0        1        0        0
57072 libzycore-dev                      	       1        0        1        0        0
57073 libzycore1.5                       	       1        0        0        0        1
57074 libzydis-dev                       	       1        0        1        0        0
57075 libzydis-doc                       	       1        0        0        0        1
57076 libzydis4.1                        	       1        0        0        0        1
57077 libzypp-doc                        	       1        0        0        0        1
57078 libzypp1735                        	       1        0        0        0        1
57079 license-agpl                       	       1        0        0        0        1
57080 license-apache                     	       1        0        0        0        1
57081 license-artistic                   	       1        0        0        0        1
57082 license-cecill                     	       1        0        0        0        1
57083 license-creative                   	       1        0        0        0        1
57084 license-fdl                        	       1        0        0        0        1
57085 license-gpl                        	       1        0        0        0        1
57086 license-lgpl                       	       1        0        0        0        1
57087 license-mpl                        	       1        0        0        0        1
57088 license-pdm                        	       1        0        0        0        1
57089 license-spl                        	       1        0        0        0        1
57090 license-wtfpl                      	       1        0        0        0        1
57091 license-zlib                       	       1        0        0        0        1
57092 licenserecon                       	       1        0        1        0        0
57093 lieer                              	       1        0        1        0        0
57094 lifelines-doc                      	       1        0        0        0        1
57095 liferea-build-deps                 	       1        0        0        0        1
57096 liferea-dbgsym                     	       1        0        1        0        0
57097 lightdm-dbgsym                     	       1        0        0        1        0
57098 lightning-l10n-en-gb               	       1        0        0        0        1
57099 lightning-l10n-it                  	       1        0        0        0        1
57100 lightscribe                        	       1        0        1        0        0
57101 lightscribeapplications            	       1        0        0        0        1
57102 lightspark                         	       1        0        1        0        0
57103 lightsquid                         	       1        0        1        0        0
57104 lighttpd-mod-authn-pam             	       1        0        1        0        0
57105 lighttpd-mod-authn-sasl            	       1        0        1        0        0
57106 lighttpd-mod-maxminddb             	       1        0        1        0        0
57107 lighttpd-mod-mbedtls               	       1        0        1        0        0
57108 lighttpd-mod-vhostdb-pgsql         	       1        0        1        0        0
57109 lighttpd-modules-dbi               	       1        0        1        0        0
57110 lilypond-doc-html-cs               	       1        0        0        0        1
57111 lilypond-doc-html-hu               	       1        0        0        0        1
57112 lilypond-doc-html-it               	       1        0        0        0        1
57113 lilypond-doc-html-ja               	       1        0        0        0        1
57114 lilypond-doc-html-zh               	       1        0        0        0        1
57115 lilypond-doc-pdf-fr                	       1        0        0        0        1
57116 lilypond-doc-pdf-hu                	       1        0        0        0        1
57117 lilypond-doc-pdf-it                	       1        0        0        0        1
57118 lincredits                         	       1        0        1        0        0
57119 link-grammar-dictionaries-all      	       1        0        0        0        1
57120 linneighborhood                    	       1        0        1        0        0
57121 linode-longview                    	       1        0        1        0        0
57122 linpopup                           	       1        0        1        0        0
57123 lintian-brush                      	       1        0        1        0        0
57124 linux-buildinfo-6.11.0-1013-oem    	       1        0        0        0        1
57125 linux-compiler-gcc-5-x86           	       1        0        0        0        1
57126 linux-config-5.15                  	       1        0        0        0        1
57127 linux-config-5.18                  	       1        0        0        0        1
57128 linux-config-5.5                   	       1        0        0        0        1
57129 linux-config-5.6                   	       1        0        0        0        1
57130 linux-config-5.7                   	       1        0        0        0        1
57131 linux-config-6.0                   	       1        0        0        0        1
57132 linux-config-6.11                  	       1        0        0        0        1
57133 linux-config-6.3                   	       1        0        0        0        1
57134 linux-config-6.4                   	       1        0        0        0        1
57135 linux-config-6.5                   	       1        0        0        0        1
57136 linux-doc-3.11                     	       1        0        0        0        1
57137 linux-doc-3.12                     	       1        0        0        0        1
57138 linux-doc-3.16                     	       1        0        0        0        1
57139 linux-doc-3.2                      	       1        0        0        0        1
57140 linux-doc-4.1                      	       1        0        0        0        1
57141 linux-doc-5.4                      	       1        0        0        0        1
57142 linux-doc-6.3                      	       1        0        0        0        1
57143 linux-doc-6.5                      	       1        0        0        0        1
57144 linux-doc-6.9                      	       1        0        0        0        1
57145 linux-firmware-hauppauge           	       1        0        1        0        0
57146 linux-firmware-image               	       1        0        0        0        1
57147 linux-grsec-source-4.7             	       1        0        0        0        1
57148 linux-grsec-support-4.7.0-1        	       1        0        1        0        0
57149 linux-headers-2.6-amd64            	       1        0        0        0        1
57150 linux-headers-2.6.18-5             	       1        0        0        0        1
57151 linux-headers-2.6.25-2-common      	       1        0        0        0        1
57152 linux-headers-2.6.26-2-common-openvz	       1        0        1        0        0
57153 linux-headers-2.6.32-5-amd64       	       1        0        1        0        0
57154 linux-headers-2.6.32-5-common-openvz	       1        0        1        0        0
57155 linux-headers-2.6.32-5-openvz-amd64	       1        0        1        0        0
57156 linux-headers-3.10-2-amd64         	       1        0        1        0        0
57157 linux-headers-3.10-2-common        	       1        0        1        0        0
57158 linux-headers-3.10-3-amd64         	       1        0        1        0        0
57159 linux-headers-3.10-3-common        	       1        0        1        0        0
57160 linux-headers-3.10-rc5-common      	       1        0        1        0        0
57161 linux-headers-3.12-rc7-common      	       1        0        1        0        0
57162 linux-headers-3.14-1-common        	       1        0        1        0        0
57163 linux-headers-3.16.0-0.bpo.4-amd64 	       1        0        1        0        0
57164 linux-headers-3.16.0-0.bpo.4-common	       1        0        1        0        0
57165 linux-headers-3.16.0-11-amd64      	       1        0        1        0        0
57166 linux-headers-3.16.0-11-common     	       1        0        1        0        0
57167 linux-headers-3.16.0-6-686-pae     	       1        0        1        0        0
57168 linux-headers-3.16.0-8-common      	       1        0        1        0        0
57169 linux-headers-3.16.0-9-amd64       	       1        0        1        0        0
57170 linux-headers-3.16.0-9-common      	       1        0        1        0        0
57171 linux-headers-3.18.0-trunk-all     	       1        0        0        0        1
57172 linux-headers-3.18.0-trunk-all-amd64	       1        0        0        0        1
57173 linux-headers-3.18.0-trunk-amd64   	       1        0        1        0        0
57174 linux-headers-3.18.0-trunk-common  	       1        0        1        0        0
57175 linux-headers-3.19.0-trunk-common  	       1        0        1        0        0
57176 linux-headers-3.2.0-4-common-rt    	       1        0        0        0        1
57177 linux-headers-3.2.0-4-rt-amd64     	       1        0        0        0        1
57178 linux-headers-3.2.0-5-amd64        	       1        0        1        0        0
57179 linux-headers-3.2.0-5-common       	       1        0        1        0        0
57180 linux-headers-3.2.0-rc4-common-rt  	       1        0        1        0        0
57181 linux-headers-3.2.0-rc4-rt-amd64   	       1        0        1        0        0
57182 linux-headers-3.6.0-amd64          	       1        0        1        0        0
57183 linux-headers-3.7-trunk-common     	       1        0        1        0        0
57184 linux-headers-4.14.0-0.bpo.3-amd64 	       1        0        1        0        0
57185 linux-headers-4.14.0-0.bpo.3-common	       1        0        1        0        0
57186 linux-headers-4.14.0-3-common      	       1        0        1        0        0
57187 linux-headers-4.15.9.64            	       1        0        1        0        0
57188 linux-headers-4.16.0-0.bpo.2-amd64 	       1        0        1        0        0
57189 linux-headers-4.16.0-0.bpo.2-common	       1        0        1        0        0
57190 linux-headers-4.19.0-0.bpo.8-amd64 	       1        1        0        0        0
57191 linux-headers-4.19.0-11-amd64      	       1        0        1        0        0
57192 linux-headers-4.19.0-12-all        	       1        0        0        0        1
57193 linux-headers-4.19.0-12-all-amd64  	       1        0        0        0        1
57194 linux-headers-4.19.0-12-cloud-amd64	       1        0        1        0        0
57195 linux-headers-4.19.0-12-common-rt  	       1        0        1        0        0
57196 linux-headers-4.19.0-12-rt-amd64   	       1        0        1        0        0
57197 linux-headers-4.19.0-13-all        	       1        0        0        0        1
57198 linux-headers-4.19.0-13-all-amd64  	       1        0        0        0        1
57199 linux-headers-4.19.0-13-cloud-amd64	       1        0        1        0        0
57200 linux-headers-4.19.0-13-common-rt  	       1        0        1        0        0
57201 linux-headers-4.19.0-13-rt-amd64   	       1        0        1        0        0
57202 linux-headers-4.19.0-18-common-rt  	       1        0        1        0        0
57203 linux-headers-4.19.0-18-rt-amd64   	       1        0        1        0        0
57204 linux-headers-4.19.0-19-all        	       1        0        0        0        1
57205 linux-headers-4.19.0-19-all-amd64  	       1        0        0        0        1
57206 linux-headers-4.19.0-19-cloud-amd64	       1        0        1        0        0
57207 linux-headers-4.19.0-19-common-rt  	       1        0        1        0        0
57208 linux-headers-4.19.0-19-rt-amd64   	       1        0        1        0        0
57209 linux-headers-4.19.0-20-common-rt  	       1        0        1        0        0
57210 linux-headers-4.19.0-21-common-rt  	       1        0        1        0        0
57211 linux-headers-4.19.0-21-rt-amd64   	       1        0        1        0        0
57212 linux-headers-4.19.0-22-common-rt  	       1        0        1        0        0
57213 linux-headers-4.19.0-22-rt-amd64   	       1        0        1        0        0
57214 linux-headers-4.19.0-26-686-pae    	       1        0        1        0        0
57215 linux-headers-4.19.0-27-686-pae    	       1        0        1        0        0
57216 linux-headers-4.19.0-6-common-rt   	       1        0        1        0        0
57217 linux-headers-4.19.0-8-all         	       1        0        0        0        1
57218 linux-headers-4.19.0-8-all-amd64   	       1        0        0        0        1
57219 linux-headers-4.19.0-8-amd64       	       1        0        1        0        0
57220 linux-headers-4.19.0-8-cloud-amd64 	       1        0        1        0        0
57221 linux-headers-4.19.0-8-common-rt   	       1        0        1        0        0
57222 linux-headers-4.19.0-8-rt-amd64    	       1        0        1        0        0
57223 linux-headers-4.19.249             	       1        0        1        0        0
57224 linux-headers-4.19.251-proxima     	       1        0        1        0        0
57225 linux-headers-4.2.0-0.bpo.1-all-amd64	       1        0        0        0        1
57226 linux-headers-4.2.0-0.bpo.1-amd64  	       1        0        1        0        0
57227 linux-headers-4.2.0-0.bpo.1-common 	       1        0        1        0        0
57228 linux-headers-4.4.202-vs2.3.9.8-beng	       1        0        1        0        0
57229 linux-headers-4.4.227-vs2.3.9.9-beng	       1        0        1        0        0
57230 linux-headers-4.5.0-2-common       	       1        0        1        0        0
57231 linux-headers-4.8.0-0.bpo.2-686-pae	       1        0        1        0        0
57232 linux-headers-4.9.0-0.bpo.1-amd64  	       1        0        1        0        0
57233 linux-headers-4.9.0-0.bpo.1-common 	       1        0        1        0        0
57234 linux-headers-4.9.0-0.bpo.2-common-rt	       1        0        1        0        0
57235 linux-headers-4.9.0-0.bpo.4-686-pae	       1        0        1        0        0
57236 linux-headers-4.9.0-0.bpo.4-common 	       1        0        1        0        0
57237 linux-headers-4.9.0-0.bpo.6-amd64  	       1        0        1        0        0
57238 linux-headers-4.9.0-0.bpo.6-common 	       1        0        1        0        0
57239 linux-headers-4.9.0-11-686-pae     	       1        0        1        0        0
57240 linux-headers-4.9.0-11-rt-686-pae  	       1        0        1        0        0
57241 linux-headers-4.9.0-12-686         	       1        0        1        0        0
57242 linux-headers-4.9.0-12-686-pae     	       1        0        1        0        0
57243 linux-headers-4.9.0-12-all-amd64   	       1        0        0        0        1
57244 linux-headers-4.9.0-12-common-rt   	       1        0        1        0        0
57245 linux-headers-4.9.0-12-rt-amd64    	       1        0        1        0        0
57246 linux-headers-4.9.0-13-all         	       1        0        0        0        1
57247 linux-headers-4.9.0-13-all-amd64   	       1        0        0        0        1
57248 linux-headers-4.9.0-13-common-rt   	       1        0        1        0        0
57249 linux-headers-4.9.0-13-rt-amd64    	       1        0        1        0        0
57250 linux-headers-4.9.0-16-all-amd64   	       1        0        0        0        1
57251 linux-headers-4.9.0-16-common-rt   	       1        0        1        0        0
57252 linux-headers-4.9.0-16-rt-amd64    	       1        0        1        0        0
57253 linux-headers-4.9.0-17-all         	       1        0        0        0        1
57254 linux-headers-4.9.0-17-all-amd64   	       1        0        0        0        1
57255 linux-headers-4.9.0-17-common-rt   	       1        0        1        0        0
57256 linux-headers-4.9.0-17-rt-amd64    	       1        0        1        0        0
57257 linux-headers-4.9.0-19-686-pae     	       1        0        1        0        0
57258 linux-headers-4.9.0-8-686          	       1        0        1        0        0
57259 linux-headers-4.9.0-8-686-pae      	       1        0        1        0        0
57260 linux-headers-4.9.0-8-all          	       1        0        0        0        1
57261 linux-headers-5.0.0                	       1        0        1        0        0
57262 linux-headers-5.1.0+               	       1        0        1        0        0
57263 linux-headers-5.1.0-20.1-liquorix-amd64	       1        0        1        0        0
57264 linux-headers-5.10-rt-amd64        	       1        0        0        0        1
57265 linux-headers-5.10.0-0.bpo.11-amd64	       1        0        1        0        0
57266 linux-headers-5.10.0-0.bpo.11-common	       1        0        1        0        0
57267 linux-headers-5.10.0-0.bpo.12-common-rt	       1        0        1        0        0
57268 linux-headers-5.10.0-0.bpo.12-rt-amd64	       1        0        1        0        0
57269 linux-headers-5.10.0-0.bpo.9-amd64 	       1        0        1        0        0
57270 linux-headers-5.10.0-0.bpo.9-common	       1        0        1        0        0
57271 linux-headers-5.10.0-0.deb10.16-amd64	       1        0        1        0        0
57272 linux-headers-5.10.0-0.deb10.19-common-rt	       1        0        1        0        0
57273 linux-headers-5.10.0-0.deb10.19-rt-amd64	       1        0        1        0        0
57274 linux-headers-5.10.0-0.deb10.20-amd64	       1        0        1        0        0
57275 linux-headers-5.10.0-0.deb10.20-common	       1        0        1        0        0
57276 linux-headers-5.10.0-0.deb10.20-common-rt	       1        0        1        0        0
57277 linux-headers-5.10.0-0.deb10.20-rt-amd64	       1        0        1        0        0
57278 linux-headers-5.10.0-0.deb10.21-amd64	       1        0        1        0        0
57279 linux-headers-5.10.0-0.deb10.21-common	       1        0        1        0        0
57280 linux-headers-5.10.0-0.deb10.28-common-rt	       1        0        1        0        0
57281 linux-headers-5.10.0-0.deb10.28-rt-amd64	       1        0        1        0        0
57282 linux-headers-5.10.0-0.deb10.30-amd64	       1        0        1        0        0
57283 linux-headers-5.10.0-0.deb10.30-common	       1        0        1        0        0
57284 linux-headers-5.10.0-0.deb10.30-common-rt	       1        0        1        0        0
57285 linux-headers-5.10.0-0.deb10.30-rt-amd64	       1        0        1        0        0
57286 linux-headers-5.10.0-11-686        	       1        0        1        0        0
57287 linux-headers-5.10.0-11-686-pae    	       1        0        1        0        0
57288 linux-headers-5.10.0-17-686-pae    	       1        0        1        0        0
57289 linux-headers-5.10.0-19-686-pae    	       1        0        1        0        0
57290 linux-headers-5.10.0-20-686        	       1        0        1        0        0
57291 linux-headers-5.10.0-23-686-pae    	       1        0        1        0        0
57292 linux-headers-5.10.0-23-arm64      	       1        0        1        0        0
57293 linux-headers-5.10.0-24-686-pae    	       1        0        1        0        0
57294 linux-headers-5.10.0-26-686-pae    	       1        0        1        0        0
57295 linux-headers-5.10.0-27-686-pae    	       1        0        1        0        0
57296 linux-headers-5.10.0-29-686-pae    	       1        0        1        0        0
57297 linux-headers-5.10.0-3-amd64       	       1        0        1        0        0
57298 linux-headers-5.10.0-3-common      	       1        0        1        0        0
57299 linux-headers-5.10.0-32-686-pae    	       1        0        1        0        0
57300 linux-headers-5.10.0-33-686        	       1        0        1        0        0
57301 linux-headers-5.10.0-33-common-rt  	       1        0        1        0        0
57302 linux-headers-5.10.0-33-rt-amd64   	       1        0        1        0        0
57303 linux-headers-5.10.0-4-amd64       	       1        0        1        0        0
57304 linux-headers-5.10.0-4-common      	       1        0        1        0        0
57305 linux-headers-5.10.0-6-common-rt   	       1        0        1        0        0
57306 linux-headers-5.10.41+             	       1        0        1        0        0
57307 linux-headers-5.14.0-4-common      	       1        0        1        0        0
57308 linux-headers-5.15.0               	       1        0        1        0        0
57309 linux-headers-5.15.0-0.bpo.2-686-pae	       1        0        1        0        0
57310 linux-headers-5.15.0-0.bpo.2-amd64 	       1        0        1        0        0
57311 linux-headers-5.15.0-0.bpo.3-common	       1        0        0        0        1
57312 linux-headers-5.15.0-2-amd64       	       1        0        1        0        0
57313 linux-headers-5.15.0-3-common      	       1        0        1        0        0
57314 linux-headers-5.15.173-gnu         	       1        0        1        0        0
57315 linux-headers-5.15.25-atom         	       1        0        1        0        0
57316 linux-headers-5.15.29-gnu          	       1        0        1        0        0
57317 linux-headers-5.15.32              	       1        0        1        0        0
57318 linux-headers-5.16.0-0.bpo.3-amd64 	       1        0        1        0        0
57319 linux-headers-5.16.0-0.bpo.3-common	       1        0        1        0        0
57320 linux-headers-5.16.0-1-amd64       	       1        0        1        0        0
57321 linux-headers-5.16.0-1-common      	       1        0        1        0        0
57322 linux-headers-5.16.0-3-amd64       	       1        0        1        0        0
57323 linux-headers-5.16.0-3-common      	       1        0        1        0        0
57324 linux-headers-5.16.0-4-amd64       	       1        0        1        0        0
57325 linux-headers-5.16.0-4-common      	       1        0        1        0        0
57326 linux-headers-5.16.0-5-amd64       	       1        0        1        0        0
57327 linux-headers-5.17.0-1-amd64       	       1        0        1        0        0
57328 linux-headers-5.17.0-1-common      	       1        0        1        0        0
57329 linux-headers-5.17.0-3-amd64       	       1        0        1        0        0
57330 linux-headers-5.17.0-3-common      	       1        0        1        0        0
57331 linux-headers-5.17.8               	       1        0        1        0        0
57332 linux-headers-5.18.0-2-common-rt   	       1        0        1        0        0
57333 linux-headers-5.18.0-2-rt-amd64    	       1        0        1        0        0
57334 linux-headers-5.18.0-3-amd64       	       1        0        1        0        0
57335 linux-headers-5.18.0-3-common      	       1        0        1        0        0
57336 linux-headers-5.18.0-4-amd64       	       1        0        1        0        0
57337 linux-headers-5.18.0-4-common      	       1        0        1        0        0
57338 linux-headers-5.18.0-4-common-rt   	       1        0        1        0        0
57339 linux-headers-5.18.0-4-rt-amd64    	       1        0        1        0        0
57340 linux-headers-5.19.0-2-amd64       	       1        0        1        0        0
57341 linux-headers-5.19.0-2-common      	       1        0        1        0        0
57342 linux-headers-5.2.0-2-common       	       1        0        1        0        0
57343 linux-headers-5.2.1-diglator.15    	       1        0        1        0        0
57344 linux-headers-5.2.13-19.09.09.amdgpu	       1        0        1        0        0
57345 linux-headers-5.4.0-0.bpo.2-common 	       1        0        1        0        0
57346 linux-headers-5.4.0-0.bpo.3-amd64  	       1        0        1        0        0
57347 linux-headers-5.4.0-0.bpo.3-common 	       1        0        1        0        0
57348 linux-headers-5.4.0-0.bpo.4-common 	       1        0        1        0        0
57349 linux-headers-5.4.0-0.bpo.4-common-rt	       1        0        1        0        0
57350 linux-headers-5.4.0-0.bpo.4-rt-amd64	       1        0        1        0        0
57351 linux-headers-5.4.0-1692312122121  	       1        0        1        0        0
57352 linux-headers-5.4.0-1692312122121-generic	       1        0        1        0        0
57353 linux-headers-5.4.0-1862405280420  	       1        0        1        0        0
57354 linux-headers-5.4.0-1862405280420-generic	       1        0        1        0        0
57355 linux-headers-5.4.13               	       1        0        1        0        0
57356 linux-headers-5.4.51-v8+           	       1        0        1        0        0
57357 linux-headers-5.6.0-0.bpo.2-common 	       1        0        1        0        0
57358 linux-headers-5.6.0-1-amd64        	       1        0        1        0        0
57359 linux-headers-5.6.0-1-common       	       1        0        1        0        0
57360 linux-headers-5.6.0-2-amd64        	       1        0        1        0        0
57361 linux-headers-5.6.0-2-common       	       1        0        1        0        0
57362 linux-headers-5.7.0-0.bpo.2-amd64  	       1        0        1        0        0
57363 linux-headers-5.7.0-2-amd64        	       1        0        1        0        0
57364 linux-headers-5.7.0-2-common       	       1        0        1        0        0
57365 linux-headers-5.8.0-0.bpo.2-amd64  	       1        0        1        0        0
57366 linux-headers-5.8.0-0.bpo.2-common 	       1        0        1        0        0
57367 linux-headers-5.8.0-1-amd64        	       1        0        1        0        0
57368 linux-headers-5.8.0-1-common       	       1        0        1        0        0
57369 linux-headers-5.8.0-2-amd64        	       1        0        1        0        0
57370 linux-headers-5.8.0-2-common       	       1        0        1        0        0
57371 linux-headers-5.9.0-0.bpo.5-common-rt	       1        0        1        0        0
57372 linux-headers-5.9.0-1-amd64        	       1        0        1        0        0
57373 linux-headers-5.9.0-1-common       	       1        0        1        0        0
57374 linux-headers-5.9.0-3-amd64        	       1        0        1        0        0
57375 linux-headers-5.9.0-3-common       	       1        0        1        0        0
57376 linux-headers-5.9.0-5-amd64        	       1        0        1        0        0
57377 linux-headers-5.9.0-5-common       	       1        0        1        0        0
57378 linux-headers-6.0.0-0.deb11.2-common	       1        0        1        0        0
57379 linux-headers-6.0.0-1-amd64        	       1        0        1        0        0
57380 linux-headers-6.0.0-1-common       	       1        0        1        0        0
57381 linux-headers-6.0.0-2-common       	       1        0        1        0        0
57382 linux-headers-6.0.0-3-amd64        	       1        0        1        0        0
57383 linux-headers-6.0.0-3-common       	       1        0        1        0        0
57384 linux-headers-6.0.11               	       1        0        1        0        0
57385 linux-headers-6.0.9-surface        	       1        0        1        0        0
57386 linux-headers-6.1-amd64            	       1        0        0        0        1
57387 linux-headers-6.1.0-0.deb11.13-common-rt	       1        0        1        0        0
57388 linux-headers-6.1.0-0.deb11.13-rt-amd64	       1        0        1        0        0
57389 linux-headers-6.1.0-0.deb11.18-amd64	       1        0        1        0        0
57390 linux-headers-6.1.0-0.deb11.18-common	       1        0        1        0        0
57391 linux-headers-6.1.0-0.deb11.22-amd64	       1        0        1        0        0
57392 linux-headers-6.1.0-0.deb11.22-common	       1        0        1        0        0
57393 linux-headers-6.1.0-0.deb11.28-amd64	       1        0        1        0        0
57394 linux-headers-6.1.0-0.deb11.28-common	       1        0        1        0        0
57395 linux-headers-6.1.0-0.deb11.31-amd64	       1        0        0        1        0
57396 linux-headers-6.1.0-0.deb11.31-common	       1        0        0        1        0
57397 linux-headers-6.1.0-0.deb11.6-amd64	       1        0        1        0        0
57398 linux-headers-6.1.0-1-amd64        	       1        0        1        0        0
57399 linux-headers-6.1.0-1-common       	       1        0        1        0        0
57400 linux-headers-6.1.0-12-cloud-amd64 	       1        0        1        0        0
57401 linux-headers-6.1.0-12-common-rt   	       1        0        1        0        0
57402 linux-headers-6.1.0-12-rt-amd64    	       1        0        1        0        0
57403 linux-headers-6.1.0-13-686         	       1        0        1        0        0
57404 linux-headers-6.1.0-13-686-pae     	       1        0        1        0        0
57405 linux-headers-6.1.0-13-cloud-amd64 	       1        0        1        0        0
57406 linux-headers-6.1.0-13-common-rt   	       1        0        1        0        0
57407 linux-headers-6.1.0-13-rt-amd64    	       1        0        1        0        0
57408 linux-headers-6.1.0-17-cloud-amd64 	       1        0        1        0        0
57409 linux-headers-6.1.0-18-686-pae     	       1        0        1        0        0
57410 linux-headers-6.1.0-2-amd64        	       1        0        1        0        0
57411 linux-headers-6.1.0-2-common       	       1        0        1        0        0
57412 linux-headers-6.1.0-2.frea-amd64   	       1        0        1        0        0
57413 linux-headers-6.1.0-2.frea-common  	       1        0        1        0        0
57414 linux-headers-6.1.0-21-cloud-amd64 	       1        0        1        0        0
57415 linux-headers-6.1.0-21-common-rt   	       1        0        1        0        0
57416 linux-headers-6.1.0-21-rt-amd64    	       1        0        1        0        0
57417 linux-headers-6.1.0-22-cloud-amd64 	       1        0        1        0        0
57418 linux-headers-6.1.0-22-common-rt   	       1        0        1        0        0
57419 linux-headers-6.1.0-22-rt-amd64    	       1        0        1        0        0
57420 linux-headers-6.1.0-23-cloud-amd64 	       1        0        1        0        0
57421 linux-headers-6.1.0-25-cloud-amd64 	       1        0        1        0        0
57422 linux-headers-6.1.0-25-common-rt   	       1        0        1        0        0
57423 linux-headers-6.1.0-25-powerpc64le 	       1        0        1        0        0
57424 linux-headers-6.1.0-25-rt-amd64    	       1        0        1        0        0
57425 linux-headers-6.1.0-26-686         	       1        0        1        0        0
57426 linux-headers-6.1.0-26-cloud-amd64 	       1        0        1        0        0
57427 linux-headers-6.1.0-26-common-rt   	       1        0        1        0        0
57428 linux-headers-6.1.0-26-rt-amd64    	       1        0        1        0        0
57429 linux-headers-6.1.0-27-686-pae     	       1        0        1        0        0
57430 linux-headers-6.1.0-28-686         	       1        0        1        0        0
57431 linux-headers-6.1.0-28-arm64       	       1        0        1        0        0
57432 linux-headers-6.1.0-29-powerpc64le 	       1        0        1        0        0
57433 linux-headers-6.1.0-3-amd64        	       1        0        1        0        0
57434 linux-headers-6.1.0-30-686         	       1        0        1        0        0
57435 linux-headers-6.1.0-30-686-pae     	       1        0        1        0        0
57436 linux-headers-6.1.0-31-arm64       	       1        0        1        0        0
57437 linux-headers-6.1.0-32-686-pae     	       1        0        0        1        0
57438 linux-headers-6.1.0-4-amd64        	       1        0        1        0        0
57439 linux-headers-6.1.0-4-common       	       1        0        1        0        0
57440 linux-headers-6.1.0-odroid-arm64   	       1        0        1        0        0
57441 linux-headers-6.1.0-odroid-common  	       1        0        1        0        0
57442 linux-headers-6.1.115-326          	       1        0        1        0        0
57443 linux-headers-6.1.119-327          	       1        0        1        0        0
57444 linux-headers-6.1.62-gnu           	       1        0        1        0        0
57445 linux-headers-6.1.96-319           	       1        0        1        0        0
57446 linux-headers-6.10.10-2-liquorix-amd64	       1        0        1        0        0
57447 linux-headers-6.10.10-x64v1-xanmod1	       1        0        1        0        0
57448 linux-headers-6.10.11+bpo-common-rt	       1        0        1        0        0
57449 linux-headers-6.10.11+bpo-rt-amd64 	       1        0        1        0        0
57450 linux-headers-6.10.11-x64v1-xanmod1	       1        0        1        0        0
57451 linux-headers-6.10.12-amd64        	       1        0        1        0        0
57452 linux-headers-6.10.12-common       	       1        0        1        0        0
57453 linux-headers-6.10.3-common        	       1        0        1        0        0
57454 linux-headers-6.10.4-amd64         	       1        0        1        0        0
57455 linux-headers-6.10.4-common        	       1        0        1        0        0
57456 linux-headers-6.10.6-2-liquorix-amd64	       1        0        1        0        0
57457 linux-headers-6.10.7-amd64         	       1        0        1        0        0
57458 linux-headers-6.11.10+bpo-common-rt	       1        0        1        0        0
57459 linux-headers-6.11.10+bpo-rt-amd64 	       1        0        1        0        0
57460 linux-headers-6.11.10-1-liquorix-amd64	       1        0        1        0        0
57461 linux-headers-6.11.5-1-liquorix-amd64	       1        0        1        0        0
57462 linux-headers-6.11.7-2-liquorix-amd64	       1        0        1        0        0
57463 linux-headers-6.12.11-2-liquorix-amd64	       1        0        1        0        0
57464 linux-headers-6.12.11-common-rt    	       1        0        1        0        0
57465 linux-headers-6.12.11-rt-amd64     	       1        0        1        0        0
57466 linux-headers-6.12.12-common-rt    	       1        0        1        0        0
57467 linux-headers-6.12.12-custom       	       1        0        0        1        0
57468 linux-headers-6.12.12-rt-amd64     	       1        0        1        0        0
57469 linux-headers-6.12.15-rt-xanmod1   	       1        0        1        0        0
57470 linux-headers-6.12.3-amd64         	       1        0        1        0        0
57471 linux-headers-6.12.3-common        	       1        0        1        0        0
57472 linux-headers-6.12.6-1-liquorix-amd64	       1        0        1        0        0
57473 linux-headers-6.12.6-cloud-amd64   	       1        0        1        0        0
57474 linux-headers-6.12.6-common-rt     	       1        0        1        0        0
57475 linux-headers-6.12.6-rt-amd64      	       1        0        1        0        0
57476 linux-headers-6.12.7-2-liquorix-amd64	       1        0        1        0        0
57477 linux-headers-6.12.7-x64v3-xanmod1 	       1        0        1        0        0
57478 linux-headers-6.12.8-1-liquorix-amd64	       1        0        1        0        0
57479 linux-headers-6.12.8-amd64         	       1        0        1        0        0
57480 linux-headers-6.12.8-common        	       1        0        1        0        0
57481 linux-headers-6.12.8-x64v3-xanmod1 	       1        0        1        0        0
57482 linux-headers-6.12.9-1-liquorix-amd64	       1        0        1        0        0
57483 linux-headers-6.13.1-x64v3-xanmod1 	       1        0        1        0        0
57484 linux-headers-6.13.2-x64v3-xanmod1 	       1        0        1        0        0
57485 linux-headers-6.13.5-xanmod1       	       1        0        0        1        0
57486 linux-headers-6.13.7-julises       	       1        0        0        1        0
57487 linux-headers-6.3.0-1-amd64        	       1        0        1        0        0
57488 linux-headers-6.3.0-1-common       	       1        0        1        0        0
57489 linux-headers-6.4.0-2-amd64        	       1        0        1        0        0
57490 linux-headers-6.4.0-2-common       	       1        0        1        0        0
57491 linux-headers-6.4.0-3-amd64        	       1        0        1        0        0
57492 linux-headers-6.4.0-3-common       	       1        0        1        0        0
57493 linux-headers-6.4.0-4-amd64        	       1        0        1        0        0
57494 linux-headers-6.4.0-4-common       	       1        0        1        0        0
57495 linux-headers-6.4.4                	       1        0        0        0        1
57496 linux-headers-6.5.0-2-amd64        	       1        0        1        0        0
57497 linux-headers-6.5.0-2-common       	       1        0        1        0        0
57498 linux-headers-6.5.0-4-amd64        	       1        0        1        0        0
57499 linux-headers-6.5.0-4-common       	       1        0        1        0        0
57500 linux-headers-6.5.0-5-amd64        	       1        0        1        0        0
57501 linux-headers-6.6.0                	       1        0        1        0        0
57502 linux-headers-6.6.0-odroid-arm64   	       1        0        1        0        0
57503 linux-headers-6.6.0-odroid-common  	       1        0        1        0        0
57504 linux-headers-6.6.11-amd64         	       1        0        1        0        0
57505 linux-headers-6.6.11-common        	       1        0        1        0        0
57506 linux-headers-6.6.13-amd64         	       1        0        1        0        0
57507 linux-headers-6.6.13-common        	       1        0        1        0        0
57508 linux-headers-6.6.15-amd64         	       1        0        1        0        0
57509 linux-headers-6.6.15-common        	       1        0        1        0        0
57510 linux-headers-6.6.30-rt30-x64v3-xanmod1	       1        0        1        0        0
57511 linux-headers-6.6.30-x64v3-xanmod1 	       1        0        1        0        0
57512 linux-headers-6.6.31-rt31-x64v3-xanmod1	       1        0        1        0        0
57513 linux-headers-6.6.31-x64v3-xanmod1 	       1        0        1        0        0
57514 linux-headers-6.6.40-x64v3-xanmod1 	       1        0        1        0        0
57515 linux-headers-6.6.49-gnu           	       1        0        1        0        0
57516 linux-headers-6.6.52-rt43-x64v3-xanmod1	       1        0        1        0        0
57517 linux-headers-6.6.58               	       1        0        1        0        0
57518 linux-headers-6.6.58-x64v1-xanmod1 	       1        0        1        0        0
57519 linux-headers-6.6.63-x64v1-xanmod1 	       1        0        1        0        0
57520 linux-headers-6.6.8-amd64          	       1        0        1        0        0
57521 linux-headers-6.6.8-common         	       1        0        1        0        0
57522 linux-headers-6.6.9-amd64          	       1        0        1        0        0
57523 linux-headers-6.6.9-common         	       1        0        1        0        0
57524 linux-headers-6.7.12+bpo-amd64     	       1        0        1        0        0
57525 linux-headers-6.7.12+bpo-common    	       1        0        1        0        0
57526 linux-headers-6.7.12-1-liquorix-amd64	       1        0        0        1        0
57527 linux-headers-6.7.12-amd64         	       1        0        1        0        0
57528 linux-headers-6.7.12-common        	       1        0        1        0        0
57529 linux-headers-6.7.9-amd64          	       1        0        1        0        0
57530 linux-headers-6.7.9-common         	       1        0        1        0        0
57531 linux-headers-6.8.9-amd64          	       1        0        1        0        0
57532 linux-headers-6.8.9-common         	       1        0        1        0        0
57533 linux-headers-6.8.9-x64v3-xanmod1  	       1        0        1        0        0
57534 linux-headers-6.9.10-amd64         	       1        0        1        0        0
57535 linux-headers-6.9.10-common        	       1        0        1        0        0
57536 linux-headers-6.9.11-1-liquorix-amd64	       1        0        1        0        0
57537 linux-headers-6.9.12-2-liquorix-amd64	       1        0        1        0        0
57538 linux-headers-6.9.12-amd64         	       1        0        1        0        0
57539 linux-headers-6.9.12-common        	       1        0        1        0        0
57540 linux-headers-6.9.6-kirkwood-tld-1 	       1        0        1        0        0
57541 linux-headers-6.9.7-amd64          	       1        0        1        0        0
57542 linux-headers-6.9.7-common         	       1        0        1        0        0
57543 linux-headers-6.9.9-x64v3-xanmod1  	       1        0        1        0        0
57544 linux-headers-bcm2709-rpi-2+3      	       1        0        1        0        0
57545 linux-headers-powerpc64le          	       1        0        0        0        1
57546 linux-headers-rt-amd64             	       1        0        0        0        1
57547 linux-image-2.6.26-486-voyage      	       1        0        1        0        0
57548 linux-image-2.6.32-5-486           	       1        0        1        0        0
57549 linux-image-3.12-0.bpo.1-amd64     	       1        0        1        0        0
57550 linux-image-3.14-0.bpo.2-amd64     	       1        0        1        0        0
57551 linux-image-3.16-0.bpo.2-686-pae   	       1        0        1        0        0
57552 linux-image-3.16.0-0.bpo.4-586     	       1        0        1        0        0
57553 linux-image-3.16.0-10-586          	       1        0        1        0        0
57554 linux-image-3.16.0-4-586           	       1        0        1        0        0
57555 linux-image-3.16.0-4-amd64-dbg     	       1        0        1        0        0
57556 linux-image-3.16.0-5-586           	       1        0        1        0        0
57557 linux-image-3.16.0-5-686-pae       	       1        0        1        0        0
57558 linux-image-3.16.0-6-586           	       1        0        1        0        0
57559 linux-image-3.16.0-7-586           	       1        0        1        0        0
57560 linux-image-3.16.0-7-686-pae       	       1        0        1        0        0
57561 linux-image-3.16.0-8-586           	       1        0        1        0        0
57562 linux-image-3.16.0-9-586           	       1        0        1        0        0
57563 linux-image-3.16.0-9-amd64         	       1        0        1        0        0
57564 linux-image-3.16.42-amd-server-64bit	       1        0        1        0        0
57565 linux-image-3.16.43-intel-server-64bit	       1        0        1        0        0
57566 linux-image-3.18.98-vs2.3.7.5-beng 	       1        0        1        0        0
57567 linux-image-3.2.0-4-486            	       1        0        1        0        0
57568 linux-image-3.9-1-amd64            	       1        0        1        0        0
57569 linux-image-4.10.0-rc6-amd64       	       1        0        1        0        0
57570 linux-image-4.14.0-0.bpo.2-amd64   	       1        0        1        0        0
57571 linux-image-4.14.0-0.bpo.3-amd64   	       1        0        1        0        0
57572 linux-image-4.14.0-rc4             	       1        0        0        0        1
57573 linux-image-4.14.0-rc6-snow        	       1        0        0        0        1
57574 linux-image-4.15.0-rc1-snow        	       1        0        0        0        1
57575 linux-image-4.15.9.64              	       1        0        1        0        0
57576 linux-image-4.16.0-0.bpo.2-amd64   	       1        0        1        0        0
57577 linux-image-4.16.0-rc5-snow        	       1        0        0        0        1
57578 linux-image-4.18.0-0.bpo.1-amd64   	       1        0        1        0        0
57579 linux-image-4.18.0-0.bpo.1-cloud-amd64	       1        1        0        0        0
57580 linux-image-4.19.0-0.bpo.1-amd64   	       1        0        1        0        0
57581 linux-image-4.19.0-0.bpo.14-amd64  	       1        0        1        0        0
57582 linux-image-4.19.0-0.bpo.2-amd64   	       1        0        1        0        0
57583 linux-image-4.19.0-0.bpo.2-amd64-unsigned	       1        0        1        0        0
57584 linux-image-4.19.0-0.bpo.9-cloud-amd64	       1        1        0        0        0
57585 linux-image-4.19.0-11-armmp        	       1        0        1        0        0
57586 linux-image-4.19.0-12-armmp        	       1        0        1        0        0
57587 linux-image-4.19.0-12-rt-amd64-unsigned	       1        0        1        0        0
57588 linux-image-4.19.0-14-rt-amd64     	       1        0        0        0        1
57589 linux-image-4.19.0-16-686          	       1        0        1        0        0
57590 linux-image-4.19.0-17-686-pae      	       1        0        1        0        0
57591 linux-image-4.19.0-20-rt-amd64-unsigned	       1        0        1        0        0
57592 linux-image-4.19.0-21-marvell      	       1        0        1        0        0
57593 linux-image-4.19.0-22-686          	       1        0        1        0        0
57594 linux-image-4.19.0-22-686-pae      	       1        0        1        0        0
57595 linux-image-4.19.0-23-686          	       1        0        1        0        0
57596 linux-image-4.19.0-24-686-pae      	       1        0        1        0        0
57597 linux-image-4.19.0-3-amd64-unsigned	       1        0        1        0        0
57598 linux-image-4.19.0-4-amd64         	       1        0        1        0        0
57599 linux-image-4.19.0-5-686           	       1        0        1        0        0
57600 linux-image-4.19.0-5-686-pae       	       1        0        1        0        0
57601 linux-image-4.19.0-6-686-pae       	       1        0        1        0        0
57602 linux-image-4.19.0-6-amd64-dbg     	       1        0        1        0        0
57603 linux-image-4.19.0-8-686-pae       	       1        0        1        0        0
57604 linux-image-4.19.0-9-686           	       1        0        1        0        0
57605 linux-image-4.19.0-rc3-snow        	       1        0        0        0        1
57606 linux-image-4.19.0-rc6-moose       	       1        0        0        0        1
57607 linux-image-4.19.0-rc6-moosette    	       1        0        0        0        1
57608 linux-image-4.19.0-rc6-pepper      	       1        0        0        0        1
57609 linux-image-4.19.249               	       1        0        1        0        0
57610 linux-image-4.19.251-proxima       	       1        0        1        0        0
57611 linux-image-4.2.0-0.bpo.1-amd64    	       1        0        1        0        0
57612 linux-image-4.20.0-rc5-snow        	       1        0        0        0        1
57613 linux-image-4.4.0-0.bpo.1-amd64    	       1        0        0        0        1
57614 linux-image-4.4.227-vs2.3.9.9-beng 	       1        0        1        0        0
57615 linux-image-4.4.78-intel-server-64bit	       1        0        1        0        0
57616 linux-image-4.5.0-0.bpo.1-amd64    	       1        0        0        0        1
57617 linux-image-4.5.0-0.bpo.2-amd64    	       1        0        0        0        1
57618 linux-image-4.6.0-0.bpo.1-amd64    	       1        0        0        0        1
57619 linux-image-4.8.0-2-amd64          	       1        0        1        0        0
57620 linux-image-4.9-amd64              	       1        0        0        0        1
57621 linux-image-4.9.0-0.bpo.1-amd64-unsigned	       1        0        0        0        1
57622 linux-image-4.9.0-0.bpo.12-686     	       1        0        1        0        0
57623 linux-image-4.9.0-0.bpo.12-amd64   	       1        1        0        0        0
57624 linux-image-4.9.0-0.bpo.2-rt-amd64 	       1        0        1        0        0
57625 linux-image-4.9.0-0.bpo.4-686-pae  	       1        0        0        0        1
57626 linux-image-4.9.0-1-amd64          	       1        0        1        0        0
57627 linux-image-4.9.0-12-686-dbg       	       1        0        1        0        0
57628 linux-image-4.9.0-12-686-pae-dbg   	       1        0        1        0        0
57629 linux-image-4.9.0-14-686           	       1        0        1        0        0
57630 linux-image-4.9.0-15-686           	       1        0        1        0        0
57631 linux-image-4.9.0-16-686-pae       	       1        0        1        0        0
57632 linux-image-4.9.0-18-686           	       1        0        1        0        0
57633 linux-image-4.9.0-3-amd64          	       1        0        1        0        0
57634 linux-image-4.9.0-3-rt-amd64       	       1        0        1        0        0
57635 linux-image-4.9.0-4-686-pae        	       1        0        1        0        0
57636 linux-image-4.9.0-5-686-pae        	       1        0        1        0        0
57637 linux-image-4.9.0-8-686            	       1        0        1        0        0
57638 linux-image-4.9.0-9-686            	       1        0        1        0        0
57639 linux-image-4.9.0-9-686-pae        	       1        0        1        0        0
57640 linux-image-4.9.164-vs2.3.9.8-beng 	       1        0        1        0        0
57641 linux-image-4.9.18-phenom          	       1        0        1        0        0
57642 linux-image-4.9.62-intel-server-64bit	       1        0        1        0        0
57643 linux-image-5.0.0-rc5-moose        	       1        0        0        0        1
57644 linux-image-5.0.0-rc5-pepper       	       1        0        0        0        1
57645 linux-image-5.0.0-rc5-snow         	       1        0        0        0        1
57646 linux-image-5.1.0+                 	       1        0        1        0        0
57647 linux-image-5.1.0+-dbg             	       1        0        1        0        0
57648 linux-image-5.10-rt-amd64          	       1        0        0        0        1
57649 linux-image-5.10.0-0.bpo.4-686     	       1        0        1        0        0
57650 linux-image-5.10.0-0.bpo.4-amd64   	       1        0        1        0        0
57651 linux-image-5.10.0-0.bpo.7-arm64   	       1        0        1        0        0
57652 linux-image-5.10.0-0.bpo.8-amd64   	       1        0        1        0        0
57653 linux-image-5.10.0-0.deb10.16-arm64	       1        0        1        0        0
57654 linux-image-5.10.0-0.deb10.20-amd64	       1        0        1        0        0
57655 linux-image-5.10.0-0.deb10.20-rt-amd64	       1        0        1        0        0
57656 linux-image-5.10.0-0.deb10.21-amd64	       1        0        1        0        0
57657 linux-image-5.10.0-0.deb10.23-amd64	       1        0        1        0        0
57658 linux-image-5.10.0-0.deb10.24-amd64	       1        0        1        0        0
57659 linux-image-5.10.0-1-amd64         	       1        0        1        0        0
57660 linux-image-5.10.0-10-686          	       1        0        1        0        0
57661 linux-image-5.10.0-11-686          	       1        0        1        0        0
57662 linux-image-5.10.0-11-686-pae      	       1        0        1        0        0
57663 linux-image-5.10.0-13-686-pae      	       1        0        1        0        0
57664 linux-image-5.10.0-14-686          	       1        0        1        0        0
57665 linux-image-5.10.0-14-amd64-unsigned	       1        1        0        0        0
57666 linux-image-5.10.0-15-cloud-amd64  	       1        0        1        0        0
57667 linux-image-5.10.0-15-cloud-amd64-unsigned	       1        0        1        0        0
57668 linux-image-5.10.0-16-amd64-dbg    	       1        0        1        0        0
57669 linux-image-5.10.0-16-powerpc64le  	       1        0        1        0        0
57670 linux-image-5.10.0-17-powerpc64le  	       1        0        1        0        0
57671 linux-image-5.10.0-18-cloud-amd64  	       1        0        1        0        0
57672 linux-image-5.10.0-18-powerpc64le  	       1        0        1        0        0
57673 linux-image-5.10.0-19-686-pae      	       1        0        1        0        0
57674 linux-image-5.10.0-19-powerpc64le  	       1        0        1        0        0
57675 linux-image-5.10.0-20-cloud-amd64  	       1        0        1        0        0
57676 linux-image-5.10.0-21-686-pae      	       1        0        1        0        0
57677 linux-image-5.10.0-21-cloud-amd64  	       1        0        1        0        0
57678 linux-image-5.10.0-23-amd64-dbg    	       1        0        1        0        0
57679 linux-image-5.10.0-25-arm64        	       1        0        1        0        0
57680 linux-image-5.10.0-26-amd64-unsigned	       1        0        1        0        0
57681 linux-image-5.10.0-26-arm64        	       1        0        1        0        0
57682 linux-image-5.10.0-27-rt-amd64     	       1        0        1        0        0
57683 linux-image-5.10.0-28-rt-amd64     	       1        0        1        0        0
57684 linux-image-5.10.0-29-686-pae      	       1        0        1        0        0
57685 linux-image-5.10.0-29-amd64-unsigned	       1        0        0        1        0
57686 linux-image-5.10.0-30-686-pae      	       1        0        1        0        0
57687 linux-image-5.10.0-30-cloud-amd64  	       1        0        1        0        0
57688 linux-image-5.10.0-31-686          	       1        0        1        0        0
57689 linux-image-5.10.0-33-amd64-dbg    	       1        0        1        0        0
57690 linux-image-5.10.0-33-arm64        	       1        0        1        0        0
57691 linux-image-5.10.0-33-cloud-amd64  	       1        1        0        0        0
57692 linux-image-5.10.0-34-cloud-amd64  	       1        1        0        0        0
57693 linux-image-5.10.0-4-amd64         	       1        0        1        0        0
57694 linux-image-5.10.0-5-686           	       1        0        0        0        1
57695 linux-image-5.10.0-5-686-pae-unsigned	       1        0        0        0        1
57696 linux-image-5.10.0-6-686           	       1        0        0        0        1
57697 linux-image-5.10.0-6-amd64         	       1        0        1        0        0
57698 linux-image-5.10.0-6-rt-amd64      	       1        0        1        0        0
57699 linux-image-5.10.0-7-amd64         	       1        0        1        0        0
57700 linux-image-5.10.0-8-686-pae       	       1        0        1        0        0
57701 linux-image-5.10.0-9-686-pae       	       1        0        1        0        0
57702 linux-image-5.10.0-9-amd64-unsigned	       1        0        1        0        0
57703 linux-image-5.10.1-gnu             	       1        0        1        0        0
57704 linux-image-5.10.106               	       1        0        1        0        0
57705 linux-image-5.10.113               	       1        1        0        0        0
57706 linux-image-5.10.180-olimex        	       1        0        1        0        0
57707 linux-image-5.10.191               	       1        0        1        0        0
57708 linux-image-5.10.22                	       1        0        0        0        1
57709 linux-image-5.10.30-m              	       1        0        0        0        1
57710 linux-image-5.10.30-moose          	       1        0        0        0        1
57711 linux-image-5.10.36-moose          	       1        0        0        0        1
57712 linux-image-5.10.41+               	       1        0        1        0        0
57713 linux-image-5.10.9-castillo        	       1        0        1        0        0
57714 linux-image-5.11.1-moose           	       1        0        0        0        1
57715 linux-image-5.11.11                	       1        0        0        0        1
57716 linux-image-5.11.15-moose          	       1        0        0        0        1
57717 linux-image-5.12.0-moose           	       1        0        0        0        1
57718 linux-image-5.12.0-pepper          	       1        0        0        0        1
57719 linux-image-5.12.0-rc4             	       1        0        0        0        1
57720 linux-image-5.12.0-rc4-moose       	       1        0        0        0        1
57721 linux-image-5.12.0-rc4-moosette    	       1        0        0        0        1
57722 linux-image-5.12.0-rc5-moose       	       1        0        0        0        1
57723 linux-image-5.12.0-rc6-moose       	       1        0        0        0        1
57724 linux-image-5.12.0-rc8-pepper      	       1        0        0        0        1
57725 linux-image-5.13.0-rc4-pepper      	       1        0        0        0        1
57726 linux-image-5.13.0-rc5-pepper      	       1        0        0        0        1
57727 linux-image-5.14.0-rc5-pepper      	       1        0        0        0        1
57728 linux-image-5.15.0                 	       1        0        1        0        0
57729 linux-image-5.15.0-0.bpo.2-686-pae-unsigned	       1        0        1        0        0
57730 linux-image-5.15.0-1-amd64         	       1        0        1        0        0
57731 linux-image-5.15.0-2-rt-amd64      	       1        0        1        0        0
57732 linux-image-5.15.0-3-amd64         	       1        0        1        0        0
57733 linux-image-5.15.0-rc5-pepper      	       1        0        1        0        0
57734 linux-image-5.15.0-rc6-moose       	       1        0        0        0        1
57735 linux-image-5.15.173-gnu           	       1        0        1        0        0
57736 linux-image-5.15.177-gnu           	       1        0        1        0        0
57737 linux-image-5.15.25-atom           	       1        0        1        0        0
57738 linux-image-5.15.32                	       1        0        1        0        0
57739 linux-image-5.15.4-moose           	       1        0        0        0        1
57740 linux-image-5.15.4-pepper          	       1        0        1        0        0
57741 linux-image-5.15.4-pogo            	       1        0        0        0        1
57742 linux-image-5.15.5-jae             	       1        0        1        0        0
57743 linux-image-5.16.0-0.bpo.4-amd64   	       1        0        1        0        0
57744 linux-image-5.16.0-0.bpo.4-amd64-unsigned	       1        0        1        0        0
57745 linux-image-5.16.0-0.bpo.4-rt-amd64	       1        0        1        0        0
57746 linux-image-5.16.0-0.bpo.4-rt-amd64-unsigned	       1        0        1        0        0
57747 linux-image-5.16.0-1-amd64         	       1        0        1        0        0
57748 linux-image-5.16.0-2-amd64         	       1        0        1        0        0
57749 linux-image-5.17.0-1-686           	       1        0        1        0        0
57750 linux-image-5.17.0-2-amd64         	       1        0        1        0        0
57751 linux-image-5.17.0-3-amd64         	       1        0        1        0        0
57752 linux-image-5.17.0-moose           	       1        0        0        0        1
57753 linux-image-5.17.0-pepper          	       1        0        1        0        0
57754 linux-image-5.17.0-rc6-moose       	       1        0        0        0        1
57755 linux-image-5.17.0-rc6-pepper      	       1        0        1        0        0
57756 linux-image-5.17.8                 	       1        0        1        0        0
57757 linux-image-5.18.0-0.deb11.4-amd64 	       1        0        1        0        0
57758 linux-image-5.18.0-1-rt-amd64-unsigned	       1        0        1        0        0
57759 linux-image-5.18.0-2-686           	       1        0        1        0        0
57760 linux-image-5.19.0-0.deb11.2-686-pae	       1        0        1        0        0
57761 linux-image-5.2.0-2-amd64          	       1        0        1        0        0
57762 linux-image-5.2.0-3-amd64          	       1        0        1        0        0
57763 linux-image-5.2.1-diglator.15      	       1        0        1        0        0
57764 linux-image-5.2.13-19.09.09.amdgpu 	       1        0        1        0        0
57765 linux-image-5.4.0-0.bpo.3-amd64    	       1        0        1        0        0
57766 linux-image-5.4.0-1862405280420-generic	       1        0        0        0        1
57767 linux-image-5.4.0-4-amd64          	       1        0        1        0        0
57768 linux-image-5.4.111-moose          	       1        0        0        0        1
57769 linux-image-5.4.111-mse            	       1        0        0        0        1
57770 linux-image-5.4.13                 	       1        0        1        0        0
57771 linux-image-5.5.0-0.bpo.2-amd64    	       1        1        0        0        0
57772 linux-image-5.5.0-rc2-snow         	       1        0        0        0        1
57773 linux-image-5.6.0-0.bpo.2-amd64    	       1        0        1        0        0
57774 linux-image-5.6.0-2-amd64-unsigned 	       1        0        1        0        0
57775 linux-image-5.6.0-rc4-snow         	       1        0        0        0        1
57776 linux-image-5.6.4-rt3              	       1        0        1        0        0
57777 linux-image-5.7.0-3-amd64          	       1        0        1        0        0
57778 linux-image-5.7.19-moose           	       1        0        0        0        1
57779 linux-image-5.9.0-0.bpo.5-amd64-dbg	       1        0        1        0        0
57780 linux-image-5.9.0-0.bpo.5-arm64    	       1        0        1        0        0
57781 linux-image-5.9.0-0.bpo.5-rt-amd64 	       1        0        1        0        0
57782 linux-image-5.9.0-5-amd64          	       1        0        1        0        0
57783 linux-image-6.0.0-0.deb11.2-amd64-unsigned	       1        0        1        0        0
57784 linux-image-6.0.0-0.deb11.6-amd64-unsigned	       1        0        1        0        0
57785 linux-image-6.0.0-1-amd64          	       1        0        1        0        0
57786 linux-image-6.0.0-3-amd64          	       1        0        1        0        0
57787 linux-image-6.0.0-4-amd64          	       1        0        1        0        0
57788 linux-image-6.0.0-6-686            	       1        0        1        0        0
57789 linux-image-6.0.0-rc1-moose        	       1        0        0        0        1
57790 linux-image-6.0.0-rc6-moose        	       1        0        0        0        1
57791 linux-image-6.0.11                 	       1        0        1        0        0
57792 linux-image-6.0.7-moose            	       1        0        0        0        1
57793 linux-image-6.0.9-surface          	       1        0        1        0        0
57794 linux-image-6.1-amd64              	       1        0        0        0        1
57795 linux-image-6.1.0-0.deb11.13-rt-amd64	       1        0        1        0        0
57796 linux-image-6.1.0-0.deb11.17-amd64-unsigned	       1        0        1        0        0
57797 linux-image-6.1.0-0.deb11.18-amd64 	       1        0        1        0        0
57798 linux-image-6.1.0-0.deb11.21-686-pae	       1        0        1        0        0
57799 linux-image-6.1.0-0.deb11.21-rt-amd64	       1        0        1        0        0
57800 linux-image-6.1.0-0.deb11.26-amd64-unsigned	       1        0        1        0        0
57801 linux-image-6.1.0-0.deb11.28-amd64 	       1        0        1        0        0
57802 linux-image-6.1.0-0.deb11.31-amd64 	       1        0        0        1        0
57803 linux-image-6.1.0-0.deb11.6-amd64  	       1        0        1        0        0
57804 linux-image-6.1.0-1-amd64          	       1        0        1        0        0
57805 linux-image-6.1.0-10-rt-amd64      	       1        0        1        0        0
57806 linux-image-6.1.0-11-686           	       1        0        1        0        0
57807 linux-image-6.1.0-11-amd64-dbg     	       1        0        1        0        0
57808 linux-image-6.1.0-12-amd64-dbg     	       1        0        1        0        0
57809 linux-image-6.1.0-12-cloud-amd64   	       1        0        1        0        0
57810 linux-image-6.1.0-12-cloud-amd64-dbg	       1        0        1        0        0
57811 linux-image-6.1.0-12-rt-amd64      	       1        0        1        0        0
57812 linux-image-6.1.0-12-rt-amd64-dbg  	       1        0        1        0        0
57813 linux-image-6.1.0-13-amd64-dbg     	       1        0        1        0        0
57814 linux-image-6.1.0-13-cloud-amd64   	       1        0        1        0        0
57815 linux-image-6.1.0-13-cloud-amd64-dbg	       1        0        1        0        0
57816 linux-image-6.1.0-13-rt-amd64-dbg  	       1        0        1        0        0
57817 linux-image-6.1.0-15-686-pae       	       1        0        1        0        0
57818 linux-image-6.1.0-15-arm64         	       1        0        1        0        0
57819 linux-image-6.1.0-17-686           	       1        0        1        0        0
57820 linux-image-6.1.0-17-686-pae       	       1        0        1        0        0
57821 linux-image-6.1.0-17-amd64-dbg     	       1        0        1        0        0
57822 linux-image-6.1.0-17-amd64-unsigned	       1        0        1        0        0
57823 linux-image-6.1.0-17-rt-amd64      	       1        0        1        0        0
57824 linux-image-6.1.0-18-arm64         	       1        0        1        0        0
57825 linux-image-6.1.0-18-cloud-amd64   	       1        0        1        0        0
57826 linux-image-6.1.0-18-powerpc64le   	       1        0        1        0        0
57827 linux-image-6.1.0-2.frea-amd64-unsigned	       1        0        1        0        0
57828 linux-image-6.1.0-20-686-pae       	       1        0        1        0        0
57829 linux-image-6.1.0-20-amd64-dbg     	       1        0        1        0        0
57830 linux-image-6.1.0-21-amd64-unsigned	       1        0        1        0        0
57831 linux-image-6.1.0-21-arm64         	       1        0        1        0        0
57832 linux-image-6.1.0-21-powerpc64le   	       1        0        1        0        0
57833 linux-image-6.1.0-21-rt-amd64-dbg  	       1        0        1        0        0
57834 linux-image-6.1.0-22-rt-amd64      	       1        0        1        0        0
57835 linux-image-6.1.0-25-amd64-dbg     	       1        0        1        0        0
57836 linux-image-6.1.0-25-amd64-unsigned	       1        0        1        0        0
57837 linux-image-6.1.0-25-armmp         	       1        0        1        0        0
57838 linux-image-6.1.0-25-cloud-amd64   	       1        0        1        0        0
57839 linux-image-6.1.0-25-cloud-amd64-unsigned	       1        0        1        0        0
57840 linux-image-6.1.0-25-rt-amd64-unsigned	       1        0        1        0        0
57841 linux-image-6.1.0-26-amd64-unsigned	       1        0        1        0        0
57842 linux-image-6.1.0-26-armmp         	       1        0        1        0        0
57843 linux-image-6.1.0-26-armmp-lpae    	       1        0        1        0        0
57844 linux-image-6.1.0-27-cloud-amd64   	       1        0        1        0        0
57845 linux-image-6.1.0-28-armmp-lpae    	       1        0        1        0        0
57846 linux-image-6.1.0-28-powerpc64le   	       1        0        1        0        0
57847 linux-image-6.1.0-29-arm64         	       1        0        0        1        0
57848 linux-image-6.1.0-29-powerpc64le   	       1        0        1        0        0
57849 linux-image-6.1.0-30-amd64-unsigned	       1        0        1        0        0
57850 linux-image-6.1.0-30-armmp-lpae    	       1        0        1        0        0
57851 linux-image-6.1.0-30-cloud-amd64   	       1        0        1        0        0
57852 linux-image-6.1.0-30-rpi           	       1        0        1        0        0
57853 linux-image-6.1.0-32-cloud-amd64   	       1        1        0        0        0
57854 linux-image-6.1.0-32-rt-amd64      	       1        0        0        1        0
57855 linux-image-6.1.0-7-arm64          	       1        0        1        0        0
57856 linux-image-6.1.0-8-amd64          	       1        0        1        0        0
57857 linux-image-6.1.0-moose            	       1        0        0        0        1
57858 linux-image-6.1.0-odroid-arm64     	       1        0        1        0        0
57859 linux-image-6.1.115-326            	       1        0        1        0        0
57860 linux-image-6.1.119-327            	       1        0        1        0        0
57861 linux-image-6.1.62-gnu             	       1        0        1        0        0
57862 linux-image-6.1.69-1-custom-mm41   	       1        0        0        0        1
57863 linux-image-6.1.69-1-custom-ryzen9 	       1        0        1        0        0
57864 linux-image-6.1.8-pepper           	       1        0        1        0        0
57865 linux-image-6.1.96-319             	       1        0        1        0        0
57866 linux-image-6.10.0-rc1             	       1        0        1        0        0
57867 linux-image-6.10.0-rc3             	       1        0        1        0        0
57868 linux-image-6.10.0-rc7             	       1        0        0        0        1
57869 linux-image-6.10.10-2-liquorix-amd64	       1        0        1        0        0
57870 linux-image-6.10.10-x64v1-xanmod1  	       1        0        1        0        0
57871 linux-image-6.10.11+bpo-amd64-dbg  	       1        0        1        0        0
57872 linux-image-6.10.11-kirkwood-tld-1 	       1        0        1        0        0
57873 linux-image-6.10.11-x64v1-xanmod1  	       1        0        1        0        0
57874 linux-image-6.10.12-686-pae        	       1        0        1        0        0
57875 linux-image-6.10.2                 	       1        0        0        0        1
57876 linux-image-6.10.4-686             	       1        0        1        0        0
57877 linux-image-6.10.5                 	       1        0        0        0        1
57878 linux-image-6.10.6                 	       1        0        0        0        1
57879 linux-image-6.10.6+bpo-amd64-dbg   	       1        0        1        0        0
57880 linux-image-6.10.6+bpo-amd64-unsigned	       1        0        1        0        0
57881 linux-image-6.10.6-2-liquorix-amd64	       1        0        1        0        0
57882 linux-image-6.10.7                 	       1        0        0        0        1
57883 linux-image-6.10.9-686-pae         	       1        0        1        0        0
57884 linux-image-6.11.0-1013-oem        	       1        0        0        0        1
57885 linux-image-6.11.10+bpo-amd64-unsigned	       1        1        0        0        0
57886 linux-image-6.11.10-1-liquorix-amd64	       1        0        1        0        0
57887 linux-image-6.11.5+bpo-rt-amd64    	       1        0        1        0        0
57888 linux-image-6.11.5-1-liquorix-amd64	       1        0        1        0        0
57889 linux-image-6.11.7-2-liquorix-amd64	       1        0        1        0        0
57890 linux-image-6.12.0-rc2             	       1        0        1        0        0
57891 linux-image-6.12.0-rc4             	       1        0        1        0        0
57892 linux-image-6.12.0-rc5             	       1        0        1        0        0
57893 linux-image-6.12.0-rc7             	       1        0        1        0        0
57894 linux-image-6.12.1-gnu             	       1        0        1        0        0
57895 linux-image-6.12.11-2-liquorix-amd64	       1        0        1        0        0
57896 linux-image-6.12.11-amd64-unsigned 	       1        1        0        0        0
57897 linux-image-6.12.11-rt-amd64       	       1        0        1        0        0
57898 linux-image-6.12.12+bpo-rt-amd64   	       1        0        0        1        0
57899 linux-image-6.12.12-amd64-unsigned 	       1        1        0        0        0
57900 linux-image-6.12.12-custom         	       1        0        0        1        0
57901 linux-image-6.12.12-rt-amd64       	       1        0        1        0        0
57902 linux-image-6.12.17-amd64-unsigned 	       1        1        0        0        0
57903 linux-image-6.12.3-gnu             	       1        0        1        0        0
57904 linux-image-6.12.5-amd64-unsigned  	       1        1        0        0        0
57905 linux-image-6.12.6                 	       1        0        1        0        0
57906 linux-image-6.12.6-1-liquorix-amd64	       1        0        1        0        0
57907 linux-image-6.12.6-cloud-amd64     	       1        0        1        0        0
57908 linux-image-6.12.6-kirkwood-tld-1  	       1        1        0        0        0
57909 linux-image-6.12.6-rt-amd64        	       1        0        1        0        0
57910 linux-image-6.12.7-2-liquorix-amd64	       1        0        1        0        0
57911 linux-image-6.12.7-x64v3-xanmod1   	       1        0        1        0        0
57912 linux-image-6.12.8-1-liquorix-amd64	       1        0        1        0        0
57913 linux-image-6.12.8-gnu             	       1        0        1        0        0
57914 linux-image-6.12.8-x64v3-xanmod1   	       1        0        1        0        0
57915 linux-image-6.12.9+bpo-amd64-unsigned	       1        1        0        0        0
57916 linux-image-6.12.9+bpo-rt-amd64    	       1        0        1        0        0
57917 linux-image-6.12.9-1-liquorix-amd64	       1        0        1        0        0
57918 linux-image-6.13-rc7-amd64-unsigned	       1        0        1        0        0
57919 linux-image-6.13.0+                	       1        0        1        0        0
57920 linux-image-6.13.0-dirty           	       1        0        1        0        0
57921 linux-image-6.13.0-rc6             	       1        0        0        0        1
57922 linux-image-6.13.1-x64v3-xanmod1   	       1        0        1        0        0
57923 linux-image-6.13.2-x64v3-xanmod1   	       1        0        1        0        0
57924 linux-image-6.13.5-xanmod1         	       1        1        0        0        0
57925 linux-image-6.13.5-xanmod1-dbg     	       1        0        0        1        0
57926 linux-image-6.13.6-zabbly+-dbg     	       1        0        0        1        0
57927 linux-image-6.13.7-julises         	       1        1        0        0        0
57928 linux-image-6.13.7-julises-dbg     	       1        0        0        1        0
57929 linux-image-6.2.0-rc3-moose        	       1        0        0        0        1
57930 linux-image-6.2.0-rc3-pepper       	       1        0        1        0        0
57931 linux-image-6.2.12-8821cu          	       1        0        0        0        1
57932 linux-image-6.2.12-snd             	       1        0        0        0        1
57933 linux-image-6.2.12-snd2            	       1        0        0        0        1
57934 linux-image-6.2.2                  	       1        0        1        0        0
57935 linux-image-6.2.2-moose            	       1        0        0        0        1
57936 linux-image-6.2.2-pepper           	       1        0        1        0        0
57937 linux-image-6.3.0-2-amd64-unsigned 	       1        0        1        0        0
57938 linux-image-6.3.1                  	       1        0        0        0        1
57939 linux-image-6.3.5                  	       1        0        0        0        1
57940 linux-image-6.3.5-new              	       1        0        0        0        1
57941 linux-image-6.3.5-new-3            	       1        0        0        0        1
57942 linux-image-6.3.5new2              	       1        0        0        0        1
57943 linux-image-6.3.6                  	       1        0        0        0        1
57944 linux-image-6.3.6-snd              	       1        0        0        0        1
57945 linux-image-6.3.8                  	       1        0        0        0        1
57946 linux-image-6.3.8-snd              	       1        0        0        0        1
57947 linux-image-6.3.8snd               	       1        0        0        0        1
57948 linux-image-6.4.0                  	       1        0        0        0        1
57949 linux-image-6.4.0-3-amd64          	       1        0        1        0        0
57950 linux-image-6.4.0-3-rt-amd64       	       1        0        1        0        0
57951 linux-image-6.4.0-new              	       1        0        0        0        1
57952 linux-image-6.4.0-rc5              	       1        0        0        0        1
57953 linux-image-6.4.0-rc5-bt           	       1        0        0        0        1
57954 linux-image-6.4.0-rc5-new          	       1        0        0        0        1
57955 linux-image-6.4.0-rc7              	       1        0        0        0        1
57956 linux-image-6.4.0-rc7-new          	       1        0        0        0        1
57957 linux-image-6.4.1                  	       1        0        1        0        0
57958 linux-image-6.4.10                 	       1        0        0        0        1
57959 linux-image-6.4.12                 	       1        0        0        0        1
57960 linux-image-6.4.2                  	       1        0        0        0        1
57961 linux-image-6.4.2-crc32            	       1        0        0        0        1
57962 linux-image-6.4.2-kbd              	       1        0        0        0        1
57963 linux-image-6.4.4                  	       1        0        1        0        0
57964 linux-image-6.5.0-0.deb12.1-rt-amd64	       1        0        1        0        0
57965 linux-image-6.5.0-3-amd64          	       1        0        1        0        0
57966 linux-image-6.5.0-rc5              	       1        0        1        0        0
57967 linux-image-6.5.3                  	       1        0        0        0        1
57968 linux-image-6.5.3-w1               	       1        0        0        0        1
57969 linux-image-6.5.3-w2               	       1        0        0        0        1
57970 linux-image-6.5.5                  	       1        0        0        0        1
57971 linux-image-6.6.0-dbg              	       1        0        1        0        0
57972 linux-image-6.6.0-odroid-arm64     	       1        0        1        0        0
57973 linux-image-6.6.0-rc5              	       1        0        0        0        1
57974 linux-image-6.6.1                  	       1        0        0        0        1
57975 linux-image-6.6.11-amd64           	       1        0        1        0        0
57976 linux-image-6.6.3                  	       1        0        0        0        1
57977 linux-image-6.6.30-rt30-x64v3-xanmod1	       1        0        1        0        0
57978 linux-image-6.6.30-x64v3-xanmod1   	       1        0        1        0        0
57979 linux-image-6.6.31-rt31-x64v3-xanmod1	       1        0        0        0        1
57980 linux-image-6.6.34-gnu             	       1        0        1        0        0
57981 linux-image-6.6.4                  	       1        0        0        0        1
57982 linux-image-6.6.40-x64v3-xanmod1   	       1        0        1        0        0
57983 linux-image-6.6.49-gnu             	       1        0        1        0        0
57984 linux-image-6.6.52-rt43-x64v3-xanmod1	       1        0        1        0        0
57985 linux-image-6.6.58                 	       1        1        0        0        0
57986 linux-image-6.6.58-x64v1-xanmod1   	       1        0        1        0        0
57987 linux-image-6.6.6                  	       1        0        0        0        1
57988 linux-image-6.6.62-x64v1-xanmod1   	       1        0        1        0        0
57989 linux-image-6.6.63-x64v1-xanmod1   	       1        0        1        0        0
57990 linux-image-6.6.8                  	       1        0        0        0        1
57991 linux-image-6.6.8-amd64            	       1        0        1        0        0
57992 linux-image-6.6.9-amd64            	       1        0        1        0        0
57993 linux-image-6.6.9-amd64-unsigned   	       1        0        1        0        0
57994 linux-image-6.7.0-rc3              	       1        0        0        0        1
57995 linux-image-6.8.11-amd64           	       1        0        1        0        0
57996 linux-image-6.8.9-amd64            	       1        0        1        0        0
57997 linux-image-6.8.9-x64v3-xanmod1    	       1        0        1        0        0
57998 linux-image-6.9.0-rc2              	       1        0        0        0        1
57999 linux-image-6.9.0-rc4              	       1        0        0        0        1
58000 linux-image-6.9.0-rc5              	       1        0        0        0        1
58001 linux-image-6.9.0-rc7              	       1        0        0        0        1
58002 linux-image-6.9.1                  	       1        0        1        0        0
58003 linux-image-6.9.11-1-liquorix-amd64	       1        0        1        0        0
58004 linux-image-6.9.11-amd64           	       1        1        0        0        0
58005 linux-image-6.9.12-2-liquorix-amd64	       1        0        1        0        0
58006 linux-image-6.9.6-kirkwood-tld-1   	       1        0        0        0        1
58007 linux-image-6.9.7+bpo-amd64-dbg    	       1        0        1        0        0
58008 linux-image-6.9.7+bpo-amd64-unsigned	       1        0        1        0        0
58009 linux-image-6.9.9-x64v3-xanmod1    	       1        0        1        0        0
58010 linux-image-armmp-lpae             	       1        0        0        0        1
58011 linux-image-bcm2709-rpi-2+3        	       1        0        1        0        0
58012 linux-image-i386-signed-template   	       1        0        0        0        1
58013 linux-image-marvell                	       1        0        0        0        1
58014 linux-image-odroidc4               	       1        0        0        0        1
58015 linux-image-olimex                 	       1        0        0        0        1
58016 linux-image-uc-6.11.0-1013-oem     	       1        0        0        0        1
58017 linux-image-uc-6.8.0-1021-nvidia-lowlatency	       1        0        0        0        1
58018 linux-kbuild-2.6.18                	       1        0        0        0        1
58019 linux-kbuild-2.6.25                	       1        0        0        0        1
58020 linux-kbuild-2.6.26                	       1        0        0        0        1
58021 linux-kbuild-3.0.0                 	       1        0        0        0        1
58022 linux-kbuild-3.10                  	       1        0        0        0        1
58023 linux-kbuild-3.11                  	       1        0        0        0        1
58024 linux-kbuild-3.14                  	       1        0        0        0        1
58025 linux-kbuild-3.18                  	       1        0        0        0        1
58026 linux-kbuild-4.16                  	       1        0        0        0        1
58027 linux-kbuild-4.2                   	       1        0        0        0        1
58028 linux-kbuild-4.5                   	       1        0        0        0        1
58029 linux-kbuild-4.6                   	       1        0        0        0        1
58030 linux-kbuild-5.2                   	       1        0        0        0        1
58031 linux-kbuild-6.10.12               	       1        0        0        0        1
58032 linux-kbuild-6.10.3                	       1        0        0        0        1
58033 linux-kbuild-6.10.4                	       1        0        0        0        1
58034 linux-kbuild-6.10.7                	       1        0        0        0        1
58035 linux-kbuild-6.12.3                	       1        0        0        0        1
58036 linux-kbuild-6.4.0-2               	       1        0        0        0        1
58037 linux-kbuild-6.4.0-3               	       1        0        0        0        1
58038 linux-kbuild-6.4.0-4               	       1        0        0        0        1
58039 linux-kbuild-6.5.0-2               	       1        0        0        0        1
58040 linux-kbuild-6.5.0-4               	       1        0        0        0        1
58041 linux-kbuild-6.6                   	       1        0        0        0        1
58042 linux-kbuild-6.6.11                	       1        0        0        0        1
58043 linux-kbuild-6.6.13                	       1        0        0        0        1
58044 linux-kbuild-6.6.15                	       1        0        0        0        1
58045 linux-kbuild-6.6.8                 	       1        0        0        0        1
58046 linux-kbuild-6.6.9                 	       1        0        0        0        1
58047 linux-kbuild-6.7.12                	       1        0        0        0        1
58048 linux-kbuild-6.7.12+bpo            	       1        0        0        0        1
58049 linux-kbuild-6.7.9                 	       1        0        0        0        1
58050 linux-kbuild-6.8.9                 	       1        0        0        0        1
58051 linux-kbuild-6.9.10                	       1        0        0        0        1
58052 linux-kbuild-6.9.12                	       1        0        0        0        1
58053 linux-kbuild-6.9.7                 	       1        0        0        0        1
58054 linux-libc-dev-alpha-cross         	       1        0        1        0        0
58055 linux-libc-dev-m68k-cross          	       1        0        1        0        0
58056 linux-libc-dev-mips64-cross        	       1        0        1        0        0
58057 linux-libc-dev-mips64el-cross      	       1        0        1        0        0
58058 linux-libc-dev-mips64r6el-cross    	       1        0        1        0        0
58059 linux-libc-dev-ppc64el-cross       	       1        0        1        0        0
58060 linux-libc-dev-s390x-cross         	       1        0        1        0        0
58061 linux-libre-5.15                   	       1        0        0        0        1
58062 linux-libre-5.15-headers           	       1        0        0        0        1
58063 linux-libre-lts-headers            	       1        0        0        0        1
58064 linux-manual-2.6.32                	       1        0        0        0        1
58065 linux-mediatree                    	       1        0        0        0        1
58066 linux-modules-5.4.0-1862405280420-generic	       1        0        1        0        0
58067 linux-modules-6.11.0-1013-oem      	       1        0        1        0        0
58068 linux-modules-extra-5.4.0-1862405280420-generic	       1        0        0        0        1
58069 linux-modules-ipu6-6.11.0-1013-oem 	       1        0        0        0        1
58070 linux-modules-ipu7-6.11.0-1013-oem 	       1        0        0        0        1
58071 linux-modules-iwlwifi-6.11.0-1013-oem	       1        0        0        0        1
58072 linux-modules-usbio-6.11.0-1013-oem	       1        0        0        0        1
58073 linux-modules-vision-6.11.0-1013-oem	       1        0        0        0        1
58074 linux-objects-nvidia-535-6.11.0-1013-oem	       1        0        0        0        1
58075 linux-objects-nvidia-535-open-6.11.0-1013-oem	       1        0        0        0        1
58076 linux-objects-nvidia-550-6.11.0-1013-oem	       1        0        0        0        1
58077 linux-objects-nvidia-550-open-6.11.0-1013-oem	       1        0        0        0        1
58078 linux-oem-6.11-headers-6.11.0-1013 	       1        0        1        0        0
58079 linux-patch-debian-3.0.0           	       1        0        0        0        1
58080 linux-patch-debianlogo             	       1        0        0        0        1
58081 linux-patch-nfs-ngroups            	       1        0        0        0        1
58082 linux-patch-tuxonice               	       1        0        0        0        1
58083 linux-perf-5.15                    	       1        0        1        0        0
58084 linux-signatures-nvidia-6.11.0-1013-oem	       1        0        0        0        1
58085 linux-source-2.6.18                	       1        0        0        0        1
58086 linux-source-2.6.32                	       1        0        0        0        1
58087 linux-source-3.0.0                 	       1        0        0        0        1
58088 linux-source-3.10                  	       1        0        0        0        1
58089 linux-source-3.11                  	       1        0        0        0        1
58090 linux-source-3.12                  	       1        0        0        0        1
58091 linux-source-3.13                  	       1        0        0        0        1
58092 linux-source-3.19                  	       1        0        0        0        1
58093 linux-source-3.2                   	       1        0        0        0        1
58094 linux-source-3.4                   	       1        0        0        0        1
58095 linux-source-3.7                   	       1        0        0        0        1
58096 linux-source-4.1                   	       1        0        0        0        1
58097 linux-source-4.17                  	       1        0        0        0        1
58098 linux-source-4.3                   	       1        0        0        0        1
58099 linux-source-4.4.202-vs2.3.9.8-beng	       1        0        0        0        1
58100 linux-source-4.8                   	       1        0        0        0        1
58101 linux-source-5.14                  	       1        0        0        0        1
58102 linux-source-5.17                  	       1        0        0        0        1
58103 linux-source-5.18                  	       1        0        0        0        1
58104 linux-source-5.4                   	       1        0        0        0        1
58105 linux-source-5.6                   	       1        0        0        0        1
58106 linux-source-5.7                   	       1        0        0        0        1
58107 linux-source-6.0                   	       1        0        0        0        1
58108 linux-source-6.3                   	       1        0        0        0        1
58109 linux-source-6.4                   	       1        0        0        0        1
58110 linux-source-vserver-4.4-beng      	       1        0        0        0        1
58111 linux-support-3.0.0-1              	       1        0        1        0        0
58112 linux-support-3.11-2               	       1        0        1        0        0
58113 linux-support-3.12-rc7             	       1        0        1        0        0
58114 linux-support-3.19.0-trunk         	       1        0        1        0        0
58115 linux-support-3.8-1                	       1        0        1        0        0
58116 linux-support-4.17.0-0.bpo.1       	       1        0        1        0        0
58117 linux-support-4.19.0-14            	       1        0        1        0        0
58118 linux-support-4.19.0-18            	       1        0        1        0        0
58119 linux-support-4.9.0-6              	       1        0        1        0        0
58120 linux-support-4.9.0-8              	       1        0        1        0        0
58121 linux-support-5.10.0-10            	       1        0        1        0        0
58122 linux-support-5.10.0-17            	       1        0        1        0        0
58123 linux-support-5.10.0-22            	       1        0        1        0        0
58124 linux-support-5.10.0-23            	       1        0        1        0        0
58125 linux-support-5.10.0-26            	       1        0        1        0        0
58126 linux-support-5.10.0-33            	       1        0        1        0        0
58127 linux-support-5.15.0-0.bpo.2       	       1        0        1        0        0
58128 linux-support-5.18.0-0.deb11.4     	       1        0        1        0        0
58129 linux-support-5.6.0-0.bpo.2        	       1        0        1        0        0
58130 linux-support-5.7.0-0.bpo.2        	       1        0        1        0        0
58131 linux-support-6.1.0-0.deb11.22     	       1        0        1        0        0
58132 linux-support-6.1.0-0.deb11.25     	       1        0        1        0        0
58133 linux-support-6.1.0-0.deb11.26     	       1        0        1        0        0
58134 linux-support-6.1.0-13             	       1        0        1        0        0
58135 linux-support-6.1.0-21             	       1        0        1        0        0
58136 linux-support-6.1.0-27             	       1        0        1        0        0
58137 linux-support-6.1.0-28             	       1        0        1        0        0
58138 linux-support-6.10.6+bpo           	       1        0        1        0        0
58139 linux-support-6.12.10              	       1        0        1        0        0
58140 linux-support-6.12.5               	       1        0        1        0        0
58141 linux-support-6.12.8               	       1        0        1        0        0
58142 linux-support-6.9.12               	       1        0        1        0        0
58143 linux-support-6.9.7                	       1        0        1        0        0
58144 linux-surface-secureboot-mok       	       1        0        0        0        1
58145 linux-u-boot-nanopineo2-current    	       1        0        1        0        0
58146 linux-u-boot-orangepizero2w-current	       1        0        1        0        0
58147 linux-user-chroot                  	       1        0        1        0        0
58148 linux-uvc-source                   	       1        0        0        0        1
58149 linux-wifi-hotspot                 	       1        0        1        0        0
58150 linux-xanmod                       	       1        0        0        0        1
58151 linux-xanmod-edge-x64v3            	       1        0        0        0        1
58152 linux-xanmod-lts-x64v1             	       1        0        0        0        1
58153 linux-xanmod-x64v1                 	       1        0        0        0        1
58154 linux-zabbly                       	       1        0        0        0        1
58155 linuxdcpp                          	       1        0        1        0        0
58156 linuxdoc-tools-dbgsym              	       1        0        1        0        0
58157 liquidsoap-plugin-faad             	       1        0        1        0        0
58158 liquidsoap-plugin-flac             	       1        0        1        0        0
58159 liquidsoap-plugin-gstreamer        	       1        0        1        0        0
58160 liquidsoap-plugin-icecast          	       1        0        1        0        0
58161 liquidsoap-plugin-lame             	       1        0        1        0        0
58162 liquidsoap-plugin-mad              	       1        0        1        0        0
58163 liquidsoap-plugin-ogg              	       1        0        1        0        0
58164 liquidsoap-plugin-pulseaudio       	       1        0        1        0        0
58165 liquidsoap-plugin-taglib           	       1        0        1        0        0
58166 liquidsoap-plugin-voaacenc         	       1        0        1        0        0
58167 liquidsoap-plugin-vorbis           	       1        0        1        0        0
58168 liquorix-archive-keyring           	       1        0        0        0        1
58169 liquorix-keyring                   	       1        0        0        0        1
58170 liquorix-keyrings                  	       1        0        0        0        1
58171 lire                               	       1        0        1        0        0
58172 lire-doc                           	       1        0        0        0        1
58173 lisaac                             	       1        0        1        0        0
58174 lisaac-common                      	       1        0        1        0        0
58175 lisaac-mode                        	       1        0        1        0        0
58176 listadmin                          	       1        1        0        0        0
58177 listaller                          	       1        0        1        0        0
58178 literki                            	       1        0        1        0        0
58179 littleinferno                      	       1        0        0        0        1
58180 live-task-mate                     	       1        0        0        0        1
58181 live-wrapper-doc                   	       1        0        0        0        1
58182 lld-12                             	       1        0        1        0        0
58183 lld-20                             	       1        0        1        0        0
58184 lld-7                              	       1        0        1        0        0
58185 lldb-15                            	       1        0        1        0        0
58186 lletters                           	       1        0        1        0        0
58187 lletters-media                     	       1        0        0        0        1
58188 llmnrd                             	       1        0        1        0        0
58189 llvm-11-examples                   	       1        0        1        0        0
58190 llvm-13-examples                   	       1        0        1        0        0
58191 llvm-15-doc                        	       1        0        0        0        1
58192 llvm-16-doc                        	       1        0        0        0        1
58193 llvm-16-examples                   	       1        0        1        0        0
58194 llvm-17-doc                        	       1        0        0        0        1
58195 llvm-17-examples                   	       1        0        1        0        0
58196 llvm-18-doc                        	       1        0        0        0        1
58197 llvm-19-examples                   	       1        0        0        1        0
58198 llvm-7-doc                         	       1        0        0        0        1
58199 llvm-9-examples                    	       1        0        0        0        1
58200 llvm-amdgpu                        	       1        0        1        0        0
58201 llvm-amdgpu-13.0.50002             	       1        0        1        0        0
58202 llvm-amdgpu-13.0.50002-dev         	       1        0        1        0        0
58203 llvm-amdgpu-13.0.50002-runtime     	       1        0        1        0        0
58204 llvm-amdgpu-18.1-runtime           	       1        0        1        0        0
58205 llvm-amdgpu-runtime                	       1        0        1        0        0
58206 llvm-doc                           	       1        0        0        0        1
58207 llvm-spirv-19                      	       1        0        1        0        0
58208 lmdb-go-tools                      	       1        0        1        0        0
58209 lmod-doc                           	       1        0        0        0        1
58210 loc-os-23-archive-keyring          	       1        0        0        0        1
58211 localehelper                       	       1        0        1        0        0
58212 localslackirc                      	       1        0        1        0        0
58213 logapp                             	       1        1        0        0        0
58214 loggedfs                           	       1        0        1        0        0
58215 logigsk                            	       1        0        1        0        0
58216 logiops                            	       1        0        1        0        0
58217 logisim-evolution                  	       1        0        1        0        0
58218 logjam                             	       1        0        1        0        0
58219 logtool                            	       1        0        1        0        0
58220 loki                               	       1        0        1        0        0
58221 lomiri-thumbnailer-service         	       1        0        1        0        0
58222 lomiri-ui-toolkit-doc              	       1        0        0        0        1
58223 lomiri-ui-toolkit-examples         	       1        0        0        0        1
58224 lomiri-ui-toolkit-theme            	       1        0        0        0        1
58225 lomiri-ui-toolkit-tools            	       1        0        1        0        0
58226 lookatme                           	       1        0        1        0        0
58227 looktxt                            	       1        0        1        0        0
58228 loop-aes-source                    	       1        0        0        0        1
58229 loop-aes-testsuite                 	       1        0        1        0        0
58230 lossywav                           	       1        0        1        0        0
58231 lout                               	       1        0        1        0        0
58232 lout-common                        	       1        0        0        0        1
58233 lphdisk                            	       1        0        1        0        0
58234 lpkgbuild                          	       1        0        1        0        0
58235 lrcalc                             	       1        0        1        0        0
58236 lrslib                             	       1        0        1        0        0
58237 lsb-appchk2                        	       1        0        1        0        0
58238 lsb-appchk3                        	       1        0        1        0        0
58239 lsb-build-base2                    	       1        0        1        0        0
58240 lsb-build-base3                    	       1        0        1        0        0
58241 lsb-build-cc3                      	       1        0        1        0        0
58242 lsb-pkgchk3                        	       1        0        1        0        0
58243 lsb-release-minimal                	       1        0        0        0        1
58244 lsb-rpm                            	       1        0        1        0        0
58245 lsbappchk                          	       1        0        1        0        0
58246 lsh                                	       1        0        1        0        0
58247 lsh-server                         	       1        0        1        0        0
58248 lsh-utils-doc                      	       1        0        0        0        1
58249 lshell                             	       1        0        1        0        0
58250 lshw-common                        	       1        0        0        0        1
58251 lslk                               	       1        0        1        0        0
58252 lsm                                	       1        0        1        0        0
58253 lsp-plugins-clap                   	       1        0        1        0        0
58254 lspowertweak                       	       1        0        1        0        0
58255 ltsp-docs                          	       1        0        0        0        1
58256 ltspice                            	       1        0        1        0        0
58257 lttk-emacs-customization           	       1        0        0        0        1
58258 lua-ansicolors                     	       1        0        0        0        1
58259 lua-augeas                         	       1        0        0        0        1
58260 lua-bit32-dev                      	       1        0        1        0        0
58261 lua-bitop-dev                      	       1        0        1        0        0
58262 lua-cjson-dev                      	       1        0        1        0        0
58263 lua-dbi-mysql-dev                  	       1        0        1        0        0
58264 lua-dbi-postgresql-dev             	       1        0        1        0        0
58265 lua-dbi-sqlite3-dev                	       1        0        1        0        0
58266 lua-geoip                          	       1        0        0        0        1
58267 lua-geoip-dev                      	       1        0        1        0        0
58268 lua-iconv                          	       1        0        0        0        1
58269 lua-iconv-dev                      	       1        0        1        0        0
58270 lua-inotify                        	       1        0        0        0        1
58271 lua-inotify-dev                    	       1        0        1        0        0
58272 lua-lgi-dev                        	       1        0        1        0        0
58273 lua-ljsyscall                      	       1        0        0        0        1
58274 lua-lsqlite3                       	       1        0        0        0        1
58275 lua-lsqlite3-dev                   	       1        0        1        0        0
58276 lua-luacsnd6                       	       1        0        0        0        1
58277 lua-luv-dev                        	       1        0        1        0        0
58278 lua-lxc                            	       1        0        0        0        1
58279 lua-markdown                       	       1        0        0        0        1
58280 lua-md5-dev                        	       1        0        1        0        0
58281 lua-mode                           	       1        0        0        0        1
58282 lua-moses                          	       1        0        0        0        1
58283 lua-penlight-dev                   	       1        0        0        0        1
58284 lua-redis                          	       1        0        0        0        1
58285 lua-redis-dev                      	       1        0        0        0        1
58286 lua-rex-doc                        	       1        0        0        0        1
58287 lua-rex-gnu                        	       1        0        0        0        1
58288 lua-rex-gnu-dev                    	       1        0        1        0        0
58289 lua-rex-pcre                       	       1        0        0        0        1
58290 lua-rex-pcre2                      	       1        0        0        0        1
58291 lua-rex-pcre2-dev                  	       1        0        1        0        0
58292 lua-rings                          	       1        0        0        0        1
58293 lua-soap                           	       1        0        0        0        1
58294 lua-socket-dev                     	       1        0        1        0        0
58295 lua-sql-doc                        	       1        0        0        0        1
58296 lua-sql-odbc                       	       1        0        0        0        1
58297 lua-sql-odbc-dev                   	       1        0        1        0        0
58298 lua-sql-postgres                   	       1        0        0        0        1
58299 lua-sql-postgres-dev               	       1        0        1        0        0
58300 lua-sql-sqlite3-dev                	       1        0        1        0        0
58301 lua-struct                         	       1        0        0        0        1
58302 lua-struct-dev                     	       1        0        1        0        0
58303 lua-svn-dev                        	       1        0        1        0        0
58304 lua-system-dev                     	       1        0        0        1        0
58305 lua-systemd                        	       1        0        0        0        1
58306 lua-systemd-dev                    	       1        0        1        0        0
58307 lua-term-dev                       	       1        0        1        0        0
58308 lua-torch-cwrap                    	       1        0        0        0        1
58309 lua-torch-dok                      	       1        0        0        0        1
58310 lua-torch-graph                    	       1        0        0        0        1
58311 lua-torch-image                    	       1        0        0        0        1
58312 lua-torch-nn                       	       1        0        0        0        1
58313 lua-torch-nngraph                  	       1        0        0        0        1
58314 lua-torch-optim                    	       1        0        0        0        1
58315 lua-torch-paths                    	       1        0        0        0        1
58316 lua-torch-sundown                  	       1        0        0        0        1
58317 lua-torch-sys                      	       1        0        0        0        1
58318 lua-torch-torch7                   	       1        0        0        0        1
58319 lua-torch-trepl                    	       1        0        0        0        1
58320 lua-torch-xlua                     	       1        0        0        0        1
58321 lua-uri                            	       1        0        0        0        1
58322 lua-uri-dev                        	       1        0        0        0        1
58323 lua-wsapi                          	       1        0        1        0        0
58324 lua-xmlrpc                         	       1        0        0        0        1
58325 lua-yaml-dev                       	       1        0        1        0        0
58326 lua-zip-dev                        	       1        0        1        0        0
58327 lua-zlib-dev                       	       1        0        1        0        0
58328 lua40                              	       1        0        1        0        0
58329 luajit2                            	       1        0        1        0        0
58330 luanti                             	       1        0        0        1        0
58331 luanti-data                        	       1        0        0        0        1
58332 luanti-server                      	       1        0        0        1        0
58333 luasseq                            	       1        0        0        0        1
58334 luftikus-lv2                       	       1        0        1        0        0
58335 luksipc                            	       1        0        1        0        0
58336 lunar                              	       1        0        1        0        0
58337 lunarg-vktrace                     	       1        0        1        0        0
58338 luniistore                         	       1        0        1        0        0
58339 luola-nostalgy                     	       1        0        0        0        1
58340 lusernet.app                       	       1        0        1        0        0
58341 luxman                             	       1        0        1        0        0
58342 lv2-examples                       	       1        0        1        0        0
58343 lv2vst                             	       1        0        0        0        1
58344 lvsupport2023-vianalyzer           	       1        0        0        0        1
58345 lvtk-tools                         	       1        0        1        0        0
58346 lwp                                	       1        0        1        0        0
58347 lx-gdb                             	       1        0        1        0        0
58348 lx-gdb-dbgsym                      	       1        0        1        0        0
58349 lxappearance-obconf-dbg            	       1        0        1        0        0
58350 lxc-dbgsym                         	       1        0        1        0        0
58351 lxc-tests                          	       1        0        1        0        0
58352 lxc-tests-dbgsym                   	       1        0        1        0        0
58353 lxcfs-dbgsym                       	       1        0        1        0        0
58354 lxd-migrate                        	       1        0        1        0        0
58355 lxdm-dbgsym                        	       1        0        1        0        0
58356 lxdm-gtk3                          	       1        0        1        0        0
58357 lxhotkey-core-dbgsym               	       1        0        1        0        0
58358 lxhotkey-dev                       	       1        0        1        0        0
58359 lxhotkey-gtk-dbgsym                	       1        0        1        0        0
58360 lxhotkey-plugin-openbox-dbgsym     	       1        0        1        0        0
58361 lxi-tools                          	       1        0        1        0        0
58362 lxi-tools-dbgsym                   	       1        0        1        0        0
58363 lximage-qt-dbgsym                  	       1        0        1        0        0
58364 lxinput-dbg                        	       1        0        1        0        0
58365 lxmms2                             	       1        0        1        0        0
58366 lxmms2-dbgsym                      	       1        0        1        0        0
58367 lxmusic-dbg                        	       1        0        1        0        0
58368 lxmusserv                          	       1        0        1        0        0
58369 lxpanel-plugin-topmenu             	       1        0        0        0        1
58370 lxpolkit-dbgsym                    	       1        0        1        0        0
58371 lxqt-about-dbgsym                  	       1        0        1        0        0
58372 lxqt-admin-dbgsym                  	       1        0        1        0        0
58373 lxqt-archiver-dbgsym               	       1        0        1        0        0
58374 lxqt-config-dbgsym                 	       1        0        1        0        0
58375 lxqt-globalkeys-dbgsym             	       1        0        1        0        0
58376 lxqt-notificationd-dbgsym          	       1        0        1        0        0
58377 lxqt-openssh-askpass-dbgsym        	       1        0        1        0        0
58378 lxqt-qtplugin-dbgsym               	       1        0        1        0        0
58379 lxqt-runner-dbgsym                 	       1        0        1        0        0
58380 lxqt-session-dbgsym                	       1        0        1        0        0
58381 lxqt-sudo-dbgsym                   	       1        0        1        0        0
58382 lxsession-dbgsym                   	       1        0        1        0        0
58383 lxsession-default-apps-dbgsym      	       1        0        1        0        0
58384 lxsession-logout-dbgsym            	       1        0        1        0        0
58385 lybniz                             	       1        0        1        0        0
58386 lynx-build-deps                    	       1        0        0        0        1
58387 lynx-dbgsym                        	       1        0        1        0        0
58388 lzdoom                             	       1        0        1        0        0
58389 lzfse                              	       1        0        1        0        0
58390 m17-demod                          	       1        0        1        0        0
58391 maatkit                            	       1        0        1        0        0
58392 mac-fdisk-cross                    	       1        0        1        0        0
58393 macbuntu-os-plank-theme-v1804      	       1        0        0        0        1
58394 machineid                          	       1        0        0        1        0
58395 macopix-gtk2                       	       1        0        1        0        0
58396 mactelnet-locales                  	       1        0        0        0        1
58397 mad-json                           	       1        0        1        0        0
58398 mad-pearls                         	       1        0        1        0        0
58399 mad-racoon-conf                    	       1        0        1        0        0
58400 mad-tcp-tls                        	       1        0        1        0        0
58401 mad-vpn-config                     	       1        0        1        0        0
58402 madwifi-modules-2.6.26-486-voyage  	       1        0        0        0        1
58403 madwifi-tools                      	       1        0        1        0        0
58404 maffilter                          	       1        0        1        0        0
58405 magnetic                           	       1        0        1        0        0
58406 mail-notification                  	       1        0        1        0        0
58407 maildir-filter                     	       1        0        1        0        0
58408 maildirsync                        	       1        1        0        0        0
58409 mailfront                          	       1        0        1        0        0
58410 mailgraph                          	       1        0        1        0        0
58411 mailspring                         	       1        0        1        0        0
58412 mailutils-comsatd                  	       1        0        1        0        0
58413 mailutils-guile                    	       1        0        1        0        0
58414 mailutils-imap4d                   	       1        0        1        0        0
58415 mailutils-pop3d                    	       1        0        1        0        0
58416 maint-guide-ru                     	       1        0        0        0        1
58417 maitreya                           	       1        0        1        0        0
58418 maitreya8                          	       1        0        1        0        0
58419 make-dbgsym                        	       1        0        1        0        0
58420 make-dfsg-build-deps               	       1        0        0        0        1
58421 makedepf90                         	       1        0        1        0        0
58422 makedic                            	       1        0        1        0        0
58423 makefile2graph                     	       1        0        1        0        0
58424 makexvpics                         	       1        0        1        0        0
58425 maldet                             	       1        0        1        0        0
58426 maliit-framework                   	       1        0        1        0        0
58427 maliit-keyboard                    	       1        0        1        0        0
58428 maltego                            	       1        0        1        0        0
58429 man-db-dbgsym                      	       1        0        1        0        0
58430 mana                               	       1        0        1        0        0
58431 mana-data                          	       1        0        0        0        1
58432 mandelbulber                       	       1        0        1        0        0
58433 mandos                             	       1        0        1        0        0
58434 mangoapp                           	       1        0        1        0        0
58435 manpages-da                        	       1        0        0        0        1
58436 manpages-mk                        	       1        0        0        0        1
58437 manpages-opengl                    	       1        0        0        0        1
58438 manpages-pt-br-dev                 	       1        0        0        0        1
58439 manpages-pt-dev                    	       1        0        0        0        1
58440 manticore                          	       1        0        1        0        0
58441 mantis                             	       1        1        0        0        0
58442 mapnik-doc                         	       1        0        0        0        1
58443 mapnik-reference                   	       1        0        0        0        1
58444 mapserver-doc                      	       1        0        0        0        1
58445 maqview                            	       1        0        1        0        0
58446 maradns-docs                       	       1        0        0        0        1
58447 marco-build-deps                   	       1        0        0        0        1
58448 mariadb-client-10.2                	       1        0        1        0        0
58449 mariadb-client-10.6                	       1        0        1        0        0
58450 mariadb-client-core-10.2           	       1        0        1        0        0
58451 mariadb-client-core-10.6           	       1        0        1        0        0
58452 mariadb-plugin-connect-jdbc        	       1        0        0        0        1
58453 mariadb-plugin-cracklib-password-check	       1        0        0        1        0
58454 mariadb-plugin-gssapi-client       	       1        0        0        0        1
58455 mariadb-server-10.2                	       1        0        1        0        0
58456 mariadb-server-10.6                	       1        0        1        0        0
58457 mariadb-server-core-10.2           	       1        0        1        0        0
58458 mariadb-server-core-10.6           	       1        0        1        0        0
58459 markdent                           	       1        0        1        0        0
58460 markdown-unlit                     	       1        0        1        0        0
58461 markdownlint                       	       1        0        1        0        0
58462 mason                              	       1        1        0        0        0
58463 matchbox-themes-extra              	       1        0        0        0        1
58464 mate-archive-keyring               	       1        0        0        0        1
58465 mate-common-build-deps             	       1        0        0        0        1
58466 mate-extras-login-sound            	       1        0        1        0        0
58467 mate-system-tools                  	       1        0        1        0        0
58468 mate-terminal-dbgsym               	       1        0        1        0        0
58469 mathemagics-trinity                	       1        0        1        0        0
58470 mathicgb                           	       1        0        1        0        0
58471 mathopd                            	       1        1        0        0        0
58472 mathtex                            	       1        0        1        0        0
58473 mathwar                            	       1        0        1        0        0
58474 matlab-psychtoolbox-3              	       1        0        1        0        0
58475 matlab-psychtoolbox-3-nonfree      	       1        0        1        0        0
58476 matlab-support                     	       1        0        1        0        0
58477 matlab-support-dev                 	       1        0        0        0        1
58478 matrem                             	       1        0        1        0        0
58479 matrix-org-archive-keyring         	       1        0        0        0        1
58480 matrix-synapse-py3                 	       1        0        1        0        0
58481 maude                              	       1        0        1        0        0
58482 maybe                              	       1        0        1        0        0
58483 mbrola-ca1                         	       1        0        0        0        1
58484 mbrola-ca2                         	       1        0        0        0        1
58485 mbrola-fr3                         	       1        0        0        0        1
58486 mbrola-fr5                         	       1        0        0        0        1
58487 mbrola-fr7                         	       1        0        0        0        1
58488 mbrola-it1                         	       1        0        0        0        1
58489 mbrola-it2                         	       1        0        0        0        1
58490 mbrola-it3                         	       1        0        0        0        1
58491 mbrola-it4                         	       1        0        0        0        1
58492 mbrola-la1                         	       1        0        0        0        1
58493 mbrola-nl1                         	       1        0        0        0        1
58494 mbrola-nl2                         	       1        0        0        0        1
58495 mbrola-nl3                         	       1        0        0        0        1
58496 mcdp                               	       1        0        1        0        0
58497 mcds                               	       1        0        1        0        0
58498 mconfig                            	       1        0        1        0        0
58499 mcpelauncher-manifest              	       1        0        1        0        0
58500 mcpelauncher-ui-manifest           	       1        0        1        0        0
58501 mcpp-doc                           	       1        0        0        0        1
58502 mcrcon                             	       1        0        1        0        0
58503 mcvert                             	       1        0        1        0        0
58504 md4c-build-deps                    	       1        0        0        0        1
58505 mdbook                             	       1        0        0        1        0
58506 mdc                                	       1        0        1        0        0
58507 mdfinder.app                       	       1        0        1        0        0
58508 mdformat                           	       1        0        1        0        0
58509 mdi                                	       1        0        1        0        0
58510 mdk                                	       1        0        1        0        0
58511 mdk-doc                            	       1        0        0        0        1
58512 mdnsd                              	       1        0        1        0        0
58513 mecab-naist-jdic                   	       1        0        0        0        1
58514 mecab-naist-jdic-eucjp             	       1        0        0        0        1
58515 mechvibes                          	       1        0        0        0        1
58516 mecs-racoon                        	       1        0        1        0        0
58517 mediaelch                          	       1        0        1        0        0
58518 mediascanner2.0                    	       1        0        1        0        0
58519 mediatomb                          	       1        0        0        0        1
58520 mediatomb-common                   	       1        0        1        0        0
58521 mediatomb-daemon                   	       1        0        0        0        1
58522 mediawiki-extension-youtube        	       1        0        1        0        0
58523 mediawiki2latexguipyqt             	       1        0        1        0        0
58524 meep-openmpi                       	       1        0        1        0        0
58525 megactl                            	       1        0        1        0        0
58526 megamgr                            	       1        0        1        0        0
58527 megazeux                           	       1        0        1        0        0
58528 meilisearch                        	       1        0        1        0        0
58529 meli                               	       1        0        0        1        0
58530 melting                            	       1        0        1        0        0
58531 memleax                            	       1        0        1        0        0
58532 memlockd                           	       1        0        1        0        0
58533 mendexk                            	       1        0        1        0        0
58534 mensis                             	       1        0        1        0        0
58535 menumaker                          	       1        1        0        0        0
58536 mercurial-buildpackage             	       1        0        1        0        0
58537 mercurial-dbgsym                   	       1        0        1        0        0
58538 mercurial-extension-utils          	       1        0        1        0        0
58539 mes                                	       1        0        1        0        0
58540 mesa-amber-build-deps              	       1        0        0        0        1
58541 mesa-amdgpu-common-dev             	       1        0        1        0        0
58542 mesademos                          	       1        0        1        0        0
58543 meshs3d                            	       1        0        1        0        0
58544 meson-build-deps                   	       1        0        0        0        1
58545 mess-data                          	       1        0        0        0        1
58546 metacity-bluecurve                 	       1        0        0        0        1
58547 metadsf                            	       1        0        1        0        0
58548 metainit                           	       1        0        1        0        0
58549 meterec                            	       1        0        1        0        0
58550 metis-edf                          	       1        0        1        0        0
58551 metricbeat                         	       1        0        1        0        0
58552 metview                            	       1        0        1        0        0
58553 metview-data                       	       1        0        0        0        1
58554 mfbdjvu                            	       1        0        1        0        0
58555 mfbpdf                             	       1        0        1        0        0
58556 mfc210clpr                         	       1        0        1        0        0
58557 mfc465cncupswrapper                	       1        0        0        0        1
58558 mfc465cnlpr                        	       1        0        1        0        0
58559 mfc5490cncupswrapper               	       1        0        0        0        1
58560 mfc5490cnlpr                       	       1        0        1        0        0
58561 mfc5840cnlpr                       	       1        0        1        0        0
58562 mfc6890cdwcupswrapper              	       1        0        0        0        1
58563 mfc6890cdwlpr                      	       1        0        1        0        0
58564 mfc7360nlpr                        	       1        0        0        0        1
58565 mfc795cwcupswrapper                	       1        0        0        0        1
58566 mfc795cwlpr                        	       1        0        1        0        0
58567 mfc8512dncupswrapper               	       1        0        0        0        1
58568 mfc9340cdwcupswrapper              	       1        0        0        0        1
58569 mfc9340cdwlpr                      	       1        1        0        0        0
58570 mfc9420cnlpr                       	       1        0        1        0        0
58571 mfcj410cupswrapper                 	       1        0        0        0        1
58572 mfcj410lpr                         	       1        0        1        0        0
58573 mfcj480dwcupswrapper               	       1        0        0        0        1
58574 mfcj480dwlpr                       	       1        0        1        0        0
58575 mfcj485dwcupswrapper               	       1        0        0        0        1
58576 mfcj485dwlpr                       	       1        0        1        0        0
58577 mfcj5340dwpdrv                     	       1        0        0        0        1
58578 mfcj5730dwcupswrapper              	       1        0        0        0        1
58579 mfcj5730dwlpr                      	       1        0        1        0        0
58580 mfcj5845dwpdrv                     	       1        0        1        0        0
58581 mfcl2700dncupswrapper              	       1        0        0        0        1
58582 mfcl2700dnlpr                      	       1        0        0        0        1
58583 mfcl2710dwpdrv                     	       1        0        0        0        1
58584 mfcl2720dwcupswrapper              	       1        0        0        0        1
58585 mfcl2720dwlpr                      	       1        0        0        0        1
58586 mfcl5900dwcupswrapper              	       1        0        0        0        1
58587 mfcl5900dwlpr                      	       1        0        0        0        1
58588 mfcl6900dwcupswrapper              	       1        0        0        0        1
58589 mfcl6900dwlpr                      	       1        0        0        0        1
58590 mfcl8905cdwcupswrapper             	       1        0        0        0        1
58591 mfcl8905cdwlpr                     	       1        0        0        0        1
58592 mfcl9570cdwcupswrapper             	       1        0        0        0        1
58593 mfcl9570cdwlpr                     	       1        0        1        0        0
58594 mffm-fftw1                         	       1        0        0        0        1
58595 mft                                	       1        0        1        0        0
58596 mgba-build                         	       1        0        0        0        1
58597 mgba-qt                            	       1        0        1        0        0
58598 micro-httpd                        	       1        0        1        0        0
58599 micro-inetd                        	       1        1        0        0        0
58600 microbiomeutil                     	       1        0        1        0        0
58601 microbiomeutil-data                	       1        0        0        0        1
58602 microdc2                           	       1        0        1        0        0
58603 microsoft-edge-dev                 	       1        0        1        0        0
58604 midge                              	       1        0        1        0        0
58605 midicsv                            	       1        0        1        0        0
58606 mig                                	       1        0        1        0        0
58607 mig-i686-linux-gnu                 	       1        0        1        0        0
58608 mighttpd2                          	       1        0        1        0        0
58609 miio-tools                         	       1        0        1        0        0
58610 mimedecode                         	       1        0        1        0        0
58611 mimefilter                         	       1        0        1        0        0
58612 mindforger                         	       1        0        1        0        0
58613 mindi-kernel                       	       1        0        1        0        0
58614 mindi-partimagehack                	       1        0        1        0        0
58615 mindolph                           	       1        0        1        0        0
58616 mines-of-titan                     	       1        0        1        0        0
58617 minetest-dbgsym                    	       1        0        1        0        0
58618 minetest-mod-advspawning           	       1        0        0        0        1
58619 minetest-mod-animalmaterials       	       1        0        0        0        1
58620 minetest-mod-animals               	       1        0        0        0        1
58621 minetest-mod-colour-chat-56-csm    	       1        0        0        0        1
58622 minetest-mod-ltool                 	       1        0        0        0        1
58623 minetest-mod-mobf                  	       1        0        0        0        1
58624 minetest-mod-mobf-core             	       1        0        0        0        1
58625 minetest-mod-torches               	       1        0        0        0        1
58626 minia                              	       1        0        1        0        0
58627 miniasm                            	       1        0        1        0        0
58628 minica                             	       1        0        1        0        0
58629 minicondor                         	       1        0        0        0        1
58630 minicoredumper                     	       1        0        1        0        0
58631 minicoredumper-utils               	       1        0        1        0        0
58632 minidjvu-mod                       	       1        0        1        0        0
58633 miniflux                           	       1        0        1        0        0
58634 minify                             	       1        0        1        0        0
58635 minihtcondor                       	       1        0        0        0        1
58636 minikube                           	       1        0        1        0        0
58637 minimap2                           	       1        0        1        0        0
58638 minimuf                            	       1        0        1        0        0
58639 minio                              	       1        0        1        0        0
58640 ministat                           	       1        0        1        0        0
58641 miniupnpd                          	       1        0        1        0        0
58642 miniupnpd-iptables                 	       1        0        1        0        0
58643 miniupnpd-nftables                 	       1        0        1        0        0
58644 minizinc-ide                       	       1        0        1        0        0
58645 mint-artwork                       	       1        0        1        0        0
58646 mint-backgrounds-tina              	       1        0        0        0        1
58647 mint-backgrounds-ulyana            	       1        0        0        0        1
58648 mint-backgrounds-ulyssa            	       1        0        0        0        1
58649 mint-backgrounds-vera              	       1        0        0        0        1
58650 mint-backgrounds-victoria          	       1        0        0        0        1
58651 mint-backgrounds-xia               	       1        0        0        0        1
58652 mint-info-cinnamon                 	       1        0        0        0        1
58653 mint-mirrors                       	       1        0        0        0        1
58654 mint-y-icons-legacy                	       1        0        0        0        1
58655 mipe                               	       1        0        1        0        0
58656 mir-demos                          	       1        0        1        0        0
58657 mir-doc                            	       1        0        1        0        0
58658 mir-graphics-drivers-desktop       	       1        0        0        0        1
58659 mir-graphics-drivers-nvidia        	       1        0        0        0        1
58660 mir-platform-graphics-eglstream-kms	       1        0        0        0        1
58661 mir-platform-graphics-eglstream-kms20	       1        0        0        0        1
58662 mir-platform-graphics-gbm-kms      	       1        0        0        0        1
58663 mir-platform-graphics-gbm-kms20    	       1        0        0        0        1
58664 mir-platform-graphics-wayland      	       1        0        0        0        1
58665 mir-platform-graphics-wayland20    	       1        0        0        0        1
58666 mir-test-tools                     	       1        0        1        0        0
58667 mir-wlcs-integration               	       1        0        0        0        1
58668 mira-assembler                     	       1        0        1        0        0
58669 mira-rfam-12s-rrna                 	       1        0        0        0        1
58670 mirror                             	       1        0        1        0        0
58671 misery                             	       1        0        1        0        0
58672 mist                               	       1        0        1        0        0
58673 mivisionx-dev                      	       1        0        1        0        0
58674 mk4tcl2.4.9.7                      	       1        0        1        0        0
58675 mkdocs-autorefs                    	       1        0        1        0        0
58676 mkdocs-bootstrap                   	       1        0        0        0        1
58677 mkdocs-click                       	       1        0        1        0        0
58678 mkdocs-get-deps                    	       1        0        0        1        0
58679 mkdocs-literate-nav-doc            	       1        0        0        0        1
58680 mkdocs-redirects                   	       1        0        1        0        0
58681 mkdocstrings                       	       1        0        1        0        0
58682 mkdocstrings-python-legacy         	       1        0        1        0        0
58683 mkelfimage                         	       1        0        1        0        0
58684 mklibs                             	       1        0        1        0        0
58685 mkusb-plug                         	       1        0        1        0        0
58686 ml-yacc                            	       1        0        1        0        0
58687 mlterm-im-fcitx                    	       1        0        0        0        1
58688 mlview                             	       1        0        1        0        0
58689 mm-common                          	       1        0        1        0        0
58690 mmake                              	       1        0        1        0        0
58691 mmass-modules                      	       1        0        1        0        0
58692 mmex                               	       1        0        1        0        0
58693 mmix                               	       1        0        1        0        0
58694 mmllib-tools                       	       1        0        1        0        0
58695 mmmulti                            	       1        0        1        0        0
58696 mmorph                             	       1        0        1        0        0
58697 mmpongd                            	       1        0        1        0        0
58698 moagg                              	       1        0        1        0        0
58699 moagg-data                         	       1        0        0        0        1
58700 moarvm-dev                         	       1        0        1        0        0
58701 mobirise                           	       1        0        0        0        1
58702 moblin-icon-theme                  	       1        0        0        0        1
58703 moblin-sound-theme                 	       1        0        0        0        1
58704 mocassin                           	       1        0        1        0        0
58705 mocassin-data                      	       1        0        0        0        1
58706 mod-distortion                     	       1        0        1        0        0
58707 mod-host                           	       1        0        1        0        0
58708 mod-mda-lv2                        	       1        0        0        0        1
58709 mod-musicindex-common              	       1        0        0        0        1
58710 mod-pagespeed-beta                 	       1        1        0        0        0
58711 mod-pitchshifter                   	       1        0        1        0        0
58712 mod-sdk                            	       1        0        1        0        0
58713 mod-utilities                      	       1        0        1        0        0
58714 modconf                            	       1        0        1        0        0
58715 modeline                           	       1        0        1        0        0
58716 modelio-open-source4.1             	       1        0        0        0        1
58717 modelio-open-source5.3             	       1        0        0        0        1
58718 modeller                           	       1        0        1        0        0
58719 modem-cmd                          	       1        0        1        0        0
58720 modemmanager-qt-dev                	       1        0        1        0        0
58721 molotov                            	       1        1        0        0        0
58722 mom                                	       1        0        1        0        0
58723 momentum-prod                      	       1        0        0        0        1
58724 mommy                              	       1        0        1        0        0
58725 mona                               	       1        0        1        0        0
58726 monero-gui                         	       1        0        1        0        0
58727 moneydance                         	       1        0        1        0        0
58728 moneyplex                          	       1        0        0        0        1
58729 mongocli                           	       1        0        1        0        0
58730 mongodb-10gen                      	       1        0        1        0        0
58731 mongodb-dev                        	       1        0        0        0        1
58732 mongodb-server-core                	       1        1        0        0        0
58733 monitoring-plugins-btrfs           	       1        0        1        0        0
58734 monkeysign                         	       1        0        1        0        0
58735 mono-addins-utils                  	       1        0        1        0        0
58736 mono-apache-server                 	       1        0        1        0        0
58737 mono-common                        	       1        0        1        0        0
58738 mono-debugger                      	       1        0        1        0        0
58739 mono-gmcs                          	       1        0        0        0        1
58740 mono-jit                           	       1        0        1        0        0
58741 mono-runtime-boehm                 	       1        0        1        0        0
58742 mono-runtime-dbg                   	       1        0        1        0        0
58743 monodevelop-nunit                  	       1        0        1        0        0
58744 monodoc-gmime2.6-manual            	       1        0        1        0        0
58745 monodoc-gnome-keyring-manual       	       1        0        1        0        0
58746 monodoc-opentk-manual              	       1        0        1        0        0
58747 monosim-gtk                        	       1        0        1        0        0
58748 monotone-doc                       	       1        0        0        0        1
58749 monotone-viz                       	       1        0        1        0        0
58750 moodbar                            	       1        0        1        0        0
58751 moonlight-plugin-core              	       1        0        1        0        0
58752 moonlight-plugin-mozilla           	       1        0        1        0        0
58753 moony.lv2                          	       1        0        1        0        0
58754 moosefs-cgi                        	       1        0        0        0        1
58755 moosefs-cli                        	       1        0        1        0        0
58756 moosefs-client                     	       1        0        1        0        0
58757 moosefs-common                     	       1        0        0        0        1
58758 mopac7-bin                         	       1        0        1        0        0
58759 mopidy-local                       	       1        0        1        0        0
58760 mopidy-local-sqlite                	       1        0        1        0        0
58761 mopidy-mpris                       	       1        0        1        0        0
58762 morgen                             	       1        0        0        0        1
58763 morph027-keyring                   	       1        0        0        0        1
58764 morrowind-en-data                  	       1        0        0        0        1
58765 morrowind-en-plugins               	       1        0        0        0        1
58766 morse-simulator-data               	       1        0        0        0        1
58767 motif-clients                      	       1        0        0        0        1
58768 motion-effect                      	       1        0        1        0        0
58769 motionplus                         	       1        0        1        0        0
58770 motrix                             	       1        0        0        0        1
58771 motv                               	       1        0        1        0        0
58772 mountiso                           	       1        0        1        0        0
58773 mousai                             	       1        0        1        0        0
58774 mozilla                            	       1        0        0        0        1
58775 mozilla-browser                    	       1        0        1        0        0
58776 mozilla-devscripts                 	       1        0        1        0        0
58777 mozilla-mailnews                   	       1        0        0        0        1
58778 mozilla-mplayer                    	       1        0        1        0        0
58779 mozilla-noscript                   	       1        0        0        0        1
58780 mozilla-psm                        	       1        0        0        0        1
58781 mozillavpn                         	       1        0        1        0        0
58782 mozjpeg                            	       1        0        1        0        0
58783 mozldap-tools                      	       1        0        1        0        0
58784 mp                                 	       1        0        1        0        0
58785 mp3roaster                         	       1        0        1        0        0
58786 mp3splt-dbg                        	       1        0        1        0        0
58787 mp4fpsmod                          	       1        0        1        0        0
58788 mpb-mpi                            	       1        0        1        0        0
58789 mpb-scm                            	       1        0        0        0        1
58790 mpc-ace                            	       1        0        1        0        0
58791 mpd-sima                           	       1        0        1        0        0
58792 mpdcon.app                         	       1        0        1        0        0
58793 mpdcron                            	       1        0        1        0        0
58794 mpg123-alsa                        	       1        0        0        0        1
58795 mpg123-el                          	       1        0        1        0        0
58796 mpglen                             	       1        0        1        0        0
58797 mpgrafic                           	       1        0        1        0        0
58798 mpi-doc                            	       1        0        0        0        1
58799 mpich-bin                          	       1        0        1        0        0
58800 mplayer-nogui                      	       1        0        0        0        1
58801 mpollux-digisign-client-for-vrk    	       1        1        0        0        0
58802 mpqc-support                       	       1        0        1        0        0
58803 mqtt-cli                           	       1        0        1        0        0
58804 mrbayes-doc                        	       1        0        0        0        1
58805 mrbayes-mpi                        	       1        0        1        0        0
58806 mrc                                	       1        0        1        0        0
58807 mrouted                            	       1        0        1        0        0
58808 mrpt-doc                           	       1        0        0        0        1
58809 mrtg-ping-probe                    	       1        0        1        0        0
58810 mrwtoppm                           	       1        0        1        0        0
58811 mrwtoppm-data                      	       1        0        0        0        1
58812 mrwtoppm-gimp                      	       1        0        1        0        0
58813 mrwtoppm-utils                     	       1        0        1        0        0
58814 ms-365-electron                    	       1        0        0        0        1
58815 msa-manifest                       	       1        0        1        0        0
58816 msi-keyboard                       	       1        0        1        0        0
58817 msn-pecan                          	       1        1        0        0        0
58818 msopenjdk-11                       	       1        0        1        0        0
58819 msopenjdk-21                       	       1        0        1        0        0
58820 msty                               	       1        0        1        0        0
58821 mt32emu-qt                         	       1        0        1        0        0
58822 mtasc                              	       1        0        1        0        0
58823 mtdev-tools                        	       1        0        1        0        0
58824 mtink-doc                          	       1        0        0        0        1
58825 mtoolsfm                           	       1        0        1        0        0
58826 mtop                               	       1        0        1        0        0
58827 mtpolicyd                          	       1        1        0        0        0
58828 mtr-tiny-dbgsym                    	       1        0        1        0        0
58829 mu-cade                            	       1        0        1        0        0
58830 mu-cade-data                       	       1        0        0        0        1
58831 mu-editor                          	       1        0        1        0        0
58832 mu-editor-doc                      	       1        0        0        0        1
58833 muc                                	       1        0        1        0        0
58834 mudlet                             	       1        0        1        0        0
58835 muffin-doc                         	       1        0        0        0        1
58836 multex-base                        	       1        0        0        0        1
58837 multi-aterm                        	       1        0        1        0        0
58838 multicd                            	       1        0        1        0        0
58839 multiload                          	       1        0        1        0        0
58840 multiload-ng-common                	       1        0        0        0        1
58841 multilogcheck                      	       1        0        1        0        0
58842 multimedia-devel                   	       1        0        0        0        1
58843 multimedia-drums                   	       1        0        0        0        1
58844 multimedia-guitar                  	       1        0        0        0        1
58845 multimedia-samplers                	       1        0        0        0        1
58846 multimedia-soundsynthesis          	       1        0        0        0        1
58847 multimedia-supercollider           	       1        0        0        0        1
58848 multisync                          	       1        0        1        0        0
58849 multisync-tools                    	       1        0        1        0        0
58850 mumps-test                         	       1        0        1        0        0
58851 mumudvb                            	       1        0        1        0        0
58852 mundus                             	       1        0        1        0        0
58853 munin-plugins-c                    	       1        1        0        0        0
58854 munin-plugins-java                 	       1        0        0        0        1
58855 muon-meson                         	       1        0        0        1        0
58856 mup                                	       1        0        1        0        0
58857 mupen64plus                        	       1        0        0        0        1
58858 murano-agent                       	       1        0        1        0        0
58859 murano-api                         	       1        0        1        0        0
58860 murano-cfapi                       	       1        0        1        0        0
58861 murano-common                      	       1        0        1        0        0
58862 murano-engine                      	       1        0        1        0        0
58863 muroar-bin                         	       1        0        1        0        0
58864 muroard                            	       1        0        1        0        0
58865 music-bin                          	       1        0        1        0        0
58866 musique                            	       1        0        1        0        0
58867 muspnp                             	       1        0        1        0        0
58868 mussort                            	       1        0        1        0        0
58869 mustang-plug                       	       1        0        1        0        0
58870 mutt-vc-query                      	       1        0        1        0        0
58871 mutt-wizard                        	       1        1        0        0        0
58872 muttdown                           	       1        0        1        0        0
58873 mwaw2odf                           	       1        0        1        0        0
58874 mwrap                              	       1        0        1        0        0
58875 mx-archive-keyring                 	       1        0        0        0        1
58876 mx-bootrepair                      	       1        0        1        0        0
58877 mx-comfort-themes                  	       1        0        1        0        0
58878 my-x200                            	       1        0        0        0        1
58879 mycroft-mimic3-tts                 	       1        0        1        0        0
58880 myoffice-standard-home-edition     	       1        1        0        0        0
58881 mypager                            	       1        0        1        0        0
58882 mysecureshell                      	       1        0        1        0        0
58883 myspell-en-nz                      	       1        0        0        0        1
58884 myspell-en-za                      	       1        0        0        0        1
58885 myspell-gd                         	       1        0        0        0        1
58886 myspell-hr                         	       1        0        0        0        1
58887 myspell-hu                         	       1        0        0        0        1
58888 myspell-mi-nz                      	       1        0        0        0        1
58889 myspell-pt                         	       1        0        0        0        1
58890 myspell-tools                      	       1        0        1        0        0
58891 mysql                              	       1        0        1        0        0
58892 mysql-admin                        	       1        0        1        0        0
58893 mysql-client-5.6                   	       1        0        1        0        0
58894 mysql-client-5.7                   	       1        0        1        0        0
58895 mysql-client-compat                	       1        0        0        0        1
58896 mysql-client-core-5.6              	       1        0        1        0        0
58897 mysql-client-core-5.7              	       1        0        1        0        0
58898 mysql-connector-java               	       1        0        0        0        1
58899 mysql-gui-tools-common             	       1        0        0        0        1
58900 mysql-proxy                        	       1        0        1        0        0
58901 mysql-query-browser                	       1        0        1        0        0
58902 mysql-sandbox                      	       1        0        1        0        0
58903 mysql-server-5.6                   	       1        0        1        0        0
58904 mysql-server-5.7                   	       1        0        1        0        0
58905 mysql-server-core-5.7              	       1        0        1        0        0
58906 mysql-workbench-dbgsym             	       1        0        1        0        0
58907 mysqmail                           	       1        0        0        0        1
58908 mysqmail-dovecot-logger            	       1        0        1        0        0
58909 mysterium-vpn-desktop              	       1        0        1        0        0
58910 mytetra                            	       1        0        1        0        0
58911 mythbrowser                        	       1        0        0        0        1
58912 mythes-gug                         	       1        0        0        0        1
58913 mythes-hu                          	       1        0        0        0        1
58914 mythes-is                          	       1        0        0        0        1
58915 mythes-pt-br                       	       1        0        0        0        1
58916 mythes-sv                          	       1        0        0        0        1
58917 mythgame                           	       1        0        0        0        1
58918 mythmusic                          	       1        0        0        0        1
58919 mythnetvision-data                 	       1        0        0        0        1
58920 mythnews                           	       1        0        0        0        1
58921 mythplugins                        	       1        0        0        0        1
58922 mythtv                             	       1        0        0        0        1
58923 mythweather                        	       1        0        1        0        0
58924 mythzoneminder                     	       1        0        1        0        0
58925 mz                                 	       1        1        0        0        0
58926 nagi                               	       1        0        1        0        0
58927 nagios-check-xmppng                	       1        0        1        0        0
58928 nagios-nrpe-plugins-2pir           	       1        0        1        0        0
58929 nagios-plugin-check-multi          	       1        0        1        0        0
58930 nagios-plugin-check-scsi-smart     	       1        0        1        0        0
58931 nagvis                             	       1        0        1        0        0
58932 nala-legacy                        	       1        0        1        0        0
58933 nam                                	       1        0        1        0        0
58934 namebench                          	       1        0        1        0        0
58935 nano-build-deps                    	       1        0        0        0        1
58936 nano-tiny                          	       1        0        1        0        0
58937 nanoedgeaistudio                   	       1        0        1        0        0
58938 nanook                             	       1        0        1        0        0
58939 nanook-examples                    	       1        0        0        0        1
58940 nanopb                             	       1        0        1        0        0
58941 nanopb-build-deps                  	       1        0        0        0        1
58942 nanovna-saver                      	       1        0        1        0        0
58943 nas-doc                            	       1        0        0        0        1
58944 nasm-mozilla                       	       1        0        1        0        0
58945 nast-ier                           	       1        0        1        0        0
58946 nat-rtsp-dkms                      	       1        0        1        0        0
58947 nat-traverse                       	       1        1        0        0        0
58948 native-architecture-is             	       1        0        0        0        1
58949 nativecam                          	       1        0        1        0        0
58950 natron                             	       1        0        1        0        0
58951 natspec-bin                        	       1        0        1        0        0
58952 naturaldocs                        	       1        0        1        0        0
58953 nautilus-extension-burner          	       1        0        0        0        1
58954 nautilus-extension-fma             	       1        0        0        0        1
58955 nautilus-megasync                  	       1        0        0        0        1
58956 nautilus-open-terminal             	       1        0        1        0        0
58957 navit-graphics-qt-qpainter         	       1        0        1        0        0
58958 nbdfuse                            	       1        0        1        0        0
58959 nbibtex                            	       1        0        1        0        0
58960 nbibtex-doc                        	       1        0        0        0        1
58961 nbteditor                          	       1        0        1        0        0
58962 ncbi-entrez-direct                 	       1        0        1        0        0
58963 ncbi-epcr                          	       1        0        1        0        0
58964 ncbi-seg                           	       1        0        1        0        0
58965 ncc                                	       1        0        1        0        0
58966 ncdc                               	       1        0        1        0        0
58967 ncf                                	       1        0        1        0        0
58968 ncpa                               	       1        0        1        0        0
58969 nct6687d-dkms                      	       1        0        0        0        1
58970 ncurses-build-deps                 	       1        0        0        0        1
58971 ndctl                              	       1        0        1        0        0
58972 ndpi                               	       1        1        0        0        0
58973 neard                              	       1        0        1        0        0
58974 nec                                	       1        1        0        0        0
58975 needrestart-axis                   	       1        0        0        0        1
58976 nemo-extension-fma                 	       1        0        0        0        1
58977 nemo-nextcloud                     	       1        0        0        0        1
58978 neopi                              	       1        0        1        0        0
58979 nerd-fonts-fira-code               	       1        1        0        0        0
58980 nerd-fonts-jetbrains-mono          	       1        0        0        0        1
58981 nessus                             	       1        0        1        0        0
58982 net-acct                           	       1        0        1        0        0
58983 net-diag-tools                     	       1        0        1        0        0
58984 net-tools-dbgsym                   	       1        0        1        0        0
58985 net.downloadhelper.coapp.noffmpeg  	       1        0        0        0        1
58986 netaid-monitor                     	       1        0        1        0        0
58987 netapp-oncommand-sysmgr            	       1        0        0        0        1
58988 netapplet                          	       1        1        0        0        0
58989 netback                            	       1        1        0        0        0
58990 netcf                              	       1        0        1        0        0
58991 netgen-headers                     	       1        0        1        0        0
58992 nethack-lisp                       	       1        0        1        0        0
58993 nether                             	       1        0        1        0        0
58994 netkit-ping                        	       1        0        0        0        1
58995 netlogger                          	       1        0        1        0        0
58996 netmaze                            	       1        0        1        0        0
58997 netpipe-openmpi                    	       1        0        1        0        0
58998 netpipe-tcp                        	       1        0        1        0        0
58999 netpipes                           	       1        1        0        0        0
59000 netproc                            	       1        0        1        0        0
59001 netspeed                           	       1        0        0        0        1
59002 neurodebian                        	       1        0        1        0        0
59003 neutron-common                     	       1        0        1        0        0
59004 neutron-dhcp-agent                 	       1        0        1        0        0
59005 neutron-l3-agent                   	       1        0        1        0        0
59006 neutron-metadata-agent             	       1        0        1        0        0
59007 neutron-openvswitch-agent          	       1        0        1        0        0
59008 neutron-plugin-openvswitch-agent   	       1        0        0        0        1
59009 neutron-server                     	       1        0        1        0        0
59010 neutron-vpnaas-common              	       1        0        0        0        1
59011 newlib-source                      	       1        0        0        0        1
59012 newmoon                            	       1        0        1        0        0
59013 newrelic-daemon                    	       1        0        1        0        0
59014 newrelic-php5                      	       1        0        1        0        0
59015 newrelic-php5-common               	       1        0        1        0        0
59016 newrelic-sysmond                   	       1        0        1        0        0
59017 newsx                              	       1        0        1        0        0
59018 nextcloud-client                   	       1        0        0        0        1
59019 nextcloud-files                    	       1        0        1        0        0
59020 nextcloud-server                   	       1        0        1        0        0
59021 nextpnr-ecp5                       	       1        0        1        0        0
59022 nextpnr-ecp5-chipdb                	       1        0        0        0        1
59023 nextpnr-gowin                      	       1        0        1        0        0
59024 nextpnr-gowin-qt                   	       1        0        1        0        0
59025 nextpnr-ice40-chipdb               	       1        0        0        0        1
59026 nfdump                             	       1        0        1        0        0
59027 nftlb                              	       1        0        1        0        0
59028 ng-common                          	       1        0        1        0        0
59029 ng-latin                           	       1        0        1        0        0
59030 nghttp2-client                     	       1        0        1        0        0
59031 nginx-module-perl                  	       1        0        1        0        0
59032 ngircd                             	       1        0        1        0        0
59033 ngraph-gtk-addin-import-ps         	       1        0        0        0        1
59034 ngraph-gtk-addin-tex-equation      	       1        0        0        0        1
59035 nhos-flash-tool                    	       1        0        0        0        1
59036 ni-avahi-client                    	       1        0        0        0        1
59037 ni-ceip                            	       1        0        0        0        1
59038 ni-euladepot                       	       1        0        0        0        1
59039 ni-help-launcher                   	       1        0        0        0        1
59040 ni-labview-2023-core               	       1        0        1        0        0
59041 ni-labview-2023-help               	       1        0        0        0        1
59042 ni-labview-2023-jammy-community    	       1        0        0        0        1
59043 ni-networkdiscoverysvc             	       1        0        0        0        1
59044 ni-python-interface                	       1        0        1        0        0
59045 ni-service-locator                 	       1        0        1        0        0
59046 ni-software-action-services        	       1        0        0        0        1
59047 ni-ssl-bin                         	       1        0        0        0        1
59048 ni-sysapi                          	       1        0        1        0        0
59049 ni-syscfg-runtime                  	       1        0        0        0        1
59050 ni-targetcfg                       	       1        0        0        0        1
59051 ni-tdms-bin                        	       1        0        0        0        1
59052 ni-wine                            	       1        0        0        0        1
59053 ni-wine-dotnet-runtime-60          	       1        0        0        0        1
59054 ni-wine-platform-support           	       1        0        0        0        1
59055 niceshaper                         	       1        0        1        0        0
59056 nictools-nopci                     	       1        0        1        0        0
59057 nicurli                            	       1        0        0        0        1
59058 nifti2dicom-data                   	       1        0        0        0        1
59059 nighthawk                          	       1        0        1        0        0
59060 nijsonmapi                         	       1        0        0        0        1
59061 nimbus-beacon-node                 	       1        0        1        0        0
59062 nimbus-validator-client            	       1        0        1        0        0
59063 nisslcerts                         	       1        0        0        0        1
59064 nissli                             	       1        0        0        0        1
59065 nitdmsi                            	       1        0        0        0        1
59066 nitrokey-authenticator             	       1        0        1        0        0
59067 nixieclock                         	       1        0        1        0        0
59068 njplot                             	       1        0        1        0        0
59069 njs                                	       1        0        1        0        0
59070 nlohmann-json-dev                  	       1        0        1        0        0
59071 nmapfe                             	       1        0        1        0        0
59072 nmrpflash                          	       1        0        1        0        0
59073 nmux                               	       1        0        1        0        0
59074 nnedi3-weights.bin                 	       1        0        0        0        1
59075 nntp                               	       1        0        1        0        0
59076 noaa-apt                           	       1        0        1        0        0
59077 node-arr-diff                      	       1        0        0        0        1
59078 node-arr-union                     	       1        0        0        0        1
59079 node-array-union                   	       1        0        0        0        1
59080 node-array-uniq                    	       1        0        0        0        1
59081 node-babel-plugin-polyfill-es-shims	       1        0        0        0        1
59082 node-babel7-debug                  	       1        0        1        0        0
59083 node-beeper                        	       1        0        0        0        1
59084 node-boolbase                      	       1        0        0        0        1
59085 node-buffer-equal                  	       1        0        0        0        1
59086 node-carto                         	       1        0        1        0        0
59087 node-change-case                   	       1        0        0        0        1
59088 node-cheerio                       	       1        0        1        0        0
59089 node-chroma-js                     	       1        0        0        0        1
59090 node-clipanion                     	       1        0        1        0        0
59091 node-color                         	       1        0        0        0        1
59092 node-color-string                  	       1        0        0        0        1
59093 node-colorspace                    	       1        0        0        0        1
59094 node-component-emitter             	       1        0        0        0        1
59095 node-concat-with-sourcemaps        	       1        0        0        0        1
59096 node-configurable-http-proxy       	       1        0        1        0        0
59097 node-cross-spawn-async             	       1        0        0        0        1
59098 node-css-select                    	       1        0        1        0        0
59099 node-css-what                      	       1        0        1        0        0
59100 node-csstype                       	       1        0        0        0        1
59101 node-cycle                         	       1        0        0        0        1
59102 node-dabh-diagnostics              	       1        0        0        0        1
59103 node-daemon                        	       1        0        0        0        1
59104 node-dagre-d3-renderer             	       1        0        1        0        0
59105 node-dagre-layout                  	       1        0        1        0        0
59106 node-debug-fabulous                	       1        0        0        0        1
59107 node-dom-serializer                	       1        0        0        0        1
59108 node-domutils                      	       1        0        1        0        0
59109 node-enabled                       	       1        0        0        0        1
59110 node-enquirer                      	       1        0        1        0        0
59111 node-es6-weak-map                  	       1        0        0        0        1
59112 node-eslint-plugin-flowtype        	       1        0        1        0        0
59113 node-esprima-fb                    	       1        0        1        0        0
59114 node-estree-walker                 	       1        0        0        0        1
59115 node-eventemitter3                 	       1        0        0        0        1
59116 node-exit-hook                     	       1        0        0        0        1
59117 node-express-generator             	       1        0        1        0        0
59118 node-fast-safe-stringify           	       1        0        0        0        1
59119 node-fecha                         	       1        0        0        0        1
59120 node-filesize                      	       1        0        0        0        1
59121 node-fn.name                       	       1        0        0        0        1
59122 node-formidable                    	       1        0        0        0        1
59123 node-glob-stream                   	       1        0        0        0        1
59124 node-googlediff                    	       1        0        1        0        0
59125 node-gulp-plumber                  	       1        0        0        0        1
59126 node-gulp-sourcemaps               	       1        0        0        0        1
59127 node-gulp-tsb                      	       1        0        1        0        0
59128 node-gulp-util                     	       1        0        0        0        1
59129 node-has-ansi                      	       1        0        0        0        1
59130 node-has-gulplog                   	       1        0        0        0        1
59131 node-has-yarn                      	       1        0        0        0        1
59132 node-hsluv                         	       1        0        0        0        1
59133 node-htmlparser2                   	       1        0        1        0        0
59134 node-http-proxy                    	       1        0        1        0        0
59135 node-i18next                       	       1        0        0        0        1
59136 node-ignore-by-default             	       1        0        0        0        1
59137 node-irregular-plurals             	       1        0        0        0        1
59138 node-is-negated-glob               	       1        0        0        0        1
59139 node-is-unc-path                   	       1        0        0        0        1
59140 node-is-valid-glob                 	       1        0        0        0        1
59141 node-istextorbinary                	       1        0        0        0        1
59142 node-kuler                         	       1        0        0        0        1
59143 node-lazy-cache                    	       1        0        0        0        1
59144 node-lazystream                    	       1        0        0        0        1
59145 node-libpq                         	       1        0        0        0        1
59146 node-log4js                        	       1        0        1        0        0
59147 node-logform                       	       1        0        0        0        1
59148 node-lynx                          	       1        0        0        0        1
59149 node-macaddress                    	       1        0        1        0        0
59150 node-match-at                      	       1        0        1        0        0
59151 node-matcher                       	       1        0        0        0        1
59152 node-mathjax-full                  	       1        0        1        0        0
59153 node-mersenne                      	       1        0        0        0        1
59154 node-nth-check                     	       1        0        0        0        1
59155 node-one-time                      	       1        0        0        0        1
59156 node-ordered-read-streams          	       1        0        0        0        1
59157 node-parse-ms                      	       1        0        0        0        1
59158 node-parse5-htmlparser2-tree-adapter	       1        0        0        0        1
59159 node-plugin-error                  	       1        0        0        0        1
59160 node-plur                          	       1        0        0        0        1
59161 node-pretty-hrtime                 	       1        0        0        0        1
59162 node-pretty-ms                     	       1        0        0        0        1
59163 node-pseudomap                     	       1        0        0        0        1
59164 node-react-reconciler              	       1        0        0        0        1
59165 node-read-pkg-up                   	       1        0        0        0        1
59166 node-remark-slide                  	       1        0        0        0        1
59167 node-rollup-plugin-typescript2     	       1        0        0        0        1
59168 node-rollup-pluginutils            	       1        0        0        0        1
59169 node-set-getter                    	       1        0        1        0        0
59170 node-simple-swizzle                	       1        0        0        0        1
59171 node-snapdragon                    	       1        0        0        0        1
59172 node-snapdragon-node               	       1        0        0        0        1
59173 node-snapdragon-util               	       1        0        0        0        1
59174 node-speech-rule-engine            	       1        0        1        0        0
59175 node-split2                        	       1        0        0        0        1
59176 node-statsd-parser                 	       1        0        0        0        1
59177 node-std-mocks                     	       1        0        0        0        1
59178 node-strftime                      	       1        0        0        0        1
59179 node-text-hex                      	       1        0        0        0        1
59180 node-through2-filter               	       1        0        0        0        1
59181 node-tmatch                        	       1        0        0        0        1
59182 node-to-absolute-glob              	       1        0        0        0        1
59183 node-to-object-path                	       1        0        0        0        1
59184 node-triple-beam                   	       1        0        0        0        1
59185 node-ts-loader                     	       1        0        0        0        1
59186 node-tunein                        	       1        0        0        0        1
59187 node-typanion                      	       1        0        1        0        0
59188 node-typescript-types              	       1        0        0        0        1
59189 node-unc-path-regex                	       1        0        0        0        1
59190 node-unicode-15.0.0                	       1        0        0        0        1
59191 node-unique-stream                 	       1        0        0        0        1
59192 node-use                           	       1        0        0        0        1
59193 node-vinyl-fs                      	       1        0        1        0        0
59194 node-whatwg-fetch                  	       1        0        0        0        1
59195 node-winston                       	       1        0        1        0        0
59196 node-winston-compat                	       1        0        0        0        1
59197 node-winston-transport             	       1        0        0        0        1
59198 node-ws-iconv                      	       1        0        1        0        0
59199 node-xmlhttprequest                	       1        0        0        0        1
59200 node-yarn-tool-resolve-package     	       1        0        0        0        1
59201 node-zkochan-cmd-shim              	       1        0        0        0        1
59202 nody-greeter                       	       1        0        0        0        1
59203 noflushd                           	       1        1        0        0        0
59204 nohang                             	       1        0        1        0        0
59205 noi                                	       1        0        1        0        0
59206 noip2                              	       1        1        0        0        0
59207 nomad                              	       1        0        1        0        0
59208 nomad-driver-podman                	       1        0        1        0        0
59209 non-timeline                       	       1        0        1        0        0
59210 nordic                             	       1        0        0        0        1
59211 norsnet                            	       1        0        1        0        0
59212 nosexcover-build-deps              	       1        0        0        0        1
59213 nosh-service-management            	       1        0        1        0        0
59214 nosh-terminal-extras               	       1        0        1        0        0
59215 nosh-terminal-management           	       1        0        1        0        0
59216 notepadpp-bin32                    	       1        0        1        0        0
59217 notepadpp-common                   	       1        0        1        0        0
59218 notepadqq-gtk                      	       1        1        0        0        0
59219 notes                              	       1        0        1        0        0
59220 notesalexp-keyring                 	       1        0        0        0        1
59221 noteshrink                         	       1        0        1        0        0
59222 notmuch-doc                        	       1        0        0        0        1
59223 notmuch-emacs                      	       1        0        0        0        1
59224 notus-scanner                      	       1        1        0        0        0
59225 nova-api                           	       1        0        1        0        0
59226 nova-cert                          	       1        0        1        0        0
59227 nova-compute                       	       1        0        1        0        0
59228 nova-compute-kvm                   	       1        0        0        0        1
59229 nova-conductor                     	       1        0        1        0        0
59230 nova-console                       	       1        0        1        0        0
59231 nova-consoleauth                   	       1        0        1        0        0
59232 nova-scheduler                     	       1        0        1        0        0
59233 novena-eeprom                      	       1        1        0        0        0
59234 nowebm                             	       1        0        0        0        1
59235 npapi-sdk-dev                      	       1        0        1        0        0
59236 npfctl                             	       1        0        1        0        0
59237 nps                                	       1        0        1        0        0
59238 ns2                                	       1        0        1        0        0
59239 nscde                              	       1        0        1        0        0
59240 nsight-compute-2022.2.1            	       1        0        0        0        1
59241 nsight-compute-2022.3.0            	       1        0        0        0        1
59242 nsight-compute-2024.1.0            	       1        0        1        0        0
59243 nsight-compute-2024.1.1            	       1        0        1        0        0
59244 nsight-compute-2024.3.1            	       1        0        1        0        0
59245 nsight-compute-2025.1.0            	       1        0        1        0        0
59246 nsight-compute-2025.1.1            	       1        0        0        1        0
59247 nsight-graphics-for-l4t            	       1        0        1        0        0
59248 nsight-systems-2019.6.2            	       1        0        1        0        0
59249 nsight-systems-2022.1.3            	       1        0        1        0        0
59250 nsight-systems-2024.4.1            	       1        0        1        0        0
59251 nsight-systems-2024.4.2            	       1        0        1        0        0
59252 nsight-systems-cli-2023.1.1        	       1        0        1        0        0
59253 nttcp                              	       1        0        1        0        0
59254 nuclear                            	       1        0        1        0        0
59255 nunit                              	       1        0        0        0        1
59256 nunit-console                      	       1        0        1        0        0
59257 nunit-gui                          	       1        0        1        0        0
59258 nupkg-newtonsoft.json.6.0.8        	       1        0        0        0        1
59259 nupkg-nunit.2.6.4                  	       1        0        0        0        1
59260 nupkg-nunit.mocks.2.6.4            	       1        0        0        0        1
59261 nupkg-nunit.runners.2.6.4          	       1        0        0        0        1
59262 nutsqlite                          	       1        0        1        0        0
59263 nvclock-gtk                        	       1        0        1        0        0
59264 nvclock-qt                         	       1        0        1        0        0
59265 nvidia-cuda-samples                	       1        0        1        0        0
59266 nvidia-cuda-toolkit-gcc            	       1        0        1        0        0
59267 nvidia-cudnn                       	       1        0        1        0        0
59268 nvidia-driver-libs-nonglvnd        	       1        0        0        0        1
59269 nvidia-driver-libs-nonglvnd-i386   	       1        0        0        0        1
59270 nvidia-driver-local-repo-debian12-570.86.15	       1        0        0        0        1
59271 nvidia-egl-wayland-common          	       1        0        0        0        1
59272 nvidia-egl-wayland-icd             	       1        0        0        0        1
59273 nvidia-kernel-4.19.0-9-amd64       	       1        0        0        0        1
59274 nvidia-kernel-5.10.0-26-amd64      	       1        0        0        0        1
59275 nvidia-legacy-304xx-alternative    	       1        0        0        0        1
59276 nvidia-legacy-304xx-kernel-2.6.32-5-amd64	       1        0        0        0        1
59277 nvidia-legacy-340xx-kernel-source  	       1        0        0        0        1
59278 nvidia-legacy-390xx-driver-libs-nonglvnd-i386	       1        0        0        0        1
59279 nvidia-legacy-390xx-kernel-source  	       1        0        0        0        1
59280 nvidia-legacy-390xx-opencl-icd     	       1        0        0        0        1
59281 nvidia-nonglvnd-vulkan-icd         	       1        0        0        0        1
59282 nvidia-open-570                    	       1        0        0        0        1
59283 nvidia-open-kernel-source          	       1        0        0        0        1
59284 nvidia-settings-legacy-304xx       	       1        0        1        0        0
59285 nvidia-tesla-450-kernel-source     	       1        0        0        0        1
59286 nvidia-tesla-450-opencl-icd        	       1        0        0        0        1
59287 nvidia-tesla-470-kernel-source     	       1        0        0        0        1
59288 nvidia-tesla-535-alternative       	       1        0        0        0        1
59289 nvidia-tesla-driver                	       1        0        0        0        1
59290 nvidia-tesla-kernel-dkms           	       1        1        0        0        0
59291 nvidia-tesla-kernel-support        	       1        0        0        0        1
59292 nvidia-tesla-smi                   	       1        0        1        0        0
59293 nvidia-tesla-vdpau-driver          	       1        0        0        0        1
59294 nvitop                             	       1        0        0        1        0
59295 nvu                                	       1        0        1        0        0
59296 nwatch                             	       1        0        1        0        0
59297 nwg-look                           	       1        0        0        1        0
59298 nwjs-downloader                    	       1        0        0        0        1
59299 nwqt4                              	       1        0        1        0        0
59300 nx-x11proto-composite-dev          	       1        0        1        0        0
59301 nx-x11proto-core-dev               	       1        0        1        0        0
59302 nx-x11proto-damage-dev             	       1        0        1        0        0
59303 nx-x11proto-randr-dev              	       1        0        1        0        0
59304 nx-x11proto-render-dev             	       1        0        1        0        0
59305 nx-x11proto-scrnsaver-dev          	       1        0        1        0        0
59306 nx-x11proto-xext-dev               	       1        0        1        0        0
59307 nx-x11proto-xfixes-dev             	       1        0        1        0        0
59308 nx-x11proto-xinerama-dev           	       1        0        1        0        0
59309 nxdialog                           	       1        0        1        0        0
59310 nxlibs                             	       1        0        0        0        1
59311 nxnode                             	       1        0        1        0        0
59312 nxserver                           	       1        0        1        0        0
59313 nyacc                              	       1        0        0        0        1
59314 nyxt                               	       1        0        1        0        0
59315 o3read                             	       1        0        1        0        0
59316 oaklisp                            	       1        0        1        0        0
59317 oaklisp-doc                        	       1        0        0        0        1
59318 oar-common                         	       1        1        0        0        0
59319 oar-node                           	       1        0        1        0        0
59320 oar-restful-api                    	       1        0        1        0        0
59321 oar-server                         	       1        1        0        0        0
59322 oar-server-pgsql                   	       1        0        0        0        1
59323 oar-user                           	       1        1        0        0        0
59324 oar-user-pgsql                     	       1        0        0        0        1
59325 oar-web-status                     	       1        1        0        0        0
59326 oasis                              	       1        0        1        0        0
59327 obdautodoctor                      	       1        0        1        0        0
59328 obdgpslogger                       	       1        0        1        0        0
59329 obs-backgroundremoval              	       1        0        0        0        1
59330 obs-detect                         	       1        0        0        0        1
59331 obs-localvocal                     	       1        0        0        0        1
59332 obs-midi-mg                        	       1        0        1        0        0
59333 obs-pipewire-audio-capture         	       1        0        0        0        1
59334 obs-productconverter               	       1        0        1        0        0
59335 obs-scene-as-transition            	       1        0        0        0        1
59336 obs-time-source                    	       1        0        0        0        1
59337 obs-vintage-filter                 	       1        0        0        0        1
59338 obs-websocket                      	       1        0        1        0        0
59339 obxd-lv2                           	       1        0        1        0        0
59340 ocaml-tools                        	       1        0        1        0        0
59341 ocamlbuild                         	       1        0        1        0        0
59342 ocamlviz                           	       1        0        1        0        0
59343 oclgrind                           	       1        0        1        0        0
59344 ocqt5152-libqt5xml5                	       1        0        0        0        1
59345 ocserv                             	       1        0        1        0        0
59346 ocsinventory-agent                 	       1        0        1        0        0
59347 ocsinventory-server                	       1        0        1        0        0
59348 octave-astra-toolbox               	       1        0        1        0        0
59349 octave-bim                         	       1        0        0        0        1
59350 octave-bsltl                       	       1        0        0        0        1
59351 octave-cgi                         	       1        0        0        0        1
59352 octave-data-smoothing              	       1        0        0        0        1
59353 octave-dataframe                   	       1        0        0        0        1
59354 octave-dicom                       	       1        0        0        0        1
59355 octave-divand                      	       1        0        0        0        1
59356 octave-doctest                     	       1        0        0        0        1
59357 octave-econometrics                	       1        0        0        0        1
59358 octave-financial                   	       1        0        0        0        1
59359 octave-fits                        	       1        0        0        0        1
59360 octave-fpl                         	       1        0        0        0        1
59361 octave-ga                          	       1        0        0        0        1
59362 octave-instrument-control          	       1        0        0        0        1
59363 octave-jsonlab                     	       1        0        0        0        1
59364 octave-lssa                        	       1        0        0        0        1
59365 octave-msh                         	       1        0        0        0        1
59366 octave-optics                      	       1        0        0        0        1
59367 octave-pfstools                    	       1        0        0        0        1
59368 octave-psychtoolbox-3              	       1        0        1        0        0
59369 octave-quaternion                  	       1        0        0        0        1
59370 octave-sockets                     	       1        0        0        0        1
59371 octave-sparsersb                   	       1        0        0        0        1
59372 octave-specfun                     	       1        0        0        0        1
59373 octave-vlfeat                      	       1        0        0        0        1
59374 octave3.0-doc                      	       1        0        0        0        1
59375 octave3.0-htmldoc                  	       1        0        0        0        1
59376 odamex                             	       1        0        1        0        0
59377 odaviewer                          	       1        0        1        0        0
59378 odb                                	       1        0        1        0        0
59379 odc                                	       1        0        1        0        0
59380 odin2                              	       1        0        1        0        0
59381 odin2-synth                        	       1        0        1        0        0
59382 ods2tsv                            	       1        0        0        1        0
59383 oem-pulseaudio-alsamixer-control-files	       1        0        1        0        0
59384 officebib                          	       1        0        1        0        0
59385 offpunk                            	       1        0        1        0        0
59386 ofimatica-vw                       	       1        0        0        0        1
59387 ofono-dev                          	       1        0        1        0        0
59388 ofr                                	       1        0        1        0        0
59389 ogmrip-dirac                       	       1        0        0        0        1
59390 ogmrip-flac                        	       1        0        0        0        1
59391 ogmrip-mpeg                        	       1        0        1        0        0
59392 ogmrip-oggz                        	       1        0        0        0        1
59393 ogmrip-webm                        	       1        0        0        0        1
59394 ognibuild                          	       1        0        1        0        0
59395 ogre-1.9-doc                       	       1        0        0        0        1
59396 ogre-1.9-tools                     	       1        0        1        0        0
59397 oidc-agent-cli                     	       1        0        1        0        0
59398 oidua                              	       1        0        1        0        0
59399 okteta-dev                         	       1        0        0        0        1
59400 ola-python                         	       1        0        1        0        0
59401 olinuxino-overlays                 	       1        0        1        0        0
59402 olinuxino-rtk-hciattach            	       1        0        1        0        0
59403 olinuxino-tools                    	       1        0        1        0        0
59404 olive                              	       1        0        1        0        0
59405 ollama                             	       1        0        1        0        0
59406 olsrd                              	       1        0        1        0        0
59407 olsrd-plugins                      	       1        0        1        0        0
59408 olwm                               	       1        0        1        0        0
59409 omadac                             	       1        1        0        0        0
59410 omaque                             	       1        0        1        0        0
59411 omc                                	       1        0        1        0        0
59412 omc-common                         	       1        0        1        0        0
59413 omc-doc                            	       1        0        0        0        1
59414 omedit                             	       1        0        1        0        0
59415 omlibrary                          	       1        0        0        0        1
59416 omniorb                            	       1        0        1        0        0
59417 omniorb-idl                        	       1        0        0        0        1
59418 omnotebook                         	       1        0        1        0        0
59419 omodscan                           	       1        0        1        0        0
59420 omplapp-dev                        	       1        0        0        0        1
59421 omplot                             	       1        0        1        0        0
59422 omshell                            	       1        0        1        0        0
59423 omshell-terminal                   	       1        0        1        0        0
59424 omsimulator                        	       1        0        1        0        0
59425 ondir                              	       1        0        1        0        0
59426 oneisenough                        	       1        0        1        0        0
59427 onetime                            	       1        1        0        0        0
59428 onevpl-tools                       	       1        0        1        0        0
59429 onlykey                            	       1        1        0        0        0
59430 onnxruntime-tools                  	       1        0        1        0        0
59431 ontospy                            	       1        0        1        0        0
59432 onvif-device-tool                  	       1        0        1        0        0
59433 oofem                              	       1        0        1        0        0
59434 oofem-tests                        	       1        0        0        0        1
59435 oolite-build-deps                  	       1        0        0        0        1
59436 oolite-dbgsym                      	       1        0        1        0        0
59437 oomd                               	       1        0        1        0        0
59438 ooniprobe-cli                      	       1        0        1        0        0
59439 oonsoo                             	       1        0        1        0        0
59440 ooobasis3.0-base                   	       1        0        0        0        1
59441 ooobasis3.0-binfilter              	       1        0        0        0        1
59442 ooobasis3.0-calc                   	       1        0        0        0        1
59443 ooobasis3.0-core01                 	       1        0        0        0        1
59444 ooobasis3.0-core02                 	       1        0        0        0        1
59445 ooobasis3.0-core03                 	       1        0        0        0        1
59446 ooobasis3.0-core04                 	       1        0        0        0        1
59447 ooobasis3.0-core05                 	       1        0        0        0        1
59448 ooobasis3.0-core06                 	       1        0        0        0        1
59449 ooobasis3.0-core07                 	       1        0        0        0        1
59450 ooobasis3.0-draw                   	       1        0        0        0        1
59451 ooobasis3.0-extension-pdf-import   	       1        0        0        0        1
59452 ooobasis3.0-extension-presentation-minimizer	       1        0        0        0        1
59453 ooobasis3.0-gnome-integration      	       1        0        0        0        1
59454 ooobasis3.0-graphicfilter          	       1        0        0        0        1
59455 ooobasis3.0-images                 	       1        0        0        0        1
59456 ooobasis3.0-impress                	       1        0        0        0        1
59457 ooobasis3.0-javafilter             	       1        0        0        0        1
59458 ooobasis3.0-kde-integration        	       1        0        0        0        1
59459 ooobasis3.0-math                   	       1        0        0        0        1
59460 ooobasis3.0-onlineupdate           	       1        0        0        0        1
59461 ooobasis3.0-ooofonts               	       1        0        0        0        1
59462 ooobasis3.0-ooolinguistic          	       1        0        0        0        1
59463 ooobasis3.0-pyuno                  	       1        0        0        0        1
59464 ooobasis3.0-ru                     	       1        0        0        0        1
59465 ooobasis3.0-ru-base                	       1        0        0        0        1
59466 ooobasis3.0-ru-binfilter           	       1        0        0        0        1
59467 ooobasis3.0-ru-calc                	       1        0        0        0        1
59468 ooobasis3.0-ru-draw                	       1        0        0        0        1
59469 ooobasis3.0-ru-help                	       1        0        0        0        1
59470 ooobasis3.0-ru-impress             	       1        0        0        0        1
59471 ooobasis3.0-ru-math                	       1        0        0        0        1
59472 ooobasis3.0-ru-res                 	       1        0        0        0        1
59473 ooobasis3.0-ru-writer              	       1        0        0        0        1
59474 ooobasis3.0-testtool               	       1        0        0        0        1
59475 ooobasis3.0-writer                 	       1        0        0        0        1
59476 ooobasis3.0-xsltfilter             	       1        0        0        0        1
59477 oops                               	       1        1        0        0        0
59478 oorexx                             	       1        0        1        0        0
59479 opal-prd                           	       1        0        1        0        0
59480 opal-utils                         	       1        0        1        0        0
59481 opaque-store                       	       1        0        1        0        0
59482 opari2                             	       1        0        1        0        0
59483 open-ecard-app                     	       1        0        1        0        0
59484 open-eid                           	       1        0        0        0        1
59485 open-vm-dkms                       	       1        0        1        0        0
59486 open-vm-tools-containerinfo        	       1        0        0        0        1
59487 open-vm-tools-dev                  	       1        0        1        0        0
59488 openafs-dbserver                   	       1        1        0        0        0
59489 openafs-doc                        	       1        0        0        0        1
59490 openafs-fileserver                 	       1        1        0        0        0
59491 openafs-fuse                       	       1        0        1        0        0
59492 openal-tools                       	       1        0        1        0        0
59493 openaptx                           	       1        0        1        0        0
59494 openaptx-utils                     	       1        0        1        0        0
59495 openarena-server                   	       1        0        1        0        0
59496 openaudible                        	       1        0        1        0        0
59497 openbazaar2                        	       1        0        1        0        0
59498 openbazaarclient                   	       1        0        1        0        0
59499 openbmap-logger                    	       1        0        1        0        0
59500 openbox-dev                        	       1        0        1        0        0
59501 openbve-data                       	       1        0        1        0        0
59502 opencachemanager                   	       1        1        0        0        0
59503 opencbm                            	       1        0        0        1        0
59504 opencfu                            	       1        0        1        0        0
59505 opencl-1.2-html-doc                	       1        0        0        0        1
59506 opencl-1.2-man-doc                 	       1        0        0        0        1
59507 opencl-orca-amdgpu-pro-icd         	       1        0        0        0        1
59508 openconcerto                       	       1        0        0        0        1
59509 opencv-dev-2.4.6.1                 	       1        0        1        0        0
59510 opendnssec-doc                     	       1        0        0        0        1
59511 openfpgaloader                     	       1        0        1        0        0
59512 opengl-4-man-doc                   	       1        0        0        0        1
59513 openglad                           	       1        0        1        0        0
59514 openjazz                           	       1        0        1        0        0
59515 openjdk-10-jdk-headless            	       1        0        0        0        1
59516 openjdk-18-jdk                     	       1        0        0        0        1
59517 openjdk-18-jdk-headless            	       1        0        0        0        1
59518 openjdk-19-jre                     	       1        0        0        0        1
59519 openjdk-19-jre-headless            	       1        0        1        0        0
59520 openjdk-21-source                  	       1        0        0        1        0
59521 openjdk-22-jdk                     	       1        0        0        0        1
59522 openjdk-23-demo                    	       1        0        0        0        1
59523 openjdk-23-source                  	       1        0        1        0        0
59524 openjdk-24-dbg                     	       1        0        0        1        0
59525 openjdk-24-demo                    	       1        0        0        0        1
59526 openjdk-24-jre-zero                	       1        0        0        0        1
59527 openjdk-24-source                  	       1        0        0        1        0
59528 openjdk-25-jdk                     	       1        0        0        0        1
59529 openjdk-25-jdk-headless            	       1        0        0        0        1
59530 openjdk-7-jdk                      	       1        0        0        0        1
59531 openjdk-8-jre-zero                 	       1        0        0        0        1
59532 openjdk-9-jdk                      	       1        0        0        0        1
59533 openjdk-9-jdk-headless             	       1        0        0        0        1
59534 openjpeg-doc                       	       1        0        0        0        1
59535 openlogic-openjdk-8-hotspot        	       1        0        1        0        0
59536 openlogic-openjdk-8-hotspot-jre    	       1        0        1        0        0
59537 openlugaru                         	       1        0        1        0        0
59538 openlugaru-data                    	       1        0        0        0        1
59539 openmodelica                       	       1        0        0        0        1
59540 openmotif                          	       1        1        0        0        0
59541 openmotor                          	       1        0        1        0        0
59542 openmw-cs-dbgsym                   	       1        0        1        0        0
59543 openmw-dbgsym                      	       1        0        1        0        0
59544 openmw-launcher-dbgsym             	       1        0        1        0        0
59545 openmx                             	       1        0        1        0        0
59546 openmx-data                        	       1        0        0        0        1
59547 opennebula                         	       1        0        1        0        0
59548 opennebula-common                  	       1        0        1        0        0
59549 opennebula-common-onescape         	       1        0        0        0        1
59550 opennebula-flow                    	       1        0        1        0        0
59551 opennebula-gate                    	       1        0        1        0        0
59552 opennebula-migration               	       1        0        1        0        0
59553 opennebula-rubygems                	       1        0        1        0        0
59554 opennebula-sunstone                	       1        0        1        0        0
59555 opennebula-tools                   	       1        0        1        0        0
59556 openni-doc                         	       1        0        0        0        1
59557 opennlp                            	       1        0        1        0        0
59558 openoffice-brand-de                	       1        0        0        0        1
59559 openoffice-de                      	       1        0        0        0        1
59560 openoffice-de-base                 	       1        0        0        0        1
59561 openoffice-de-calc                 	       1        0        0        0        1
59562 openoffice-de-draw                 	       1        0        0        0        1
59563 openoffice-de-help                 	       1        0        0        0        1
59564 openoffice-de-impress              	       1        0        0        0        1
59565 openoffice-de-math                 	       1        0        0        0        1
59566 openoffice-de-res                  	       1        0        0        0        1
59567 openoffice-de-writer               	       1        0        0        0        1
59568 openoffice-fr                      	       1        0        0        0        1
59569 openoffice-fr-writer               	       1        0        0        0        1
59570 openoffice.org-base                	       1        0        1        0        0
59571 openoffice.org-base-core           	       1        0        1        0        0
59572 openoffice.org-core                	       1        0        1        0        0
59573 openoffice.org-emailmerge          	       1        0        0        0        1
59574 openoffice.org-evolution           	       1        0        0        0        1
59575 openoffice.org-filter-binfilter    	       1        0        1        0        0
59576 openoffice.org-filter-mobiledev    	       1        0        1        0        0
59577 openoffice.org-gcj                 	       1        0        1        0        0
59578 openoffice.org-gnome               	       1        0        0        0        1
59579 openoffice.org-gtk                 	       1        0        1        0        0
59580 openoffice.org-headless            	       1        0        1        0        0
59581 openoffice.org-help-ru             	       1        0        0        0        1
59582 openoffice.org-hyphenation-de      	       1        0        0        0        1
59583 openoffice.org-hyphenation-fr      	       1        0        0        0        1
59584 openoffice.org-kde                 	       1        0        1        0        0
59585 openoffice.org-l10n-en-gb          	       1        0        1        0        0
59586 openoffice.org-l10n-ru             	       1        0        0        0        1
59587 openoffice.org-l10n-sv             	       1        0        0        0        1
59588 openoffice.org-officebean          	       1        0        1        0        0
59589 openoffice.org-report-builder-bin  	       1        0        1        0        0
59590 openoffice.org-style-andromeda     	       1        0        1        0        0
59591 openoffice.org-style-crystal       	       1        0        1        0        0
59592 openoffice.org-style-tango         	       1        0        1        0        0
59593 openoffice.org-ure                 	       1        0        0        0        1
59594 openoffice.org-writer2latex        	       1        0        1        0        0
59595 openoffice.org3                    	       1        0        0        0        1
59596 openoffice.org3-base               	       1        0        0        0        1
59597 openoffice.org3-calc               	       1        0        0        0        1
59598 openoffice.org3-dict-de            	       1        0        0        0        1
59599 openoffice.org3-dict-en            	       1        0        0        0        1
59600 openoffice.org3-dict-ru            	       1        0        0        0        1
59601 openoffice.org3-dict-uk            	       1        0        0        0        1
59602 openoffice.org3-draw               	       1        0        0        0        1
59603 openoffice.org3-impress            	       1        0        0        0        1
59604 openoffice.org3-math               	       1        0        0        0        1
59605 openoffice.org3-ru                 	       1        0        0        0        1
59606 openoffice.org3-writer             	       1        0        0        0        1
59607 openorienteering-mapper            	       1        0        1        0        0
59608 openpaperwork-core-doc             	       1        0        0        0        1
59609 openpaperwork-gtk-doc              	       1        0        0        0        1
59610 openpgp-applet                     	       1        1        0        0        0
59611 openprinting-ppds-postscript-epson 	       1        0        0        0        1
59612 openprinting-ppds-postscript-kyocera	       1        0        0        0        1
59613 openprinting-ppds-postscript-ricoh 	       1        0        0        0        1
59614 openprinting-ppds-postscript-xerox 	       1        0        0        0        1
59615 openprinting-ppds-pxlcolor-ricoh   	       1        0        0        0        1
59616 openprinting-ppds-pxlmono-samsung  	       1        0        0        0        1
59617 openrazer-meta                     	       1        0        0        0        1
59618 openrct2-openmsx                   	       1        0        0        0        1
59619 openrct2-opensfx                   	       1        0        0        0        1
59620 openresty                          	       1        0        1        0        0
59621 openresty-openssl3                 	       1        0        1        0        0
59622 openresty-opm                      	       1        0        1        0        0
59623 openresty-pcre2                    	       1        0        1        0        0
59624 openresty-resty                    	       1        0        1        0        0
59625 openresty-zlib                     	       1        0        1        0        0
59626 openrocket                         	       1        0        1        0        0
59627 opensaml-tools                     	       1        0        1        0        0
59628 openscad-dbgsym                    	       1        0        1        0        0
59629 openscap-common                    	       1        0        0        0        1
59630 openscap-scanner                   	       1        0        1        0        0
59631 openscap-utils                     	       1        0        1        0        0
59632 openshift-imagebuilder             	       1        0        1        0        0
59633 opensm                             	       1        1        0        0        0
59634 opensmtpd-filter-dkimsign          	       1        0        1        0        0
59635 opensmtpd-filter-rspamd            	       1        0        1        0        0
59636 opensong                           	       1        0        0        0        1
59637 openspades                         	       1        0        0        0        1
59638 openssh-tests                      	       1        0        0        1        0
59639 openssl-build-deps                 	       1        0        0        0        1
59640 openssl-dbgsym                     	       1        0        1        0        0
59641 openstack-dashboard                	       1        0        0        0        1
59642 openstack-dashboard-apache         	       1        0        0        0        1
59643 openstack-debian-images            	       1        0        1        0        0
59644 openstack-deploy                   	       1        0        1        0        0
59645 openstereogram                     	       1        0        1        0        0
59646 openstreetmap-map-icons-scalable   	       1        0        0        0        1
59647 openswan                           	       1        0        1        0        0
59648 opensync-plugin-irmc               	       1        0        1        0        0
59649 opentabletdriver                   	       1        0        1        0        0
59650 openthesaurus-de-text              	       1        0        0        0        1
59651 opentmpfiles                       	       1        0        1        0        0
59652 opentracker                        	       1        0        1        0        0
59653 openvas-cli                        	       1        0        1        0        0
59654 openvas-manager                    	       1        0        1        0        0
59655 openvas-manager-common             	       1        0        1        0        0
59656 openvpn-dbgsym                     	       1        0        1        0        0
59657 openvpn-nl                         	       1        0        1        0        0
59658 openvpn3                           	       1        0        0        1        0
59659 openvswitch-datapath-source        	       1        0        0        0        1
59660 openvswitch-ipsec                  	       1        0        1        0        0
59661 openwebrx                          	       1        0        1        0        0
59662 openwince-include                  	       1        0        1        0        0
59663 openwsman                          	       1        1        0        0        0
59664 opera-developer                    	       1        0        1        0        0
59665 opera-legacy                       	       1        0        1        0        0
59666 opie-client                        	       1        0        1        0        0
59667 opie-server                        	       1        0        1        0        0
59668 oprofile                           	       1        0        1        0        0
59669 opuscomment                        	       1        0        1        0        0
59670 opusfile-build-deps-depends        	       1        0        0        0        1
59671 opustags                           	       1        0        1        0        0
59672 oracle-instantclient11.2-basic     	       1        0        1        0        0
59673 oracle-instantclient11.2-devel     	       1        0        1        0        0
59674 oracle-instantclient11.2-sqlplus   	       1        0        1        0        0
59675 oracle-instantclient18.5-basiclite 	       1        1        0        0        0
59676 oracle-instantclient18.5-odbc      	       1        1        0        0        0
59677 oracle-instantclient18.5-sqlplus   	       1        1        0        0        0
59678 oracle-java6-jdk                   	       1        0        1        0        0
59679 oracle-java7-installer             	       1        0        0        0        1
59680 oracle-java7-jdk                   	       1        0        1        0        0
59681 oracle-java7-jre                   	       1        0        1        0        0
59682 oracle-java8-doc                   	       1        0        0        0        1
59683 orca-sops                          	       1        0        1        0        0
59684 organize                           	       1        0        1        0        0
59685 orosound-link                      	       1        0        1        0        0
59686 orthanc-imagej                     	       1        0        0        0        1
59687 os-uninstaller                     	       1        0        1        0        0
59688 os8                                	       1        0        1        0        0
59689 oscar                              	       1        0        1        0        0
59690 oselas.toolchain-2016.06.1-i586-unknown-linux-gnu-gcc-5.4.0-glibc-2.23-binutils-2.26-kernel-4.6-sanitized	       1        0        1        0        0
59691 osgearth-data                      	       1        0        0        0        1
59692 osk-sdl                            	       1        0        1        0        0
59693 osmo-fl2k                          	       1        0        1        0        0
59694 ospd-openvas                       	       1        1        0        0        0
59695 oss-preserve                       	       1        0        1        0        0
59696 ossec-hids-agent                   	       1        0        0        0        1
59697 ossec-hids-server                  	       1        0        0        0        1
59698 ossim-core                         	       1        0        1        0        0
59699 otf-ipaexfont                      	       1        0        0        0        1
59700 otf-ipaexfont-gothic               	       1        0        0        0        1
59701 otf-ipaexfont-mincho               	       1        0        0        0        1
59702 otf-ipafont                        	       1        0        0        0        1
59703 otf-ipafont-gothic                 	       1        0        0        0        1
59704 otf-ipafont-mincho                 	       1        0        0        0        1
59705 otf-symbols-circos                 	       1        0        0        0        1
59706 otf-thai-tlwg                      	       1        1        0        0        0
59707 othman                             	       1        0        1        0        0
59708 otpw-bin                           	       1        0        1        0        0
59709 otrs2                              	       1        1        0        0        0
59710 ots                                	       1        0        1        0        0
59711 ovftool                            	       1        0        1        0        0
59712 ovtr                               	       1        0        0        0        1
59713 ow-shell                           	       1        0        1        0        0
59714 owncloud                           	       1        0        0        0        1
59715 owncloud-client-data               	       1        0        0        0        1
59716 owncloud-client-doc                	       1        0        0        0        1
59717 owncloud-complete-files            	       1        0        1        0        0
59718 owncloud-deps-php5                 	       1        0        0        0        1
59719 owrx-connector                     	       1        0        0        0        1
59720 oxefmsynth                         	       1        0        1        0        0
59721 oxen-electron-wallet               	       1        0        1        0        0
59722 pack-cli                           	       1        0        1        0        0
59723 packagekit-backend-aptcc           	       1        0        0        0        1
59724 packagekit-docs                    	       1        0        0        0        1
59725 pacman.c                           	       1        0        1        0        0
59726 paconvert                          	       1        0        1        0        0
59727 padevchooser                       	       1        0        1        0        0
59728 padre                              	       1        1        0        0        0
59729 padthv1-common                     	       1        0        0        0        1
59730 padthv1-lv2                        	       1        0        1        0        0
59731 paexec                             	       1        0        1        0        0
59732 pagein                             	       1        0        1        0        0
59733 pagekite                           	       1        0        1        0        0
59734 pages2epub                         	       1        0        1        0        0
59735 pages2odt                          	       1        0        1        0        0
59736 pairs                              	       1        0        1        0        0
59737 pajackconnect                      	       1        0        1        0        0
59738 paketto                            	       1        0        1        0        0
59739 palbart                            	       1        0        1        0        0
59740 palemoon-nonsse2                   	       1        0        1        0        0
59741 pam-dbus-notify                    	       1        0        0        0        1
59742 pandoc-filter-diagram              	       1        0        0        0        1
59743 papaya                             	       1        0        1        0        0
59744 paperwork-gtk-l10n-uk              	       1        0        0        0        1
59745 paq8                               	       1        0        1        0        0
59746 paq9                               	       1        0        1        0        0
59747 parafly                            	       1        0        1        0        0
59748 paranoia                           	       1        0        1        0        0
59749 paratext-resources-8.0             	       1        0        1        0        0
59750 parlatype-common                   	       1        0        0        0        1
59751 parmetis-test                      	       1        0        1        0        0
59752 parole-dev                         	       1        0        1        0        0
59753 parolottero-data-us                	       1        0        0        0        1
59754 parsero                            	       1        0        1        0        0
59755 parsinsert                         	       1        0        1        0        0
59756 partclone-utils                    	       1        0        1        0        0
59757 partlibrary                        	       1        0        0        0        1
59758 pass-extension-update              	       1        0        1        0        0
59759 pass-git-helper                    	       1        0        1        0        0
59760 passage                            	       1        0        1        0        0
59761 passepartout                       	       1        0        1        0        0
59762 passwordgenerator-stt              	       1        0        1        0        0
59763 patman                             	       1        0        1        0        0
59764 patroneo                           	       1        0        1        0        0
59765 paul                               	       1        0        1        0        0
59766 paw++                              	       1        0        1        0        0
59767 paw-common                         	       1        0        1        0        0
59768 paw-demos                          	       1        0        1        0        0
59769 paxctl                             	       1        0        1        0        0
59770 pbbamtools                         	       1        0        1        0        0
59771 pbis-open                          	       1        0        1        0        0
59772 pbis-open-upgrade                  	       1        0        0        0        1
59773 pcalendar                          	       1        0        1        0        0
59774 pccts                              	       1        0        1        0        0
59775 pcmanfm-dbg                        	       1        0        0        0        1
59776 pcmanfm-dbgsym                     	       1        0        1        0        0
59777 pcp-doc                            	       1        0        0        0        1
59778 pcredz                             	       1        1        0        0        0
59779 pcsc-lite                          	       1        0        1        0        0
59780 pcvatfreebridge                    	       1        0        1        0        0
59781 pdebuild-cross                     	       1        1        0        0        0
59782 pdf2htmlex                         	       1        0        1        0        0
59783 pdfbooklet                         	       1        0        1        0        0
59784 pdfcook                            	       1        0        1        0        0
59785 pdfcrack-dbgsym                    	       1        0        1        0        0
59786 pdfmixtool                         	       1        0        1        0        0
59787 pdfmod-dbg                         	       1        0        1        0        0
59788 pdfsam-visual                      	       1        0        1        0        0
59789 pdfstudioviewer                    	       1        0        1        0        0
59790 pdk                                	       1        0        1        0        0
59791 pdns-backend-lua2                  	       1        0        0        0        1
59792 pdns-backend-odbc                  	       1        0        0        0        1
59793 pdns-backend-pipe                  	       1        0        0        0        1
59794 peercast-handlers                  	       1        0        1        0        0
59795 peerunity                          	       1        0        1        0        0
59796 pegasus-frontend                   	       1        0        1        0        0
59797 pem                                	       1        0        1        0        0
59798 penguin-subtitle-player            	       1        0        1        0        0
59799 pengupop                           	       1        0        1        0        0
59800 peony-admin                        	       1        0        0        0        1
59801 peony-extensions-common            	       1        0        0        0        1
59802 percol                             	       1        0        1        0        0
59803 percona-server-rocksdb-5.7         	       1        0        1        0        0
59804 percona-server-tokudb-5.7          	       1        0        1        0        0
59805 percona-xtrabackup-24              	       1        0        1        0        0
59806 percona-zabbix-templates           	       1        0        1        0        0
59807 perl-byacc                         	       1        0        1        0        0
59808 perl-debug                         	       1        0        1        0        0
59809 perl-stacktrace                    	       1        0        1        0        0
59810 perl6                              	       1        0        0        0        1
59811 perl6-readline                     	       1        0        1        0        0
59812 perl6-zef                          	       1        0        1        0        0
59813 perlbal                            	       1        0        1        0        0
59814 perlimports                        	       1        0        1        0        0
59815 perlsgml                           	       1        0        1        0        0
59816 perm                               	       1        0        1        0        0
59817 pet                                	       1        0        1        0        0
59818 petit                              	       1        0        1        0        0
59819 pex                                	       1        0        1        0        0
59820 pflask                             	       1        0        1        0        0
59821 pfring                             	       1        1        0        0        0
59822 pfring-dkms                        	       1        0        1        0        0
59823 pg-auto-failover-cli               	       1        1        0        0        0
59824 pg-bsd-indent                      	       1        0        1        0        0
59825 pg-gvm                             	       1        0        1        0        0
59826 pg-top                             	       1        0        1        0        0
59827 pgaccess                           	       1        0        1        0        0
59828 pgcharts                           	       1        1        0        0        0
59829 pgdesigner                         	       1        0        1        0        0
59830 pgdocs-pdf-a4                      	       1        0        0        0        1
59831 pgmfindclip                        	       1        0        1        0        0
59832 pgsnap                             	       1        0        1        0        0
59833 pgstaging                          	       1        0        1        0        0
59834 pgstaging-client                   	       1        0        0        0        1
59835 pgtap-doc                          	       1        0        0        0        1
59836 pgtune                             	       1        0        1        0        0
59837 pgxnclient                         	       1        0        1        0        0
59838 pharo6-64                          	       1        0        1        0        0
59839 pharo6-64-dbgsym                   	       1        0        1        0        0
59840 pharo6-64-ui                       	       1        0        1        0        0
59841 pharo6-64-ui-dbgsym                	       1        0        1        0        0
59842 pharo6-sources-files               	       1        0        0        0        1
59843 pharo6-ui-common                   	       1        0        0        0        1
59844 phat-utils                         	       1        0        1        0        0
59845 phatch                             	       1        0        1        0        0
59846 phatch-cli                         	       1        0        1        0        0
59847 phatch-doc                         	       1        0        0        0        1
59848 phidutil2                          	       1        0        1        0        0
59849 phipack                            	       1        0        1        0        0
59850 phlipple-dbg                       	       1        0        1        0        0
59851 pho                                	       1        0        1        0        0
59852 phosh-doc                          	       1        0        0        0        1
59853 photoquick                         	       1        0        1        0        0
59854 photoquick-plugins                 	       1        0        0        0        1
59855 php-auth-http                      	       1        0        1        0        0
59856 php-brick-math                     	       1        0        1        0        0
59857 php-cache                          	       1        1        0        0        0
59858 php-cache-tag-interop              	       1        0        1        0        0
59859 php-christianriesen-base32         	       1        0        1        0        0
59860 php-christianriesen-otp            	       1        0        1        0        0
59861 php-cli-prompt                     	       1        0        1        0        0
59862 php-code-lts-u2f-php-server        	       1        0        1        0        0
59863 php-codeigniter-framework-doc      	       1        0        0        0        1
59864 php-crypt-blowfish                 	       1        0        1        0        0
59865 php-crypt-gpg                      	       1        0        1        0        0
59866 php-dflydev-dot-access-data        	       1        0        1        0        0
59867 php-doctrine-cache                 	       1        0        1        0        0
59868 php-doctrine-collections           	       1        0        1        0        0
59869 php-doctrine-common                	       1        0        1        0        0
59870 php-doctrine-dbal                  	       1        0        1        0        0
59871 php-dragonmantank-cron-expression  	       1        0        1        0        0
59872 php-eluceo-ical                    	       1        0        1        0        0
59873 php-gearman                        	       1        0        1        0        0
59874 php-graham-campbell-result-type    	       1        0        1        0        0
59875 php-gregwar-captcha                	       1        0        1        0        0
59876 php-html-safe                      	       1        0        1        0        0
59877 php-http-request                   	       1        1        0        0        0
59878 php-http-request2                  	       1        0        1        0        0
59879 php-illuminate-auth                	       1        0        1        0        0
59880 php-illuminate-broadcasting        	       1        0        1        0        0
59881 php-illuminate-bus                 	       1        0        1        0        0
59882 php-illuminate-cache               	       1        0        1        0        0
59883 php-illuminate-collections         	       1        0        1        0        0
59884 php-illuminate-config              	       1        0        1        0        0
59885 php-illuminate-console             	       1        0        1        0        0
59886 php-illuminate-container           	       1        0        1        0        0
59887 php-illuminate-contracts           	       1        0        1        0        0
59888 php-illuminate-cookie              	       1        0        1        0        0
59889 php-illuminate-database            	       1        0        1        0        0
59890 php-illuminate-encryption          	       1        0        1        0        0
59891 php-illuminate-events              	       1        0        1        0        0
59892 php-illuminate-filesystem          	       1        0        1        0        0
59893 php-illuminate-hashing             	       1        0        1        0        0
59894 php-illuminate-http                	       1        0        1        0        0
59895 php-illuminate-log                 	       1        0        1        0        0
59896 php-illuminate-macroable           	       1        0        1        0        0
59897 php-illuminate-mail                	       1        0        1        0        0
59898 php-illuminate-notifications       	       1        0        1        0        0
59899 php-illuminate-pagination          	       1        0        1        0        0
59900 php-illuminate-pipeline            	       1        0        1        0        0
59901 php-illuminate-queue               	       1        0        1        0        0
59902 php-illuminate-redis               	       1        0        1        0        0
59903 php-illuminate-routing             	       1        0        1        0        0
59904 php-illuminate-session             	       1        0        1        0        0
59905 php-illuminate-support             	       1        0        1        0        0
59906 php-illuminate-testing             	       1        0        1        0        0
59907 php-illuminate-translation         	       1        0        1        0        0
59908 php-illuminate-validation          	       1        0        1        0        0
59909 php-illuminate-view                	       1        0        1        0        0
59910 php-jshrink                        	       1        0        1        0        0
59911 php-kolab                          	       1        1        0        0        0
59912 php-kolabformat                    	       1        1        0        0        0
59913 php-laravel-framework              	       1        0        1        0        0
59914 php-laravel-serializable-closure   	       1        0        1        0        0
59915 php-league-commonmark              	       1        0        1        0        0
59916 php-league-config                  	       1        0        1        0        0
59917 php-league-flysystem               	       1        0        1        0        0
59918 php-league-mime-type-detection     	       1        0        1        0        0
59919 php-letodms-core                   	       1        0        1        0        0
59920 php-letodms-lucene                 	       1        0        1        0        0
59921 php-lz4                            	       1        0        0        0        1
59922 php-mail-mimedecode                	       1        1        0        0        0
59923 php-mailparse                      	       1        0        0        0        1
59924 php-mailparse-all-dev              	       1        0        0        0        1
59925 php-matthiasmullie-minify          	       1        0        1        0        0
59926 php-matthiasmullie-path-converter  	       1        0        1        0        0
59927 php-mdb2-driver-pgsql              	       1        0        1        0        0
59928 php-mdb2-schema                    	       1        0        1        0        0
59929 php-mongodb                        	       1        0        1        0        0
59930 php-nesbot-carbon                  	       1        0        1        0        0
59931 php-net-ipv6                       	       1        0        1        0        0
59932 php-net-nntp                       	       1        0        1        0        0
59933 php-net-whois                      	       1        0        1        0        0
59934 php-nette-schema                   	       1        0        1        0        0
59935 php-nette-utils                    	       1        0        1        0        0
59936 php-nrk-predis                     	       1        0        1        0        0
59937 php-oauth                          	       1        0        0        0        1
59938 php-opis-closure                   	       1        0        1        0        0
59939 php-parsedown                      	       1        0        1        0        0
59940 php-patchwork-utf8                 	       1        0        1        0        0
59941 php-phpoption                      	       1        0        1        0        0
59942 php-predis                         	       1        0        1        0        0
59943 php-proxy-manager                  	       1        0        1        0        0
59944 php-psr-clock                      	       1        0        0        1        0
59945 php-ramsey-collection              	       1        0        1        0        0
59946 php-ramsey-uuid                    	       1        0        1        0        0
59947 php-sabre-dav-2.1                  	       1        0        1        0        0
59948 php-sabre-event                    	       1        0        1        0        0
59949 php-sabre-http-3                   	       1        0        1        0        0
59950 php-sabre-vobject-3                	       1        0        1        0        0
59951 php-sabredav                       	       1        0        0        0        1
59952 php-sass                           	       1        1        0        0        0
59953 php-services-weather               	       1        1        0        0        0
59954 php-smbclient-all-dev              	       1        0        0        0        1
59955 php-sql-formatter                  	       1        0        1        0        0
59956 php-symfony                        	       1        0        1        0        0
59957 php-symfony-amqp-messenger         	       1        0        1        0        0
59958 php-symfony-asset                  	       1        0        1        0        0
59959 php-symfony-browser-kit            	       1        0        1        0        0
59960 php-symfony-contracts              	       1        0        1        0        0
59961 php-symfony-debug-bundle           	       1        0        1        0        0
59962 php-symfony-doctrine-bridge        	       1        0        1        0        0
59963 php-symfony-doctrine-messenger     	       1        0        1        0        0
59964 php-symfony-dotenv                 	       1        0        1        0        0
59965 php-symfony-form                   	       1        0        1        0        0
59966 php-symfony-framework-bundle       	       1        0        1        0        0
59967 php-symfony-http-client            	       1        0        1        0        0
59968 php-symfony-http-client-contracts  	       1        0        1        0        0
59969 php-symfony-inflector              	       1        0        1        0        0
59970 php-symfony-intl                   	       1        0        1        0        0
59971 php-symfony-ldap                   	       1        0        1        0        0
59972 php-symfony-lock                   	       1        0        1        0        0
59973 php-symfony-messenger              	       1        0        1        0        0
59974 php-symfony-monolog-bridge         	       1        0        1        0        0
59975 php-symfony-notifier               	       1        0        1        0        0
59976 php-symfony-options-resolver       	       1        0        1        0        0
59977 php-symfony-password-hasher        	       1        0        1        0        0
59978 php-symfony-polyfill-php55         	       1        0        1        0        0
59979 php-symfony-polyfill-php81         	       1        0        1        0        0
59980 php-symfony-polyfill-php84         	       1        0        0        1        0
59981 php-symfony-property-info          	       1        0        1        0        0
59982 php-symfony-proxy-manager-bridge   	       1        0        1        0        0
59983 php-symfony-rate-limiter           	       1        0        1        0        0
59984 php-symfony-redis-messenger        	       1        0        1        0        0
59985 php-symfony-security-bundle        	       1        0        1        0        0
59986 php-symfony-security-core          	       1        0        1        0        0
59987 php-symfony-security-csrf          	       1        0        1        0        0
59988 php-symfony-security-guard         	       1        0        1        0        0
59989 php-symfony-security-http          	       1        0        1        0        0
59990 php-symfony-semaphore              	       1        0        1        0        0
59991 php-symfony-stopwatch              	       1        0        1        0        0
59992 php-symfony-templating             	       1        0        1        0        0
59993 php-symfony-twig-bridge            	       1        0        1        0        0
59994 php-symfony-twig-bundle            	       1        0        1        0        0
59995 php-symfony-uid                    	       1        0        1        0        0
59996 php-symfony-validator              	       1        0        1        0        0
59997 php-symfony-web-link               	       1        0        1        0        0
59998 php-symfony-web-profiler-bundle    	       1        0        1        0        0
59999 php-symfony-workflow               	       1        0        1        0        0
60000 php-text-password                  	       1        0        1        0        0
60001 php-twig-cache-extra               	       1        0        1        0        0
60002 php-twig-cssinliner-extra          	       1        0        1        0        0
60003 php-twig-html-extra                	       1        0        1        0        0
60004 php-validate                       	       1        0        1        0        0
60005 php-vlucas-phpdotenv               	       1        0        1        0        0
60006 php-voku-portable-ascii            	       1        0        1        0        0
60007 php-wpcli                          	       1        0        1        0        0
60008 php-xml-dtd                        	       1        0        1        0        0
60009 php-xml-htmlsax3                   	       1        0        1        0        0
60010 php-xmlrpc-all-dev                 	       1        0        0        0        1
60011 php-zend-eventmanager              	       1        0        1        0        0
60012 php-zend-hydrator                  	       1        0        1        0        0
60013 php-zend-stdlib                    	       1        0        1        0        0
60014 php-zmq                            	       1        0        0        0        1
60015 php5-geoip                         	       1        1        0        0        0
60016 php5-memcache                      	       1        1        0        0        0
60017 php5-rrd                           	       1        1        0        0        0
60018 php5-sasl                          	       1        0        1        0        0
60019 php5-snmp                          	       1        0        1        0        0
60020 php5-ssh2                          	       1        0        1        0        0
60021 php5.6-bcmath                      	       1        0        1        0        0
60022 php5.6-dba                         	       1        0        1        0        0
60023 php5.6-dev                         	       1        1        0        0        0
60024 php5.6-enchant                     	       1        0        1        0        0
60025 php5.6-gmp                         	       1        0        1        0        0
60026 php5.6-imagick                     	       1        0        1        0        0
60027 php5.6-mbstring-dbgsym             	       1        0        1        0        0
60028 php5.6-odbc                        	       1        0        1        0        0
60029 php5.6-recode                      	       1        0        1        0        0
60030 php5.6-snmp                        	       1        0        1        0        0
60031 php5.6-soap                        	       1        0        1        0        0
60032 php5.6-sqlite3                     	       1        0        1        0        0
60033 php5.6-sqlite3-dbgsym              	       1        0        1        0        0
60034 php5.6-tidy                        	       1        0        1        0        0
60035 php5.6-xdebug                      	       1        1        0        0        0
60036 php5.6-yaml                        	       1        0        1        0        0
60037 php7-mapi                          	       1        1        0        0        0
60038 php7.0-dba                         	       1        0        1        0        0
60039 php7.0-geoip                       	       1        0        1        0        0
60040 php7.0-gmp                         	       1        1        0        0        0
60041 php7.0-igbinary                    	       1        0        1        0        0
60042 php7.0-memcached                   	       1        0        1        0        0
60043 php7.0-msgpack                     	       1        0        1        0        0
60044 php7.0-odbc                        	       1        1        0        0        0
60045 php7.0-snmp                        	       1        1        0        0        0
60046 php7.1-bcmath                      	       1        1        0        0        0
60047 php7.1-imagick                     	       1        0        1        0        0
60048 php7.1-imap                        	       1        0        1        0        0
60049 php7.1-intl                        	       1        1        0        0        0
60050 php7.1-soap                        	       1        0        1        0        0
60051 php7.1-xdebug                      	       1        0        1        0        0
60052 php7.1-xmlrpc                      	       1        0        1        0        0
60053 php7.1-xsl                         	       1        0        0        0        1
60054 php7.2-bcmath                      	       1        0        1        0        0
60055 php7.2-bz2                         	       1        0        1        0        0
60056 php7.2-curl                        	       1        0        1        0        0
60057 php7.2-fpm                         	       1        0        1        0        0
60058 php7.2-phpdbg                      	       1        0        1        0        0
60059 php7.2-soap                        	       1        0        1        0        0
60060 php7.2-tidy                        	       1        0        1        0        0
60061 php7.3-apcu-bc                     	       1        0        1        0        0
60062 php7.3-dba                         	       1        1        0        0        0
60063 php7.3-dev                         	       1        0        1        0        0
60064 php7.3-imagick                     	       1        0        1        0        0
60065 php7.3-memcache                    	       1        0        1        0        0
60066 php7.3-memcached                   	       1        0        1        0        0
60067 php7.3-msgpack                     	       1        0        1        0        0
60068 php7.3-odbc                        	       1        1        0        0        0
60069 php7.3-snmp                        	       1        1        0        0        0
60070 php7.3-sqlite3-dbgsym              	       1        0        1        0        0
60071 php7.4-dba                         	       1        0        1        0        0
60072 php7.4-enchant                     	       1        0        1        0        0
60073 php7.4-geoip                       	       1        1        0        0        0
60074 php7.4-interbase                   	       1        0        1        0        0
60075 php7.4-memcache                    	       1        0        1        0        0
60076 php7.4-snmp                        	       1        0        1        0        0
60077 php7.4-sybase                      	       1        0        1        0        0
60078 php7.4-xdebug                      	       1        1        0        0        0
60079 php8.0-ldap                        	       1        1        0        0        0
60080 php8.0-memcache                    	       1        0        1        0        0
60081 php8.0-memcached                   	       1        0        1        0        0
60082 php8.0-msgpack                     	       1        0        1        0        0
60083 php8.1-apcu-dbgsym                 	       1        0        1        0        0
60084 php8.1-bcmath-dbgsym               	       1        0        1        0        0
60085 php8.1-bz2-dbgsym                  	       1        0        1        0        0
60086 php8.1-cgi-dbgsym                  	       1        0        1        0        0
60087 php8.1-cli-dbgsym                  	       1        0        1        0        0
60088 php8.1-common-dbgsym               	       1        0        1        0        0
60089 php8.1-curl-dbgsym                 	       1        0        1        0        0
60090 php8.1-dba                         	       1        0        1        0        0
60091 php8.1-dba-dbgsym                  	       1        0        1        0        0
60092 php8.1-dev                         	       1        0        1        0        0
60093 php8.1-enchant                     	       1        0        1        0        0
60094 php8.1-enchant-dbgsym              	       1        0        1        0        0
60095 php8.1-fpm-dbgsym                  	       1        0        1        0        0
60096 php8.1-gd-dbgsym                   	       1        0        1        0        0
60097 php8.1-gmp-dbgsym                  	       1        0        1        0        0
60098 php8.1-igbinary-dbgsym             	       1        0        1        0        0
60099 php8.1-imap-dbgsym                 	       1        0        1        0        0
60100 php8.1-interbase                   	       1        0        1        0        0
60101 php8.1-interbase-dbgsym            	       1        0        1        0        0
60102 php8.1-intl-dbgsym                 	       1        0        1        0        0
60103 php8.1-ldap-dbgsym                 	       1        0        1        0        0
60104 php8.1-lz4                         	       1        1        0        0        0
60105 php8.1-maxminddb                   	       1        0        1        0        0
60106 php8.1-maxminddb-dbgsym            	       1        0        1        0        0
60107 php8.1-mbstring-dbgsym             	       1        0        1        0        0
60108 php8.1-mcrypt                      	       1        1        0        0        0
60109 php8.1-mysql-dbgsym                	       1        0        1        0        0
60110 php8.1-oauth                       	       1        1        0        0        0
60111 php8.1-odbc                        	       1        0        1        0        0
60112 php8.1-odbc-dbgsym                 	       1        0        1        0        0
60113 php8.1-opcache-dbgsym              	       1        0        1        0        0
60114 php8.1-pgsql-dbgsym                	       1        0        1        0        0
60115 php8.1-phpdbg                      	       1        0        1        0        0
60116 php8.1-phpdbg-dbgsym               	       1        0        1        0        0
60117 php8.1-pspell-dbgsym               	       1        0        1        0        0
60118 php8.1-readline-dbgsym             	       1        0        1        0        0
60119 php8.1-redis-dbgsym                	       1        0        1        0        0
60120 php8.1-snmp-dbgsym                 	       1        0        1        0        0
60121 php8.1-soap-dbgsym                 	       1        0        1        0        0
60122 php8.1-sqlite3-dbgsym              	       1        0        1        0        0
60123 php8.1-sybase                      	       1        0        1        0        0
60124 php8.1-sybase-dbgsym               	       1        0        1        0        0
60125 php8.1-tidy-dbgsym                 	       1        0        1        0        0
60126 php8.1-xdebug                      	       1        0        1        0        0
60127 php8.1-xml-dbgsym                  	       1        0        1        0        0
60128 php8.1-yaml-dbgsym                 	       1        0        1        0        0
60129 php8.1-zip-dbgsym                  	       1        0        1        0        0
60130 php8.2-apcu-dbgsym                 	       1        0        1        0        0
60131 php8.2-dba                         	       1        0        1        0        0
60132 php8.2-ds                          	       1        0        1        0        0
60133 php8.2-gnupg-dbgsym                	       1        0        1        0        0
60134 php8.2-igbinary-dbgsym             	       1        0        1        0        0
60135 php8.2-interbase                   	       1        0        1        0        0
60136 php8.2-lz4                         	       1        0        1        0        0
60137 php8.2-maxminddb                   	       1        0        1        0        0
60138 php8.2-oauth                       	       1        1        0        0        0
60139 php8.2-sybase                      	       1        0        1        0        0
60140 php8.2-tideways                    	       1        0        1        0        0
60141 php8.2-yaml-dbgsym                 	       1        0        1        0        0
60142 php8.2-zmq                         	       1        1        0        0        0
60143 php8.3-cgi                         	       1        0        1        0        0
60144 php8.3-lz4                         	       1        0        1        0        0
60145 php8.3-memcached                   	       1        0        1        0        0
60146 php8.3-msgpack                     	       1        0        1        0        0
60147 php8.3-pspell                      	       1        1        0        0        0
60148 php8.3-snmp                        	       1        0        1        0        0
60149 php8.3-sqlite3                     	       1        1        0        0        0
60150 php8.3-ssh2                        	       1        1        0        0        0
60151 php8.3-yaml                        	       1        1        0        0        0
60152 php8.4-enchant                     	       1        1        0        0        0
60153 php8.4-fpm                         	       1        1        0        0        0
60154 php8.4-gmp                         	       1        0        0        1        0
60155 php8.4-gnupg                       	       1        1        0        0        0
60156 php8.4-http                        	       1        1        0        0        0
60157 php8.4-imap                        	       1        1        0        0        0
60158 php8.4-ldap                        	       1        1        0        0        0
60159 php8.4-libvirt-php                 	       1        0        1        0        0
60160 php8.4-mailparse                   	       1        1        0        0        0
60161 php8.4-oauth                       	       1        1        0        0        0
60162 php8.4-ps                          	       1        1        0        0        0
60163 php8.4-raphf                       	       1        1        0        0        0
60164 php8.4-smbclient                   	       1        1        0        0        0
60165 php8.4-snmp                        	       1        1        0        0        0
60166 php8.4-xsl                         	       1        0        0        0        1
60167 phybin                             	       1        0        1        0        0
60168 phyutility                         	       1        0        1        0        0
60169 picasm                             	       1        0        1        0        0
60170 pick                               	       1        0        1        0        0
60171 pico-sdk-source                    	       1        0        1        0        0
60172 picon-news                         	       1        0        0        0        1
60173 picon-usenix                       	       1        0        0        0        1
60174 picosat                            	       1        0        1        0        0
60175 picotool                           	       1        0        1        0        0
60176 picprog                            	       1        0        1        0        0
60177 pidcat                             	       1        0        1        0        0
60178 pidentd-des                        	       1        0        0        0        1
60179 pidgin-build-deps                  	       1        0        0        0        1
60180 pidgin-dbgsym                      	       1        0        1        0        0
60181 pidgin-mattermost                  	       1        0        1        0        0
60182 pidgin-openfetion                  	       1        0        1        0        0
60183 pidgin-opensteamworks              	       1        1        0        0        0
60184 pidgin-skype-dbg                   	       1        1        0        0        0
60185 piglit                             	       1        0        1        0        0
60186 pigpio                             	       1        0        0        0        1
60187 pigpiod                            	       1        0        1        0        0
60188 pikchr                             	       1        0        1        0        0
60189 pike7.8                            	       1        0        0        0        1
60190 pike7.8-dev                        	       1        0        1        0        0
60191 pike7.8-gdbm                       	       1        0        1        0        0
60192 pike7.8-mysql                      	       1        0        1        0        0
60193 pike7.8-pcre                       	       1        0        1        0        0
60194 pike7.8-pg                         	       1        0        1        0        0
60195 pike7.8-sdl                        	       1        0        1        0        0
60196 pike7.8-svg                        	       1        0        1        0        0
60197 pike8.0-fuse                       	       1        0        1        0        0
60198 pike8.0-gtk                        	       1        0        1        0        0
60199 pike8.0-sdl                        	       1        0        1        0        0
60200 piler                              	       1        0        1        0        0
60201 pinhole                            	       1        0        1        0        0
60202 pinot                              	       1        0        1        0        0
60203 pioasm                             	       1        0        1        0        0
60204 pioneers-meta-server               	       1        0        0        0        1
60205 pipewire-media-session-pulseaudio  	       1        0        0        0        1
60206 piratewallet-lite                  	       1        0        1        0        0
60207 pirs                               	       1        0        1        0        0
60208 pirs-profiles                      	       1        0        0        0        1
60209 pisg                               	       1        1        0        0        0
60210 pitcheddelay-lv2                   	       1        0        1        0        0
60211 piuparts-slave                     	       1        0        1        0        0
60212 pixbros                            	       1        0        1        0        0
60213 pixfrogger                         	       1        0        1        0        0
60214 pizmidi-plugins                    	       1        0        1        0        0
60215 pkg-components                     	       1        0        1        0        0
60216 pkg-js-autopkgtest                 	       1        0        0        0        1
60217 pkg-js-tools                       	       1        0        1        0        0
60218 pkg-my-archive-keyring             	       1        0        0        0        1
60219 pkg-perl-tools                     	       1        0        1        0        0
60220 pkglab                             	       1        0        1        0        0
60221 placnet                            	       1        0        1        0        0
60222 planetpenguin-racer                	       1        0        0        0        1
60223 planetpenguin-racer-extras         	       1        0        0        0        1
60224 planner-dev                        	       1        0        1        0        0
60225 plasma-framework-dev               	       1        0        0        0        1
60226 plasma-gmailfeed                   	       1        0        0        0        1
60227 plasma-netbook                     	       1        0        1        0        0
60228 plasma-pass                        	       1        0        1        0        0
60229 plasma-scriptengine-googlegadgets  	       1        0        1        0        0
60230 plasma-scriptengine-qedje          	       1        0        1        0        0
60231 plasma-widget-networkmanagement    	       1        0        0        0        1
60232 plasma-widget-x2go                 	       1        0        0        0        1
60233 plasmidomics                       	       1        0        1        0        0
60234 plast                              	       1        0        1        0        0
60235 plastic                            	       1        0        1        0        0
60236 platformio                         	       1        0        1        0        0
60237 platformio-doc                     	       1        0        0        0        1
60238 play.it                            	       1        0        1        0        0
60239 playdeb                            	       1        0        0        0        1
60240 plc-utils                          	       1        0        1        0        0
60241 plextor-tool                       	       1        0        1        0        0
60242 plink1.9                           	       1        0        1        0        0
60243 ploop                              	       1        0        1        0        0
60244 plopfolio.app                      	       1        0        1        0        0
60245 plotdrop                           	       1        0        1        0        0
60246 plotnetcfg                         	       1        0        1        0        0
60247 plou                               	       1        0        1        0        0
60248 plowshare-modules                  	       1        0        0        0        1
60249 plowshare4                         	       1        0        0        0        1
60250 plplot-examples                    	       1        0        1        0        0
60251 pluginlib-dev                      	       1        0        0        0        1
60252 pluma-dev                          	       1        0        1        0        0
60253 pluma-plugin-synctex               	       1        0        0        0        1
60254 pluma-plugins-common               	       1        0        0        0        1
60255 pluto-keyring                      	       1        0        0        0        1
60256 pmailq                             	       1        0        1        0        0
60257 pmbootstrap                        	       1        0        1        0        0
60258 pmw                                	       1        0        1        0        0
60259 png22pnm                           	       1        0        1        0        0
60260 png2pdf                            	       1        0        1        0        0
60261 pngmcposterize                     	       1        0        1        0        0
60262 pngnq-s9                           	       1        0        1        0        0
60263 pngoptimizer                       	       1        0        1        0        0
60264 pngout                             	       1        0        1        0        0
60265 pngquadtree                        	       1        0        1        0        0
60266 pnmtodjvurle                       	       1        0        1        0        0
60267 pnp4nagios                         	       1        0        0        0        1
60268 pnp4nagios-bin                     	       1        1        0        0        0
60269 pnp4nagios-web                     	       1        1        0        0        0
60270 pnp4nagios-web-config-nagios3      	       1        0        1        0        0
60271 pnpbios-tools                      	       1        0        0        0        1
60272 pnputils                           	       1        0        1        0        0
60273 pocketsphinx-testdata              	       1        0        0        0        1
60274 pocl-doc                           	       1        0        0        0        1
60275 podman-desktop-companion           	       1        0        0        0        1
60276 podman-remote                      	       1        0        0        1        0
60277 podpisgov                          	       1        0        1        0        0
60278 podsleuth                          	       1        0        1        0        0
60279 poe.app                            	       1        0        1        0        0
60280 poezio                             	       1        0        1        0        0
60281 policy-rcd-declarative             	       1        0        1        0        0
60282 policy-rcd-declarative-deny-all    	       1        0        0        0        1
60283 policycoreutils-dbus               	       1        0        0        0        1
60284 policycoreutils-gui                	       1        0        1        0        0
60285 policyd-weight                     	       1        1        0        0        0
60286 policykit                          	       1        0        1        0        0
60287 policykit-1-dbgsym                 	       1        0        1        0        0
60288 policykit-1-gnome-dbgsym           	       1        0        1        0        0
60289 policykit-gnome                    	       1        0        1        0        0
60290 polyglot-linear-a                  	       1        0        1        0        0
60291 polylib-utils                      	       1        0        1        0        0
60292 polyorb-servers                    	       1        0        1        0        0
60293 pomodoro-logger                    	       1        0        1        0        0
60294 poorman-ids                        	       1        0        1        0        0
60295 popa3d                             	       1        0        1        0        0
60296 populations                        	       1        0        1        0        0
60297 poretools                          	       1        0        1        0        0
60298 portaudio19-doc                    	       1        0        1        0        0
60299 portmap                            	       1        0        1        0        0
60300 portmaster                         	       1        0        0        0        1
60301 portofino                          	       1        0        0        0        1
60302 positron                           	       1        0        1        0        0
60303 posixtestsuite                     	       1        0        0        0        1
60304 post-el                            	       1        0        1        0        0
60305 postal                             	       1        0        1        0        0
60306 postbird                           	       1        0        0        0        1
60307 postfix-gld                        	       1        1        0        0        0
60308 postfwd                            	       1        0        1        0        0
60309 postgis-gui                        	       1        0        1        0        0
60310 postgrespro-1c-13                  	       1        0        0        0        1
60311 postgrespro-1c-13-client           	       1        1        0        0        0
60312 postgrespro-1c-13-contrib          	       1        0        1        0        0
60313 postgrespro-1c-13-libs             	       1        0        0        0        1
60314 postgrespro-1c-13-server           	       1        1        0        0        0
60315 postgresql-10-postgis-2.4          	       1        0        1        0        0
60316 postgresql-10-postgis-2.4-scripts  	       1        0        0        0        1
60317 postgresql-11-repmgr               	       1        0        1        0        0
60318 postgresql-11-rum                  	       1        0        1        0        0
60319 postgresql-11-slony1-2             	       1        0        1        0        0
60320 postgresql-12-ip4r                 	       1        0        1        0        0
60321 postgresql-12-postgis-3            	       1        0        1        0        0
60322 postgresql-12-postgis-3-scripts    	       1        0        0        0        1
60323 postgresql-13-cron                 	       1        0        1        0        0
60324 postgresql-13-repmgr               	       1        0        1        0        0
60325 postgresql-13-slony1-2             	       1        0        1        0        0
60326 postgresql-14-debversion           	       1        0        1        0        0
60327 postgresql-14-first-last-agg       	       1        0        1        0        0
60328 postgresql-14-ip4r                 	       1        0        1        0        0
60329 postgresql-14-mimeo                	       1        0        1        0        0
60330 postgresql-14-mysql-fdw            	       1        0        1        0        0
60331 postgresql-14-numeral              	       1        0        1        0        0
60332 postgresql-14-orafce               	       1        0        1        0        0
60333 postgresql-14-pgrouting            	       1        0        1        0        0
60334 postgresql-14-pgrouting-doc        	       1        0        0        0        1
60335 postgresql-14-pgrouting-scripts    	       1        0        0        0        1
60336 postgresql-14-pldebugger           	       1        0        1        0        0
60337 postgresql-14-postgis-3-dbgsym     	       1        0        1        0        0
60338 postgresql-14-rum                  	       1        0        1        0        0
60339 postgresql-15-ip4r                 	       1        0        1        0        0
60340 postgresql-15-jsquery              	       1        0        1        0        0
60341 postgresql-15-plpgsql-check        	       1        0        1        0        0
60342 postgresql-15-repmgr               	       1        0        1        0        0
60343 postgresql-15-slony1-2             	       1        0        1        0        0
60344 postgresql-16-auto-failover        	       1        0        1        0        0
60345 postgresql-16-timescaledb          	       1        0        1        0        0
60346 postgresql-17-pgvector             	       1        0        1        0        0
60347 postgresql-8.3                     	       1        1        0        0        0
60348 postgresql-9.4-postgis-scripts     	       1        0        0        0        1
60349 postgresql-9.6-preprepare          	       1        0        1        0        0
60350 postgresql-client-8.3              	       1        0        1        0        0
60351 postgresql-client-9.2              	       1        1        0        0        0
60352 postgresql-client-9.5              	       1        1        0        0        0
60353 postgresql-contrib-8.4             	       1        0        1        0        0
60354 postgresql-doc-10                  	       1        0        0        0        1
60355 postgresql-filedump-8.3            	       1        0        1        0        0
60356 postgresql-plperl-12               	       1        0        1        0        0
60357 postgresql-plperl-9.1              	       1        0        1        0        0
60358 postgresql-plpython-11             	       1        0        1        0        0
60359 postgresql-plpython3-10            	       1        0        1        0        0
60360 postgresql-plpython3-12            	       1        0        1        0        0
60361 postgresql-pltcl-12                	       1        0        1        0        0
60362 postgresql-pltcl-17                	       1        0        0        1        0
60363 postgresql-pltcl-8.4               	       1        0        1        0        0
60364 postgresql-pltcl-9.4               	       1        0        1        0        0
60365 postgresql-server-dev-10           	       1        0        1        0        0
60366 postgresql-server-dev-14           	       1        0        1        0        0
60367 postgresql-server-dev-16           	       1        0        1        0        0
60368 postgresql-server-dev-17           	       1        1        0        0        0
60369 postgresql-server-dev-9.4          	       1        0        1        0        0
60370 postgresql-server-dev-9.6          	       1        0        1        0        0
60371 postsrsd                           	       1        1        0        0        0
60372 potion                             	       1        0        1        0        0
60373 powershell-lts                     	       1        0        1        0        0
60374 powershell-preview                 	       1        0        0        1        0
60375 powershield                        	       1        1        0        0        0
60376 powersupply-gtk                    	       1        0        1        0        0
60377 powertweak                         	       1        0        0        0        1
60378 powertweak-extra                   	       1        1        0        0        0
60379 powertweak-gtk                     	       1        0        1        0        0
60380 powertweakd                        	       1        1        0        0        0
60381 poxml-trinity                      	       1        0        1        0        0
60382 pp-popularity-contest              	       1        0        1        0        0
60383 ppa-purge                          	       1        0        1        0        0
60384 ppcoin                             	       1        0        1        0        0
60385 ppdfilt-dbgsym                     	       1        0        1        0        0
60386 ppmdescreen                        	       1        0        1        0        0
60387 ppp-gatekeeper                     	       1        0        1        0        0
60388 pppdcapiplugin                     	       1        0        1        0        0
60389 pprompt                            	       1        0        0        0        1
60390 pptview                            	       1        0        1        0        0
60391 pqueue                             	       1        1        0        0        0
60392 pr3287                             	       1        0        1        0        0
60393 prayer-templates-dev               	       1        0        1        0        0
60394 prayer-templates-src               	       1        0        0        0        1
60395 prboom                             	       1        0        1        0        0
60396 predict                            	       1        0        1        0        0
60397 predict-gsat                       	       1        0        1        0        0
60398 predictnls                         	       1        0        1        0        0
60399 premail                            	       1        0        1        0        0
60400 presentty                          	       1        0        1        0        0
60401 prevent-networkmanager             	       1        0        0        0        1
60402 prevent-puppet                     	       1        0        0        0        1
60403 prevent-ruby                       	       1        0        0        0        1
60404 prevent-systemd-running            	       1        0        0        0        1
60405 prevent-unattended-upgrades        	       1        0        0        0        1
60406 previsat                           	       1        0        1        0        0
60407 prime-phylo                        	       1        0        1        0        0
60408 primesieve-doc                     	       1        0        0        0        1
60409 primus-libs-ia32                   	       1        0        0        0        1
60410 prince                             	       1        0        1        0        0
60411 printconf                          	       1        0        1        0        0
60412 printemf                           	       1        0        1        0        0
60413 prips                              	       1        0        1        0        0
60414 prismstumbler                      	       1        0        1        0        0
60415 pritunl-client-electron            	       1        0        1        0        0
60416 privatenotes                       	       1        0        1        0        0
60417 privbind                           	       1        1        0        0        0
60418 prl-nettool                        	       1        0        1        0        0
60419 proalign                           	       1        0        1        0        0
60420 probabel                           	       1        0        1        0        0
60421 procmail-wrapper                   	       1        1        0        0        0
60422 procmon                            	       1        0        1        0        0
60423 procs                              	       1        0        1        0        0
60424 prodigal                           	       1        0        1        0        0
60425 profanity-light                    	       1        0        1        0        0
60426 profisis                           	       1        0        1        0        0
60427 profnet-bval                       	       1        0        1        0        0
60428 profnet-chop                       	       1        0        1        0        0
60429 profnet-con                        	       1        0        1        0        0
60430 profnet-isis                       	       1        0        1        0        0
60431 profnet-md                         	       1        0        1        0        0
60432 profnet-norsnet                    	       1        0        1        0        0
60433 profnet-prof                       	       1        0        1        0        0
60434 profnet-snapfun                    	       1        0        1        0        0
60435 profphd                            	       1        0        1        0        0
60436 profphd-net                        	       1        0        1        0        0
60437 profphd-utils                      	       1        0        1        0        0
60438 proftmb                            	       1        0        1        0        0
60439 proftpd-mod-clamav                 	       1        0        0        1        0
60440 proftpd-mod-odbc                   	       1        0        1        0        0
60441 proftpd-mod-snmp                   	       1        0        1        0        0
60442 proftpd-mod-sqlite                 	       1        0        1        0        0
60443 proguard                           	       1        0        0        0        1
60444 progvis                            	       1        0        1        0        0
60445 progvis-examples                   	       1        0        0        0        1
60446 proj-ps-doc                        	       1        0        0        0        1
60447 projectcenter.app                  	       1        0        1        0        0
60448 projectl                           	       1        0        1        0        0
60449 prometheus-apache-exporter         	       1        0        1        0        0
60450 prometheus-bind-exporter           	       1        0        1        0        0
60451 prometheus-nginx-exporter          	       1        0        1        0        0
60452 prometheus-process-exporter        	       1        1        0        0        0
60453 promoe                             	       1        0        1        0        0
60454 proofgeneral                       	       1        0        1        0        0
60455 prosody-modules                    	       1        0        1        0        0
60456 proteinortho                       	       1        0        1        0        0
60457 protoc-gen-go                      	       1        0        1        0        0
60458 protonmail-import-export-app       	       1        0        1        0        0
60459 prottest                           	       1        0        1        0        0
60460 proxmox-archive-keyring            	       1        0        0        0        1
60461 proxmox-auto-install-assistant     	       1        0        1        0        0
60462 proxmox-backup-restore-image       	       1        0        0        0        1
60463 proxsmtp                           	       1        0        1        0        0
60464 prt                                	       1        0        1        0        0
60465 prusa-slicer-dbgsym                	       1        0        1        0        0
60466 ps-watcher                         	       1        1        0        0        0
60467 psallinux                          	       1        0        1        0        0
60468 pscan                              	       1        0        1        0        0
60469 psfontmgr                          	       1        0        1        0        0
60470 psi-notify                         	       1        1        0        0        0
60471 psi-translations                   	       1        0        0        0        1
60472 psi4                               	       1        0        1        0        0
60473 psi4-data                          	       1        0        0        0        1
60474 pskc-utils                         	       1        0        1        0        0
60475 pskctool                           	       1        0        1        0        0
60476 psl-make-dafsa                     	       1        0        1        0        0
60477 psurface                           	       1        1        0        0        0
60478 psychopy                           	       1        0        1        0        0
60479 pt2-clone-dbgsym                   	       1        0        1        0        0
60480 ptask                              	       1        0        1        0        0
60481 ptop                               	       1        0        0        0        1
60482 ptpython                           	       1        0        1        0        0
60483 ptscotch                           	       1        0        1        0        0
60484 ptunnel-ng                         	       1        1        0        0        0
60485 ptxdist-1.99.13-common             	       1        0        0        0        1
60486 publib-dev                         	       1        0        1        0        0
60487 pugixml-doc                        	       1        0        0        0        1
60488 pulldown-cmark                     	       1        0        1        0        0
60489 pulse                              	       1        0        0        0        1
60490 pulseaudio-build-deps              	       1        0        0        0        1
60491 pulseaudio-dbgsym                  	       1        0        1        0        0
60492 pulseaudio-module-bluetooth-dbgsym 	       1        0        1        0        0
60493 pulseaudio-utils-dbgsym            	       1        0        1        0        0
60494 puppet-master                      	       1        0        0        0        1
60495 puppet-module-heat                 	       1        0        1        0        0
60496 puppet-module-keystone             	       1        0        1        0        0
60497 puppet-module-nanliu-staging       	       1        0        1        0        0
60498 puppet-module-openstacklib         	       1        0        1        0        0
60499 puppet-module-oslo                 	       1        0        1        0        0
60500 puppet-module-puppetlabs-apache    	       1        0        1        0        0
60501 puppet-module-puppetlabs-apt       	       1        0        1        0        0
60502 puppet-module-puppetlabs-augeas-core	       1        0        1        0        0
60503 puppet-module-puppetlabs-concat    	       1        0        1        0        0
60504 puppet-module-puppetlabs-cron-core 	       1        0        1        0        0
60505 puppet-module-puppetlabs-host-core 	       1        0        1        0        0
60506 puppet-module-puppetlabs-inifile   	       1        0        1        0        0
60507 puppet-module-puppetlabs-mount-core	       1        0        1        0        0
60508 puppet-module-puppetlabs-mysql     	       1        0        1        0        0
60509 puppet-module-puppetlabs-postgresql	       1        0        1        0        0
60510 puppet-module-puppetlabs-rabbitmq  	       1        0        1        0        0
60511 puppet-module-puppetlabs-selinux-core	       1        0        1        0        0
60512 puppet-module-puppetlabs-sshkeys-core	       1        0        1        0        0
60513 puppet-module-puppetlabs-stdlib    	       1        0        1        0        0
60514 puppet-terminus-puppetdb           	       1        0        1        0        0
60515 puppetserver                       	       1        0        1        0        0
60516 pure-ftpd-ldap                     	       1        0        1        0        0
60517 purity-ng                          	       1        0        1        0        0
60518 purity-off                         	       1        0        0        0        1
60519 purple-libsteam                    	       1        0        1        0        0
60520 purple-libsteam-build-deps         	       1        0        0        0        1
60521 pv-grub-menu                       	       1        0        1        0        0
60522 pwm                                	       1        0        1        0        0
60523 pwndbg                             	       1        0        1        0        0
60524 pwr60                              	       1        0        1        0        0
60525 pwrdemo60                          	       1        0        1        0        0
60526 pwrrt                              	       1        0        1        0        0
60527 pxe                                	       1        1        0        0        0
60528 pxlinux                            	       1        0        1        0        0
60529 pxsl-tools                         	       1        0        1        0        0
60530 pybind11-doc                       	       1        0        0        0        1
60531 pybootchartgui                     	       1        0        1        0        0
60532 pycharm-community                  	       1        0        1        0        0
60533 pyconfigure                        	       1        0        1        0        0
60534 pycorrfit                          	       1        0        1        0        0
60535 pydevd                             	       1        0        1        0        0
60536 pyecm                              	       1        0        1        0        0
60537 pyensembl                          	       1        0        1        0        0
60538 pyenv                              	       1        0        0        1        0
60539 pyformex-extra                     	       1        0        0        0        1
60540 pygame-build-deps-depends          	       1        0        0        0        1
60541 pygopherd                          	       1        0        1        0        0
60542 pyjoke                             	       1        0        1        0        0
60543 pykaraoke                          	       1        0        1        0        0
60544 pykaraoke-bin                      	       1        0        1        0        0
60545 pykolab                            	       1        0        1        0        0
60546 pymacs                             	       1        0        1        0        0
60547 pymissile                          	       1        0        1        0        0
60548 pymoctool                          	       1        0        1        0        0
60549 pympress                           	       1        0        1        0        0
60550 pynag                              	       1        0        1        0        0
60551 pynagram                           	       1        0        1        0        0
60552 pyneighborhood                     	       1        0        1        0        0
60553 pyosmium                           	       1        0        1        0        0
60554 pyotherside-doc                    	       1        0        0        0        1
60555 pyppd                              	       1        0        1        0        0
60556 pypy-asn1crypto                    	       1        0        1        0        0
60557 pypy-simplejson                    	       1        0        1        0        0
60558 pypy-stem                          	       1        0        1        0        0
60559 pypy3-doc                          	       1        0        0        0        1
60560 pypy3-venv                         	       1        0        0        0        1
60561 pyqt4-dev-tools                    	       1        0        1        0        0
60562 pyro4                              	       1        0        0        0        1
60563 pyro4-doc                          	       1        0        0        0        1
60564 pysatellites                       	       1        0        1        0        0
60565 pysph-viewer                       	       1        0        1        0        0
60566 pysubs2                            	       1        0        1        0        0
60567 pytagsfs                           	       1        0        1        0        0
60568 pytest-benchmark                   	       1        0        1        0        0
60569 python-acoustid                    	       1        0        1        0        0
60570 python-aioeventlet                 	       1        0        1        0        0
60571 python-aiorpcx-doc                 	       1        0        0        0        1
60572 python-aiosqlite-doc               	       1        0        0        0        1
60573 python-alembic                     	       1        0        1        0        0
60574 python-amqp                        	       1        0        1        0        0
60575 python-amqp-doc                    	       1        0        0        0        1
60576 python-amqplib                     	       1        0        1        0        0
60577 python-ansible-runner-doc          	       1        0        0        0        1
60578 python-anyjson                     	       1        0        1        0        0
60579 python-anyqt-doc                   	       1        0        0        0        1
60580 python-aodh                        	       1        0        1        0        0
60581 python-aodhclient                  	       1        0        1        0        0
60582 python-apipkg                      	       1        0        1        0        0
60583 python-appdirs                     	       1        0        1        0        0
60584 python-application                 	       1        0        1        0        0
60585 python-apptools                    	       1        0        1        0        0
60586 python-apptools-doc                	       1        0        0        0        1
60587 python-apt-dev                     	       1        0        1        0        0
60588 python-aptdaemon-gtk               	       1        0        0        0        1
60589 python-aptdaemon.gtkwidgets        	       1        0        1        0        0
60590 python-ara-doc                     	       1        0        0        0        1
60591 python-argparse                    	       1        0        1        0        0
60592 python-artifacts                   	       1        0        1        0        0
60593 python-astrometry                  	       1        0        1        0        0
60594 python-astroplan-doc               	       1        0        0        0        1
60595 python-astropy                     	       1        0        1        0        0
60596 python-astropy-doc                 	       1        0        0        0        1
60597 python-astroquery-doc              	       1        0        0        0        1
60598 python-asyncssh-doc                	       1        0        0        0        1
60599 python-audioread                   	       1        0        1        0        0
60600 python-audit                       	       1        0        1        0        0
60601 python-augeas                      	       1        0        1        0        0
60602 python-automaton                   	       1        0        1        0        0
60603 python-avogadro                    	       1        0        1        0        0
60604 python-axiom                       	       1        0        1        0        0
60605 python-backports.os                	       1        0        1        0        0
60606 python-backports.ssl-match-hostname	       1        0        1        0        0
60607 python-barbicanclient              	       1        0        1        0        0
60608 python-bibtex                      	       1        0        1        0        0
60609 python-bibtexparser                	       1        0        1        0        0
60610 python-binplist                    	       1        1        0        0        0
60611 python-biplist                     	       1        0        1        0        0
60612 python-bitarray                    	       1        0        1        0        0
60613 python-bleach                      	       1        0        1        0        0
60614 python-bleach-doc                  	       1        0        0        0        1
60615 python-blosc-doc                   	       1        0        0        0        1
60616 python-bobo                        	       1        0        1        0        0
60617 python-bottle                      	       1        0        1        0        0
60618 python-brial                       	       1        0        1        0        0
60619 python-bugbuddy                    	       1        0        0        0        1
60620 python-bz2file                     	       1        0        1        0        0
60621 python-cached-property             	       1        0        1        0        0
60622 python-cachetools                  	       1        0        1        0        0
60623 python-cairo-dev                   	       1        0        1        0        0
60624 python-cairosvg                    	       1        0        1        0        0
60625 python-caldav                      	       1        0        1        0        0
60626 python-canmatrix                   	       1        0        1        0        0
60627 python-castellan                   	       1        0        1        0        0
60628 python-ceilometerclient            	       1        0        1        0        0
60629 python-celementtree                	       1        0        1        0        0
60630 python-celery-common               	       1        0        0        0        1
60631 python-ceph                        	       1        0        0        0        1
60632 python-certbot-dns-rfc2136-doc     	       1        0        0        0        1
60633 python-cfflib                      	       1        0        1        0        0
60634 python-chameleon                   	       1        0        1        0        0
60635 python-cinder                      	       1        0        1        0        0
60636 python-cinderclient                	       1        0        1        0        0
60637 python-cjson                       	       1        0        1        0        0
60638 python-clang                       	       1        0        0        0        1
60639 python-clang-8                     	       1        0        1        0        0
60640 python-click-plugins               	       1        0        1        0        0
60641 python-cliff                       	       1        0        1        0        0
60642 python-cliff-doc                   	       1        0        0        0        1
60643 python-cligj                       	       1        0        1        0        0
60644 python-cloudfiles                  	       1        0        1        0        0
60645 python-cmd2                        	       1        0        1        0        0
60646 python-coherence                   	       1        0        1        0        0
60647 python-comedilib                   	       1        0        1        0        0
60648 python-compizconfig                	       1        0        1        0        0
60649 python-congressclient              	       1        0        1        0        0
60650 python-contextlib2                 	       1        0        1        0        0
60651 python-cotyledon                   	       1        0        1        0        0
60652 python-cracklib                    	       1        0        1        0        0
60653 python-cropper-tk                  	       1        0        1        0        0
60654 python-csscompressor               	       1        0        1        0        0
60655 python-cssselect2-doc              	       1        0        0        0        1
60656 python-cupsutils                   	       1        0        0        0        1
60657 python-cursive                     	       1        0        1        0        0
60658 python-cvxopt                      	       1        0        1        0        0
60659 python-cvxopt-doc                  	       1        0        0        0        1
60660 python-cypari2                     	       1        0        1        0        0
60661 python-cysignals-pari              	       1        0        1        0        0
60662 python-dask-doc                    	       1        0        0        0        1
60663 python-dbus-dbg                    	       1        0        1        0        0
60664 python-debtagshw                   	       1        0        1        0        0
60665 python-demgengeo                   	       1        0        1        0        0
60666 python-demjson                     	       1        0        1        0        0
60667 python-designateclient             	       1        0        1        0        0
60668 python-dfdatetime                  	       1        0        1        0        0
60669 python-dfvfs                       	       1        0        1        0        0
60670 python-dfwinreg                    	       1        0        1        0        0
60671 python-diff-match-patch            	       1        0        1        0        0
60672 python-django-appconf              	       1        0        1        0        0
60673 python-django-babel                	       1        0        1        0        0
60674 python-django-compressor           	       1        0        1        0        0
60675 python-django-haystack-doc         	       1        0        0        0        1
60676 python-django-horizon              	       1        0        1        0        0
60677 python-django-openstack-auth       	       1        0        1        0        0
60678 python-django-pyscss               	       1        0        1        0        0
60679 python-django-wkhtmltopdf          	       1        0        1        0        0
60680 python-doc                         	       1        0        0        0        1
60681 python-doc8-doc                    	       1        0        0        0        1
60682 python-docker                      	       1        0        1        0        0
60683 python-dockerpty                   	       1        0        1        0        0
60684 python-docutils-build-deps         	       1        0        0        0        1
60685 python-dogpile.cache               	       1        0        1        0        0
60686 python-dsv                         	       1        0        1        0        0
60687 python-dtcwt-doc                   	       1        0        0        0        1
60688 python-dtfabric                    	       1        0        1        0        0
60689 python-dulwich                     	       1        0        1        0        0
60690 python-easyprocess                 	       1        0        1        0        0
60691 python-editor                      	       1        0        1        0        0
60692 python-efilter                     	       1        0        1        0        0
60693 python-egenix-mx-base-dbg          	       1        0        1        0        0
60694 python-elasticsearch               	       1        0        1        0        0
60695 python-envisage                    	       1        0        1        0        0
60696 python-ethtool                     	       1        0        1        0        0
60697 python-eventlet                    	       1        0        1        0        0
60698 python-eventlib                    	       1        0        1        0        0
60699 python-evolution                   	       1        0        1        0        0
60700 python-examples                    	       1        0        0        0        1
60701 python-execnet                     	       1        0        1        0        0
60702 python-fdsend                      	       1        0        1        0        0
60703 python-fiona                       	       1        0        1        0        0
60704 python-flask-autoindex             	       1        0        1        0        0
60705 python-flask-babel                 	       1        0        1        0        0
60706 python-flask-oldsessions           	       1        0        1        0        0
60707 python-flask-openid                	       1        0        1        0        0
60708 python-flask-restful-doc           	       1        0        0        0        1
60709 python-flask-silk                  	       1        0        1        0        0
60710 python-flup                        	       1        0        1        0        0
60711 python-fontforge                   	       1        0        1        0        0
60712 python-fonttools-doc               	       1        0        0        0        1
60713 python-foomatic                    	       1        0        1        0        0
60714 python-fpylll                      	       1        0        1        0        0
60715 python-fs                          	       1        0        1        0        0
60716 python-fsapfs                      	       1        0        1        0        0
60717 python-fsspec-doc                  	       1        0        0        0        1
60718 python-fstab                       	       1        0        0        0        1
60719 python-futurist                    	       1        0        1        0        0
60720 python-gamera                      	       1        0        1        0        0
60721 python-gammu-doc                   	       1        0        0        0        1
60722 python-gdbm-dbg                    	       1        0        1        0        0
60723 python-gflags                      	       1        0        1        0        0
60724 python-gi-dbg                      	       1        0        1        0        0
60725 python-git-doc                     	       1        0        0        0        1
60726 python-glade-1.2                   	       1        0        0        0        1
60727 python-glance                      	       1        0        1        0        0
60728 python-glance-store                	       1        0        1        0        0
60729 python-glanceclient                	       1        0        1        0        0
60730 python-gmenu                       	       1        0        1        0        0
60731 python-gmpy2-doc                   	       1        0        0        0        1
60732 python-gnocchiclient               	       1        0        1        0        0
60733 python-gnomedesktop                	       1        0        1        0        0
60734 python-gnuplot                     	       1        0        1        0        0
60735 python-gnutls                      	       1        0        1        0        0
60736 python-gobject-2-dev               	       1        0        1        0        0
60737 python-gobject-dbg                 	       1        0        0        0        1
60738 python-goocalendar                 	       1        0        1        0        0
60739 python-gpod                        	       1        0        1        0        0
60740 python-graphy                      	       1        0        1        0        0
60741 python-gtk-1.2                     	       1        0        1        0        0
60742 python-gtksourceview2              	       1        0        1        0        0
60743 python-gudev                       	       1        0        1        0        0
60744 python-gvgen                       	       1        1        0        0        0
60745 python-h5py                        	       1        0        1        0        0
60746 python-h5py-doc                    	       1        0        0        0        1
60747 python-hachoir-core                	       1        0        1        0        0
60748 python-hachoir-metadata            	       1        0        1        0        0
60749 python-hachoir-parser              	       1        0        1        0        0
60750 python-heat                        	       1        0        1        0        0
60751 python-heatclient                  	       1        0        1        0        0
60752 python-hippocanvas                 	       1        0        1        0        0
60753 python-hiredis                     	       1        1        0        0        0
60754 python-hl7                         	       1        0        1        0        0
60755 python-hp3parclient                	       1        0        1        0        0
60756 python-hypothesis-doc              	       1        0        0        0        1
60757 python-imageio-doc                 	       1        0        0        0        1
60758 python-imaging-doc-html            	       1        0        0        0        1
60759 python-imaplib2                    	       1        0        1        0        0
60760 python-imdbpy                      	       1        0        1        0        0
60761 python-imexam-doc                  	       1        0        0        0        1
60762 python-impacket                    	       1        0        1        0        0
60763 python-ipywidgets                  	       1        0        1        0        0
60764 python-ipywidgets-doc              	       1        0        0        0        1
60765 python-iso8601                     	       1        0        1        0        0
60766 python-jpylyzer-doc                	       1        0        0        0        1
60767 python-json-pointer                	       1        0        1        0        0
60768 python-jsonpatch                   	       1        0        1        0        0
60769 python-jsonschema-doc              	       1        0        0        0        1
60770 python-jupyter-console             	       1        0        1        0        0
60771 python-jupyter-core-doc            	       1        0        0        0        1
60772 python-kafka                       	       1        0        1        0        0
60773 python-kazoo                       	       1        0        1        0        0
60774 python-kerberos                    	       1        0        1        0        0
60775 python-keybinder                   	       1        0        1        0        0
60776 python-keyczar                     	       1        0        1        0        0
60777 python-keystone                    	       1        0        1        0        0
60778 python-keystoneauth1               	       1        0        1        0        0
60779 python-keystoneclient              	       1        0        1        0        0
60780 python-keystonemiddleware          	       1        0        1        0        0
60781 python-kid                         	       1        0        1        0        0
60782 python-kivy-examples               	       1        0        0        0        1
60783 python-kolab                       	       1        0        1        0        0
60784 python-kolabformat                 	       1        0        1        0        0
60785 python-kombu                       	       1        0        1        0        0
60786 python-l20n                        	       1        0        1        0        0
60787 python-laditools                   	       1        0        1        0        0
60788 python-langdetect                  	       1        0        1        0        0
60789 python-ldappool                    	       1        0        1        0        0
60790 python-ldaptor                     	       1        0        1        0        0
60791 python-ldtp                        	       1        0        1        0        0
60792 python-libbde                      	       1        0        1        0        0
60793 python-libdiscid-doc               	       1        0        0        0        1
60794 python-libesedb                    	       1        0        1        0        0
60795 python-libevt                      	       1        0        1        0        0
60796 python-libevtx                     	       1        0        1        0        0
60797 python-libewf                      	       1        0        1        0        0
60798 python-libfsntfs                   	       1        0        1        0        0
60799 python-libfvde                     	       1        0        1        0        0
60800 python-libfwnt                     	       1        0        1        0        0
60801 python-libfwsi                     	       1        0        1        0        0
60802 python-libhamlib2                  	       1        0        1        0        0
60803 python-liblnk                      	       1        0        1        0        0
60804 python-libmsiecf                   	       1        0        1        0        0
60805 python-libolecf                    	       1        0        1        0        0
60806 python-libpcap                     	       1        1        0        0        0
60807 python-libqcow                     	       1        0        1        0        0
60808 python-librdf                      	       1        0        1        0        0
60809 python-libregf                     	       1        0        1        0        0
60810 python-libscca                     	       1        0        1        0        0
60811 python-libsigscan                  	       1        0        1        0        0
60812 python-libsmbios                   	       1        1        0        0        0
60813 python-libsmdev                    	       1        0        1        0        0
60814 python-libsmraw                    	       1        0        1        0        0
60815 python-libsvm                      	       1        0        0        0        1
60816 python-libvhdi                     	       1        0        1        0        0
60817 python-libvmdk                     	       1        0        1        0        0
60818 python-libvshadow                  	       1        0        1        0        0
60819 python-libvslvm                    	       1        0        1        0        0
60820 python-lldb                        	       1        0        0        0        1
60821 python-lldb-7                      	       1        0        0        0        1
60822 python-llfuse-doc                  	       1        0        0        0        1
60823 python-lockfile-doc                	       1        0        0        0        1
60824 python-logilab-common              	       1        0        1        0        0
60825 python-logsparser                  	       1        1        0        0        0
60826 python-logutils                    	       1        0        1        0        0
60827 python-lunr-doc                    	       1        0        0        0        1
60828 python-m2r-doc                     	       1        0        0        0        1
60829 python-macaron                     	       1        1        0        0        0
60830 python-magnumclient                	       1        0        1        0        0
60831 python-manilaclient                	       1        0        1        0        0
60832 python-mapnik                      	       1        0        1        0        0
60833 python-mapscript                   	       1        0        1        0        0
60834 python-matplotlib2-doc             	       1        0        0        0        1
60835 python-md-toc-doc                  	       1        0        0        0        1
60836 python-mediainfodll                	       1        0        1        0        0
60837 python-microversion-parse          	       1        0        1        0        0
60838 python-migrate                     	       1        0        1        0        0
60839 python-milter-doc                  	       1        0        0        0        1
60840 python-minimock                    	       1        0        1        0        0
60841 python-mistralclient               	       1        0        1        0        0
60842 python-mistune                     	       1        0        1        0        0
60843 python-mode                        	       1        0        1        0        0
60844 python-modestmaps                  	       1        0        1        0        0
60845 python-monascaclient               	       1        0        1        0        0
60846 python-moovida                     	       1        0        0        0        1
60847 python-mpd-doc                     	       1        0        0        0        1
60848 python-mpi4py-doc                  	       1        0        0        0        1
60849 python-mrjob                       	       1        0        1        0        0
60850 python-msrplib                     	       1        0        1        0        0
60851 python-munch                       	       1        0        1        0        0
60852 python-murano                      	       1        0        1        0        0
60853 python-muranoclient                	       1        0        1        0        0
60854 python-mygpoclient                 	       1        1        0        0        0
60855 python-mysqldb-dbg                 	       1        0        1        0        0
60856 python-nautilus                    	       1        0        0        0        1
60857 python-nbconvert                   	       1        0        1        0        0
60858 python-nbconvert-doc               	       1        0        0        0        1
60859 python-nbformat                    	       1        0        1        0        0
60860 python-nbsphinx-doc                	       1        0        0        0        1
60861 python-nbxmpp-doc                  	       1        0        0        0        1
60862 python-netlib                      	       1        0        1        0        0
60863 python-networkx-doc                	       1        0        0        0        1
60864 python-neutron                     	       1        0        1        0        0
60865 python-neutron-fwaas               	       1        0        1        0        0
60866 python-neutron-lib                 	       1        0        1        0        0
60867 python-neutron-vpnaas              	       1        0        1        0        0
60868 python-neutronclient               	       1        0        1        0        0
60869 python-nibabel                     	       1        0        1        0        0
60870 python-nipy                        	       1        0        1        0        0
60871 python-nipy-lib                    	       1        0        1        0        0
60872 python-nipype                      	       1        0        1        0        0
60873 python-nitime                      	       1        0        1        0        0
60874 python-nltk                        	       1        0        1        0        0
60875 python-nose2-doc                   	       1        0        0        0        1
60876 python-notebook                    	       1        0        1        0        0
60877 python-notify2                     	       1        0        1        0        0
60878 python-notmuch                     	       1        0        1        0        0
60879 python-nova                        	       1        0        1        0        0
60880 python-novaclient                  	       1        0        1        0        0
60881 python-nwsclient                   	       1        1        0        0        0
60882 python-nwsserver                   	       1        1        0        0        0
60883 python-obexftp                     	       1        0        1        0        0
60884 python-odf                         	       1        0        1        0        0
60885 python-ooolib                      	       1        1        0        0        0
60886 python-openstackclient             	       1        0        1        0        0
60887 python-openstacksdk                	       1        0        1        0        0
60888 python-openvswitch                 	       1        0        1        0        0
60889 python-os-api-ref-common           	       1        0        0        0        1
60890 python-os-brick                    	       1        0        1        0        0
60891 python-os-client-config            	       1        0        1        0        0
60892 python-os-vif                      	       1        0        1        0        0
60893 python-os-win                      	       1        0        1        0        0
60894 python-osc-lib                     	       1        0        1        0        0
60895 python-oslo.cache                  	       1        0        1        0        0
60896 python-oslo.concurrency            	       1        0        1        0        0
60897 python-oslo.context                	       1        0        1        0        0
60898 python-oslo.db                     	       1        0        1        0        0
60899 python-oslo.log                    	       1        0        1        0        0
60900 python-oslo.messaging              	       1        0        1        0        0
60901 python-oslo.middleware             	       1        0        1        0        0
60902 python-oslo.policy                 	       1        0        1        0        0
60903 python-oslo.privsep                	       1        0        1        0        0
60904 python-oslo.reports                	       1        0        1        0        0
60905 python-oslo.rootwrap               	       1        0        1        0        0
60906 python-oslo.serialization          	       1        0        1        0        0
60907 python-oslo.service                	       1        0        1        0        0
60908 python-oslo.utils                  	       1        0        1        0        0
60909 python-oslo.versionedobjects       	       1        0        1        0        0
60910 python-oslo.vmware                 	       1        0        1        0        0
60911 python-oslosphinx-common           	       1        0        0        0        1
60912 python-osmgpsmap                   	       1        0        1        0        0
60913 python-osprofiler                  	       1        0        1        0        0
60914 python-otr                         	       1        0        1        0        0
60915 python-ow                          	       1        0        1        0        0
60916 python-pacparser                   	       1        0        1        0        0
60917 python-paho-mqtt                   	       1        0        1        0        0
60918 python-pampy                       	       1        0        1        0        0
60919 python-pandocfilters               	       1        0        1        0        0
60920 python-parallel                    	       1        0        1        0        0
60921 python-parse                       	       1        0        1        0        0
60922 python-parso                       	       1        0        1        0        0
60923 python-pastescript                 	       1        0        1        0        0
60924 python-pastescript-doc             	       1        0        0        0        1
60925 python-path                        	       1        0        1        0        0
60926 python-patsy                       	       1        0        1        0        0
60927 python-patsy-doc                   	       1        0        0        0        1
60928 python-pcapy                       	       1        0        1        0        0
60929 python-pdfkit                      	       1        0        1        0        0
60930 python-pdfrw-doc                   	       1        0        0        0        1
60931 python-pdftools                    	       1        0        1        0        0
60932 python-pecan                       	       1        0        1        0        0
60933 python-pefile                      	       1        0        1        0        0
60934 python-petsc4py-doc                	       1        0        1        0        0
60935 python-pgm                         	       1        0        1        0        0
60936 python-pgpdump                     	       1        0        1        0        0
60937 python-phoneutils                  	       1        0        1        0        0
60938 python-pika                        	       1        0        1        0        0
60939 python-pika-pool                   	       1        0        1        0        0
60940 python-pil-dbg                     	       1        0        1        0        0
60941 python-pilkit                      	       1        0        1        0        0
60942 python-pint                        	       1        0        1        0        0
60943 python-plastex                     	       1        0        1        0        0
60944 python-png                         	       1        0        1        0        0
60945 python-podcastparser-doc           	       1        0        0        0        1
60946 python-poppler                     	       1        0        1        0        0
60947 python-positional                  	       1        0        1        0        0
60948 python-posix-ipc                   	       1        0        1        0        0
60949 python-potr                        	       1        0        1        0        0
60950 python-powerline                   	       1        0        1        0        0
60951 python-progressbar                 	       1        1        0        0        0
60952 python-prometheus-client           	       1        0        1        0        0
60953 python-prov                        	       1        0        1        0        0
60954 python-psyco-doc                   	       1        0        0        0        1
60955 python-pycadf                      	       1        0        1        0        0
60956 python-pycalendar                  	       1        0        1        0        0
60957 python-pychart                     	       1        0        1        0        0
60958 python-pychromecast                	       1        0        1        0        0
60959 python-pycryptopp                  	       1        0        1        0        0
60960 python-pycurl-dbg                  	       1        0        1        0        0
60961 python-pydbus-doc                  	       1        0        0        0        1
60962 python-pydhcplib                   	       1        1        0        0        0
60963 python-pydl-doc                    	       1        0        0        0        1
60964 python-pyftpdlib                   	       1        0        1        0        0
60965 python-pygame-sdl2                 	       1        0        1        0        0
60966 python-pygit2-doc                  	       1        0        0        0        1
60967 python-pygooglechart               	       1        0        1        0        0
60968 python-pygresql                    	       1        0        1        0        0
60969 python-pyhsm                       	       1        0        1        0        0
60970 python-pyisomd5sum                 	       1        0        1        0        0
60971 python-pyjavaproperties            	       1        0        1        0        0
60972 python-pykaraoke                   	       1        0        1        0        0
60973 python-pykka                       	       1        0        1        0        0
60974 python-pylirc                      	       1        0        1        0        0
60975 python-pymemcache                  	       1        0        1        0        0
60976 python-pymetar                     	       1        1        0        0        0
60977 python-pymtp                       	       1        0        1        0        0
60978 python-pymysql-doc                 	       1        0        0        0        1
60979 python-pyogg                       	       1        0        0        0        1
60980 python-pypdf                       	       1        0        1        0        0
60981 python-pyqrcode                    	       1        0        1        0        0
60982 python-pyqt5-dbg                   	       1        0        1        0        0
60983 python-pyqt5.qtmultimedia          	       1        0        1        0        0
60984 python-pyqt5.qtopengl              	       1        0        1        0        0
60985 python-pyqt5.qtserialport          	       1        0        1        0        0
60986 python-pyqtgraph                   	       1        0        1        0        0
60987 python-pyqtgraph-doc               	       1        0        0        0        1
60988 python-pyquery                     	       1        0        1        0        0
60989 python-pyramid                     	       1        0        1        0        0
60990 python-pyramid-beaker              	       1        0        1        0        0
60991 python-pyregion-doc                	       1        0        0        0        1
60992 python-pyroute2                    	       1        0        1        0        0
60993 python-pysaml2                     	       1        0        1        0        0
60994 python-pyscss                      	       1        0        1        0        0
60995 python-pyshp                       	       1        0        1        0        0
60996 python-pyside2-doc                 	       1        0        1        0        0
60997 python-pysnmp4-doc                 	       1        0        0        0        1
60998 python-pysolr                      	       1        0        1        0        0
60999 python-pysqlite2-dbg               	       1        0        1        0        0
61000 python-pysqlite2-doc               	       1        0        0        0        1
61001 python-pytest-forked               	       1        0        1        0        0
61002 python-pytest-trio-doc             	       1        0        0        0        1
61003 python-pytest-xdist                	       1        0        1        0        0
61004 python-pytestqt-doc                	       1        0        0        0        1
61005 python-pytools-doc                 	       1        0        0        0        1
61006 python-pyvirtualdisplay            	       1        0        1        0        0
61007 python-qt-binding                  	       1        0        1        0        0
61008 python-qt3-doc                     	       1        0        1        0        0
61009 python-qt4-dbg                     	       1        0        1        0        0
61010 python-qt4-dev                     	       1        0        0        0        1
61011 python-qt4reactor                  	       1        0        1        0        0
61012 python-qtawesome                   	       1        0        1        0        0
61013 python-qtawesome-doc               	       1        0        0        0        1
61014 python-qtpy                        	       1        0        1        0        0
61015 python-radix                       	       1        1        0        0        0
61016 python-rbtools                     	       1        0        1        0        0
61017 python-rcssmin                     	       1        0        1        0        0
61018 python-repoze.who                  	       1        0        1        0        0
61019 python-requests-kerberos           	       1        0        1        0        0
61020 python-requestsexceptions          	       1        0        1        0        0
61021 python-retrying                    	       1        0        1        0        0
61022 python-rjsmin                      	       1        0        1        0        0
61023 python-ropemacs                    	       1        0        1        0        0
61024 python-rpm                         	       1        0        1        0        0
61025 python-rrdtool                     	       1        1        0        0        0
61026 python-rtslib-fb                   	       1        0        1        0        0
61027 python-ryu                         	       1        0        1        0        0
61028 python-sagenb                      	       1        0        1        0        0
61029 python-sagenb-export               	       1        0        1        0        0
61030 python-sagetex                     	       1        0        1        0        0
61031 python-saharaclient                	       1        0        1        0        0
61032 python-scapy                       	       1        0        1        0        0
61033 python-scientific-doc              	       1        0        0        0        1
61034 python-sclapp                      	       1        0        1        0        0
61035 python-scp                         	       1        0        1        0        0
61036 python-scrapy-doc                  	       1        0        0        0        1
61037 python-seaborn                     	       1        0        1        0        0
61038 python-seafile                     	       1        0        1        0        0
61039 python-searpc                      	       1        0        1        0        0
61040 python-semantic-version            	       1        0        1        0        0
61041 python-semantic-version-doc        	       1        0        0        0        1
61042 python-send2trash                  	       1        0        1        0        0
61043 python-senlinclient                	       1        0        1        0        0
61044 python-sepolicy                    	       1        0        1        0        0
61045 python-setuptools-scm              	       1        0        1        0        0
61046 python-sh                          	       1        0        1        0        0
61047 python-simplebayes-doc             	       1        0        0        0        1
61048 python-simpy-gui                   	       1        0        1        0        0
61049 python-sip-tqt                     	       1        0        1        0        0
61050 python-sipsimple                   	       1        0        1        0        0
61051 python-skimage-doc                 	       1        0        0        0        1
61052 python-snowballstemmer             	       1        0        1        0        0
61053 python-sourcecodegen               	       1        0        1        0        0
61054 python-soya-doc                    	       1        0        0        0        1
61055 python-sparqlwrapper               	       1        0        1        0        0
61056 python-sphinx-gallery-doc          	       1        0        0        0        1
61057 python-sphinxcontrib.bibtex-doc    	       1        0        0        0        1
61058 python-sphinxcontrib.programoutput-doc	       1        0        0        0        1
61059 python-sphinxcontrib.spelling-doc  	       1        0        0        0        1
61060 python-sqlitecachec                	       1        0        1        0        0
61061 python-statistics                  	       1        0        1        0        0
61062 python-statsmodels-doc             	       1        0        0        0        1
61063 python-stdnum                      	       1        0        1        0        0
61064 python-stemmer                     	       1        0        1        0        0
61065 python-superqt-doc                 	       1        0        0        0        1
61066 python-surfer                      	       1        0        1        0        0
61067 python-swiftclient                 	       1        0        1        0        0
61068 python-systemd                     	       1        1        0        0        0
61069 python-tablib                      	       1        0        1        0        0
61070 python-taskflow                    	       1        0        1        0        0
61071 python-tegaki                      	       1        0        1        0        0
61072 python-tegaki-gtk                  	       1        0        1        0        0
61073 python-termcolor                   	       1        0        1        0        0
61074 python-terminado                   	       1        0        1        0        0
61075 python-testpath                    	       1        0        1        0        0
61076 python-testresources               	       1        0        1        0        0
61077 python-texttable                   	       1        0        1        0        0
61078 python-tidylib                     	       1        0        1        0        0
61079 python-tk-dbg                      	       1        0        1        0        0
61080 python-tksnack                     	       1        0        1        0        0
61081 python-tktreectrl-doc              	       1        0        0        0        1
61082 python-tofu                        	       1        0        1        0        0
61083 python-tooz                        	       1        0        1        0        0
61084 python-tqt                         	       1        0        1        0        0
61085 python-translate                   	       1        0        1        0        0
61086 python-translationstring           	       1        0        1        0        0
61087 python-trie                        	       1        0        1        0        0
61088 python-trie-doc                    	       1        0        0        0        1
61089 python-trinity-trinity             	       1        0        1        0        0
61090 python-tripleo-heat-templates      	       1        0        1        0        0
61091 python-trml2pdf                    	       1        0        1        0        0
61092 python-troveclient                 	       1        0        1        0        0
61093 python-tsk                         	       1        0        1        0        0
61094 python-twill                       	       1        0        1        0        0
61095 python-twisted-bin-dbg             	       1        0        1        0        0
61096 python-txaio-doc                   	       1        0        0        0        1
61097 python-tzlocal                     	       1        0        1        0        0
61098 python-u-msgpack                   	       1        0        1        0        0
61099 python-ubjson                      	       1        0        1        0        0
61100 python-ubuntutools                 	       1        0        1        0        0
61101 python-unidecode                   	       1        0        1        0        0
61102 python-uno                         	       1        1        0        0        0
61103 python-unrardll                    	       1        0        1        0        0
61104 python-vatnumber                   	       1        0        0        0        1
61105 python-vcversioner                 	       1        0        1        0        0
61106 python-venusian                    	       1        0        1        0        0
61107 python-voluptuous                  	       1        0        1        0        0
61108 python-waitress-doc                	       1        0        0        0        1
61109 python-warlock                     	       1        0        1        0        0
61110 python-webdav                      	       1        0        1        0        0
61111 python-webkit                      	       1        0        1        0        0
61112 python-websocket                   	       1        0        1        0        0
61113 python-widgetsnbextension          	       1        0        1        0        0
61114 python-wimpiggy                    	       1        0        1        0        0
61115 python-wsaccel                     	       1        0        1        0        0
61116 python-wsgi-intercept              	       1        0        1        0        0
61117 python-wsme                        	       1        0        1        0        0
61118 python-wxgtk-media3.0              	       1        0        0        0        1
61119 python-wxgtk-media4.0              	       1        0        0        0        1
61120 python-wxgtk-webview3.0            	       1        0        0        0        1
61121 python-wxgtk-webview4.0            	       1        0        0        0        1
61122 python-wxgtk3.0-dev                	       1        0        1        0        0
61123 python-wxgtk4.0                    	       1        0        0        0        1
61124 python-xapp                        	       1        0        1        0        0
61125 python-xcaplib                     	       1        0        1        0        0
61126 python-xkcd-doc                    	       1        0        0        0        1
61127 python-xkit                        	       1        0        1        0        0
61128 python-xklavier                    	       1        0        1        0        0
61129 python-xmltodict                   	       1        0        1        0        0
61130 python-xstatic                     	       1        0        1        0        0
61131 python-xstatic-angular             	       1        0        1        0        0
61132 python-xstatic-angular-bootstrap   	       1        0        1        0        0
61133 python-xstatic-angular-fileupload  	       1        0        1        0        0
61134 python-xstatic-angular-gettext     	       1        0        1        0        0
61135 python-xstatic-angular-lrdragndrop 	       1        0        1        0        0
61136 python-xstatic-angular-schema-form 	       1        0        1        0        0
61137 python-xstatic-bootstrap-datepicker	       1        0        1        0        0
61138 python-xstatic-bootstrap-scss      	       1        0        1        0        0
61139 python-xstatic-bootswatch          	       1        0        1        0        0
61140 python-xstatic-d3                  	       1        0        1        0        0
61141 python-xstatic-font-awesome        	       1        0        1        0        0
61142 python-xstatic-hogan               	       1        0        1        0        0
61143 python-xstatic-jasmine             	       1        0        1        0        0
61144 python-xstatic-jquery              	       1        0        1        0        0
61145 python-xstatic-jquery-migrate      	       1        0        1        0        0
61146 python-xstatic-jquery-ui           	       1        0        1        0        0
61147 python-xstatic-jquery.quicksearch  	       1        0        1        0        0
61148 python-xstatic-jquery.tablesorter  	       1        0        1        0        0
61149 python-xstatic-jsencrypt           	       1        0        1        0        0
61150 python-xstatic-magic-search        	       1        0        1        0        0
61151 python-xstatic-mdi                 	       1        0        1        0        0
61152 python-xstatic-objectpath          	       1        0        1        0        0
61153 python-xstatic-rickshaw            	       1        0        1        0        0
61154 python-xstatic-roboto-fontface     	       1        0        1        0        0
61155 python-xstatic-smart-table         	       1        0        1        0        0
61156 python-xstatic-spin                	       1        0        1        0        0
61157 python-xstatic-term.js             	       1        0        1        0        0
61158 python-xstatic-tv4                 	       1        0        1        0        0
61159 python-yaql                        	       1        0        1        0        0
61160 python-yubico                      	       1        0        1        0        0
61161 python-zake                        	       1        0        1        0        0
61162 python-zaqarclient                 	       1        0        1        0        0
61163 python-zconfig                     	       1        0        1        0        0
61164 python-zdaemon                     	       1        0        1        0        0
61165 python-zinnia                      	       1        0        1        0        0
61166 python-zope.browser                	       1        1        0        0        0
61167 python-zope.configuration          	       1        1        0        0        0
61168 python-zope.contenttype            	       1        1        0        0        0
61169 python-zope.deprecation            	       1        1        0        0        0
61170 python-zope.exceptions             	       1        1        0        0        0
61171 python-zope.i18n                   	       1        1        0        0        0
61172 python-zope.i18nmessageid          	       1        1        0        0        0
61173 python-zope.interface-dbg          	       1        0        1        0        0
61174 python-zope.location               	       1        1        0        0        0
61175 python-zope.proxy                  	       1        1        0        0        0
61176 python-zope.publisher              	       1        1        0        0        0
61177 python-zope.schema                 	       1        1        0        0        0
61178 python-zope.security               	       1        1        0        0        0
61179 python-zope.testbrowser            	       1        1        0        0        0
61180 python-zsi                         	       1        0        1        0        0
61181 python2.2                          	       1        0        1        0        0
61182 python2.4                          	       1        0        1        0        0
61183 python2.4-dev                      	       1        0        1        0        0
61184 python2.4-doc                      	       1        0        0        0        1
61185 python2.5-dev                      	       1        0        1        0        0
61186 python2.6-dbg                      	       1        0        1        0        0
61187 python2.6-dev                      	       1        0        1        0        0
61188 python3-acdcli                     	       1        0        1        0        0
61189 python3-aiodogstatsd               	       1        0        1        0        0
61190 python3-aiohttp-mako               	       1        0        1        0        0
61191 python3-aiohttp-retry              	       1        0        1        0        0
61192 python3-aiohttp-session            	       1        0        1        0        0
61193 python3-aioquic                    	       1        0        1        0        0
61194 python3-ament-lint                 	       1        0        1        0        0
61195 python3-ament-xmllint              	       1        0        1        0        0
61196 python3-amqplib                    	       1        0        1        0        0
61197 python3-ansible-pygments           	       1        0        1        0        0
61198 python3-ansible-runner             	       1        0        1        0        0
61199 python3-anyqt                      	       1        0        1        0        0
61200 python3-apipkg                     	       1        0        1        0        0
61201 python3-aptly                      	       1        0        1        0        0
61202 python3-apycula                    	       1        0        1        0        0
61203 python3-arrayfire                  	       1        0        1        0        0
61204 python3-asyncclick                 	       1        0        1        0        0
61205 python3-asyncssh                   	       1        0        0        1        0
61206 python3-authheaders                	       1        0        1        0        0
61207 python3-authlib                    	       1        1        0        0        0
61208 python3-azure-cosmosdb-table       	       1        0        1        0        0
61209 python3-azure-devtools             	       1        0        1        0        0
61210 python3-azure-kusto-data           	       1        0        1        0        0
61211 python3-b2sdk                      	       1        0        1        0        0
61212 python3-barbicanclient             	       1        0        1        0        0
61213 python3-basix                      	       1        0        1        0        0
61214 python3-beancount                  	       1        0        1        0        0
61215 python3-behave                     	       1        0        1        0        0
61216 python3-biplist                    	       1        0        1        0        0
61217 python3-bitmath                    	       1        0        1        0        0
61218 python3-bitstruct                  	       1        0        1        0        0
61219 python3-blockdev                   	       1        0        1        0        0
61220 python3-blurhash                   	       1        0        1        0        0
61221 python3-bond                       	       1        0        1        0        0
61222 python3-bondpy                     	       1        0        1        0        0
61223 python3-bottle-sqlite              	       1        0        1        0        0
61224 python3-box                        	       1        0        1        0        0
61225 python3-breezy-dbgsym              	       1        0        1        0        0
61226 python3-brian                      	       1        0        1        0        0
61227 python3-brian-lib                  	       1        0        1        0        0
61228 python3-btrfsutil                  	       1        0        1        0        0
61229 python3-buildbot-doc               	       1        0        0        0        1
61230 python3-buildlog-consultant        	       1        0        1        0        0
61231 python3-cairo-doc                  	       1        0        0        0        1
61232 python3-calmjs                     	       1        0        1        0        0
61233 python3-calmjs.parse               	       1        0        1        0        0
61234 python3-calmjs.types               	       1        0        1        0        0
61235 python3-can                        	       1        0        1        0        0
61236 python3-carquinyol                 	       1        0        1        0        0
61237 python3-castellan                  	       1        0        1        0        0
61238 python3-catalogue                  	       1        0        1        0        0
61239 python3-certbot-dns-dnsimple       	       1        0        1        0        0
61240 python3-certbot-dns-standalone     	       1        0        1        0        0
61241 python3-certipy                    	       1        0        1        0        0
61242 python3-chameleon                  	       1        0        1        0        0
61243 python3-characteristic             	       1        0        1        0        0
61244 python3-ci-info                    	       1        0        1        0        0
61245 python3-citeproc                   	       1        0        0        1        0
61246 python3-clang-11                   	       1        0        1        0        0
61247 python3-clevercsv                  	       1        0        1        0        0
61248 python3-cloudscraper               	       1        0        1        0        0
61249 python3-colcon-argcomplete         	       1        0        0        1        0
61250 python3-colcon-bash                	       1        0        0        1        0
61251 python3-colcon-cd                  	       1        0        0        1        0
61252 python3-colcon-cmake               	       1        0        0        1        0
61253 python3-colcon-core                	       1        0        0        1        0
61254 python3-colcon-defaults            	       1        0        0        1        0
61255 python3-colcon-devtools            	       1        0        0        1        0
61256 python3-colcon-library-path        	       1        0        0        1        0
61257 python3-colcon-metadata            	       1        0        0        1        0
61258 python3-colcon-notification        	       1        0        0        1        0
61259 python3-colcon-output              	       1        0        0        1        0
61260 python3-colcon-package-information 	       1        0        0        1        0
61261 python3-colcon-package-selection   	       1        0        0        1        0
61262 python3-colcon-parallel-executor   	       1        0        0        1        0
61263 python3-colcon-pkg-config          	       1        0        0        1        0
61264 python3-colcon-python-setup-py     	       1        0        0        1        0
61265 python3-colcon-recursive-crawl     	       1        0        0        1        0
61266 python3-colcon-ros                 	       1        0        0        1        0
61267 python3-colcon-test-result         	       1        0        0        1        0
61268 python3-colcon-zsh                 	       1        0        0        1        0
61269 python3-colored                    	       1        0        1        0        0
61270 python3-colors                     	       1        0        1        0        0
61271 python3-commonmark-bkrs            	       1        0        1        0        0
61272 python3-compreffor                 	       1        0        1        0        0
61273 python3-conda-package-streaming    	       1        0        1        0        0
61274 python3-confection                 	       1        0        1        0        0
61275 python3-confget                    	       1        0        1        0        0
61276 python3-configshell-fb             	       1        0        1        0        0
61277 python3-connection-pool            	       1        0        1        0        0
61278 python3-construct-classes          	       1        0        1        0        0
61279 python3-cotyledon                  	       1        0        1        0        0
61280 python3-crc32c                     	       1        0        0        1        0
61281 python3-crcelk                     	       1        0        1        0        0
61282 python3-crypto-dbg                 	       1        0        1        0        0
61283 python3-cs                         	       1        0        1        0        0
61284 python3-csa                        	       1        0        1        0        0
61285 python3-csdr                       	       1        0        1        0        0
61286 python3-ctdopts                    	       1        0        1        0        0
61287 python3-cu2qu                      	       1        0        1        0        0
61288 python3-cursive                    	       1        0        1        0        0
61289 python3-cxx-dev                    	       1        0        1        0        0
61290 python3-cymem                      	       1        0        1        0        0
61291 python3-cython-blis                	       1        0        1        0        0
61292 python3-daphne                     	       1        0        1        0        0
61293 python3-dasbus                     	       1        0        1        0        0
61294 python3-dask-sphinx-theme          	       1        0        1        0        0
61295 python3-databases                  	       1        0        1        0        0
61296 python3-datacache                  	       1        0        1        0        0
61297 python3-dbf                        	       1        0        1        0        0
61298 python3-dbus.mainloop.qt           	       1        0        1        0        0
61299 python3-dcmstack                   	       1        0        1        0        0
61300 python3-ddt                        	       1        0        1        0        0
61301 python3-debtagshw                  	       1        0        1        0        0
61302 python3-deepdiff                   	       1        0        1        0        0
61303 python3-dendropy                   	       1        0        1        0        0
61304 python3-designateclient            	       1        0        1        0        0
61305 python3-devedeng                   	       1        0        1        0        0
61306 python3-devpi-common               	       1        0        1        0        0
61307 python3-diagnostic-msgs            	       1        0        1        0        0
61308 python3-diagrams                   	       1        0        1        0        0
61309 python3-digiham                    	       1        0        1        0        0
61310 python3-dijitso                    	       1        0        1        0        0
61311 python3-dipy                       	       1        0        1        0        0
61312 python3-dipy-lib                   	       1        0        1        0        0
61313 python3-dirspec                    	       1        0        1        0        0
61314 python3-discogs-client             	       1        0        1        0        0
61315 python3-diskcache                  	       1        0        1        0        0
61316 python3-diskimage-builder          	       1        0        1        0        0
61317 python3-django-auth-ldap           	       1        0        1        0        0
61318 python3-django-cas-server          	       1        0        1        0        0
61319 python3-django-hvad                	       1        0        1        0        0
61320 python3-django-js-reverse          	       1        0        1        0        0
61321 python3-django-maintenance-mode    	       1        0        1        0        0
61322 python3-django-sass-processor      	       1        0        1        0        0
61323 python3-django-wkhtmltopdf         	       1        0        1        0        0
61324 python3-dnaio                      	       1        0        1        0        0
61325 python3-doc8                       	       1        0        1        0        0
61326 python3-docs-theme                 	       1        0        1        0        0
61327 python3-docx                       	       1        0        1        0        0
61328 python3-dolfinx                    	       1        0        1        0        0
61329 python3-dolfinx-real               	       1        0        0        0        1
61330 python3-donfig                     	       1        0        1        0        0
61331 python3-dotenv-cli                 	       1        0        1        0        0
61332 python3-drizzle                    	       1        0        1        0        0
61333 python3-drslib                     	       1        0        1        0        0
61334 python3-duniterpy                  	       1        0        1        0        0
61335 python3-dvdvideo                   	       1        0        1        0        0
61336 python3-dynamic-reconfigure        	       1        0        1        0        0
61337 python3-easydict                   	       1        0        1        0        0
61338 python3-easysnmp                   	       1        0        1        0        0
61339 python3-echo                       	       1        0        1        0        0
61340 python3-ecmwflibs                  	       1        0        1        0        0
61341 python3-editables                  	       1        0        1        0        0
61342 python3-edlib                      	       1        0        1        0        0
61343 python3-einsteinpy                 	       1        0        1        0        0
61344 python3-elasticsearch-curator      	       1        0        1        0        0
61345 python3-escript                    	       1        1        0        0        0
61346 python3-espeak                     	       1        0        1        0        0
61347 python3-etelemetry                 	       1        0        1        0        0
61348 python3-etesync                    	       1        0        1        0        0
61349 python3-ethtool                    	       1        0        1        0        0
61350 python3-evtx                       	       1        0        1        0        0
61351 python3-exactimage                 	       1        0        1        0        0
61352 python3-expecttest                 	       1        0        1        0        0
61353 python3-expeyes                    	       1        0        1        0        0
61354 python3-expiringdict               	       1        0        1        0        0
61355 python3-extractor                  	       1        0        1        0        0
61356 python3-fabio                      	       1        0        1        0        0
61357 python3-fake-factory               	       1        0        1        0        0
61358 python3-fann2                      	       1        0        1        0        0
61359 python3-fast-histogram             	       1        0        1        0        0
61360 python3-ffc                        	       1        0        1        0        0
61361 python3-ffcx                       	       1        0        1        0        0
61362 python3-fhs                        	       1        0        1        0        0
61363 python3-fhs-doc                    	       1        0        0        0        1
61364 python3-fiat                       	       1        0        1        0        0
61365 python3-findlibs                   	       1        0        1        0        0
61366 python3-fire                       	       1        0        1        0        0
61367 python3-firebase-messaging         	       1        0        1        0        0
61368 python3-flake8-black               	       1        0        1        0        0
61369 python3-flaky                      	       1        0        1        0        0
61370 python3-flask-compress             	       1        0        1        0        0
61371 python3-flask-login                	       1        0        1        0        0
61372 python3-flexcache                  	       1        0        1        0        0
61373 python3-flexparser                 	       1        0        1        0        0
61374 python3-fltk                       	       1        0        1        0        0
61375 python3-fltk-doc                   	       1        0        0        0        1
61376 python3-fpdf                       	       1        0        1        0        0
61377 python3-freeipa                    	       1        0        1        0        0
61378 python3-fritzconnection            	       1        0        1        0        0
61379 python3-ftdi                       	       1        0        1        0        0
61380 python3-ftdi-doc                   	       1        0        0        0        1
61381 python3-ftputil                    	       1        0        1        0        0
61382 python3-furl                       	       1        0        1        0        0
61383 python3-galpy                      	       1        0        1        0        0
61384 python3-gammapy                    	       1        0        1        0        0
61385 python3-ganeti-rapi                	       1        0        1        0        0
61386 python3-gdl                        	       1        0        1        0        0
61387 python3-genetic                    	       1        0        1        0        0
61388 python3-geoip2                     	       1        0        1        0        0
61389 python3-germinate                  	       1        1        0        0        0
61390 python3-gerritlib                  	       1        0        1        0        0
61391 python3-getdns                     	       1        0        1        0        0
61392 python3-gflags                     	       1        0        1        0        0
61393 python3-gimmik                     	       1        0        1        0        0
61394 python3-ginga                      	       1        0        1        0        0
61395 python3-git-os-job                 	       1        0        1        0        0
61396 python3-git-repo-updater           	       1        0        1        0        0
61397 python3-gjson                      	       1        0        1        0        0
61398 python3-glad                       	       1        0        1        0        0
61399 python3-gleetex                    	       1        0        1        0        0
61400 python3-glue                       	       1        0        1        0        0
61401 python3-gnucash-dbgsym             	       1        0        1        0        0
61402 python3-gnuplot                    	       1        0        1        0        0
61403 python3-google-api-core            	       1        0        1        0        0
61404 python3-googleapis-common-protos   	       1        0        1        0        0
61405 python3-gphoto2cffi                	       1        0        1        0        0
61406 python3-graph-tool                 	       1        0        1        0        0
61407 python3-graphql-core               	       1        0        1        0        0
61408 python3-gsw                        	       1        0        1        0        0
61409 python3-gtfparse                   	       1        0        1        0        0
61410 python3-gv                         	       1        0        1        0        0
61411 python3-gvm                        	       1        0        1        0        0
61412 python3-gwebsockets                	       1        0        1        0        0
61413 python3-gyoto                      	       1        0        1        0        0
61414 python3-hdf-compass                	       1        0        1        0        0
61415 python3-heatclient                 	       1        0        1        0        0
61416 python3-hgapi                      	       1        0        1        0        0
61417 python3-hglib                      	       1        0        1        0        0
61418 python3-hips                       	       1        0        1        0        0
61419 python3-hisat2                     	       1        0        1        0        0
61420 python3-html5rdf                   	       1        0        1        0        0
61421 python3-htmlmin                    	       1        0        1        0        0
61422 python3-htseq                      	       1        0        1        0        0
61423 python3-httmock                    	       1        0        1        0        0
61424 python3-http-ece                   	       1        0        1        0        0
61425 python3-hunspell                   	       1        0        1        0        0
61426 python3-iapws                      	       1        0        1        0        0
61427 python3-icmplib                    	       1        0        1        0        0
61428 python3-igraph                     	       1        0        1        0        0
61429 python3-ilorest                    	       1        0        1        0        0
61430 python3-iminuit                    	       1        0        1        0        0
61431 python3-inject                     	       1        0        1        0        0
61432 python3-ipaddr                     	       1        0        1        0        0
61433 python3-ipahealthcheck-core        	       1        0        1        0        0
61434 python3-irodsclient                	       1        0        1        0        0
61435 python3-isc-dhcp-leases            	       1        0        1        0        0
61436 python3-itemadapter                	       1        0        1        0        0
61437 python3-itemloaders                	       1        0        1        0        0
61438 python3-jarabe                     	       1        0        1        0        0
61439 python3-jose                       	       1        0        1        0        0
61440 python3-js8py                      	       1        0        1        0        0
61441 python3-jschema-to-python          	       1        0        1        0        0
61442 python3-jsonext                    	       1        0        1        0        0
61443 python3-jsonhyperschema-codec      	       1        0        1        0        0
61444 python3-jsonnet                    	       1        0        1        0        0
61445 python3-jsonpath-rw-ext            	       1        0        1        0        0
61446 python3-jsonrpc                    	       1        0        1        0        0
61447 python3-jupyter-telemetry          	       1        0        1        0        0
61448 python3-jupyterlab-widgets         	       1        0        0        1        0
61449 python3-k8sclient                  	       1        0        1        0        0
61450 python3-kanboard                   	       1        0        1        0        0
61451 python3-kanjidraw                  	       1        0        1        0        0
61452 python3-keepalive                  	       1        0        1        0        0
61453 python3-keras                      	       1        0        1        0        0
61454 python3-keyring-pass               	       1        1        0        0        0
61455 python3-kineticstools              	       1        0        1        0        0
61456 python3-kismetcapturefreaklabszigbee	       1        0        1        0        0
61457 python3-kismetcapturertl433        	       1        0        1        0        0
61458 python3-kismetcapturertladsb       	       1        0        1        0        0
61459 python3-kismetcapturertlamr        	       1        0        1        0        0
61460 python3-kiss-headers               	       1        0        1        0        0
61461 python3-klaus                      	       1        0        1        0        0
61462 python3-kopano                     	       1        1        0        0        0
61463 python3-kopano-search              	       1        1        0        0        0
61464 python3-kopano-utils               	       1        1        0        0        0
61465 python3-kytos-sphinx-theme         	       1        0        1        0        0
61466 python3-labgrid                    	       1        0        1        0        0
61467 python3-langtable                  	       1        0        1        0        0
61468 python3-lasagne                    	       1        0        1        0        0
61469 python3-libcamera                  	       1        0        0        1        0
61470 python3-libcegui-mk2-0.8.7         	       1        0        0        0        1
61471 python3-libfdt                     	       1        0        1        0        0
61472 python3-libgpiod                   	       1        0        1        0        0
61473 python3-libnacl                    	       1        0        1        0        0
61474 python3-libpulse                   	       1        0        1        0        0
61475 python3-librdf                     	       1        0        1        0        0
61476 python3-librouteros                	       1        0        1        0        0
61477 python3-libtiff                    	       1        0        1        0        0
61478 python3-libusb1                    	       1        0        0        0        1
61479 python3-lldb                       	       1        0        0        0        1
61480 python3-lldb-15                    	       1        0        0        0        1
61481 python3-lmdb                       	       1        0        1        0        0
61482 python3-lmfit                      	       1        0        1        0        0
61483 python3-logilab-constraint         	       1        0        1        0        0
61484 python3-lxml-dbg                   	       1        0        1        0        0
61485 python3-lzstring                   	       1        0        1        0        0
61486 python3-magcode-core               	       1        0        1        0        0
61487 python3-maison                     	       1        0        1        0        0
61488 python3-mando                      	       1        0        1        0        0
61489 python3-manimpango                 	       1        0        1        0        0
61490 python3-map-msgs                   	       1        0        1        0        0
61491 python3-mapbox-earcut              	       1        0        0        1        0
61492 python3-mapi                       	       1        1        0        0        0
61493 python3-mariadb-connector          	       1        0        0        1        0
61494 python3-mathgl                     	       1        0        1        0        0
61495 python3-matplotlib-venn            	       1        0        1        0        0
61496 python3-maxminddb                  	       1        0        1        0        0
61497 python3-mechanicalsoup             	       1        0        1        0        0
61498 python3-meld3                      	       1        0        1        0        0
61499 python3-memoized-property          	       1        0        1        0        0
61500 python3-mensa                      	       1        0        1        0        0
61501 python3-message-filters            	       1        0        1        0        0
61502 python3-metaconfig                 	       1        0        1        0        0
61503 python3-microversion-parse         	       1        0        1        0        0
61504 python3-midiutil                   	       1        0        1        0        0
61505 python3-miio                       	       1        0        1        0        0
61506 python3-milter                     	       1        0        1        0        0
61507 python3-mlpy                       	       1        0        1        0        0
61508 python3-mlpy-lib                   	       1        0        1        0        0
61509 python3-mlt7                       	       1        0        1        0        0
61510 python3-mmllib                     	       1        0        1        0        0
61511 python3-moviepy                    	       1        0        1        0        0
61512 python3-mpl-scatter-density        	       1        0        1        0        0
61513 python3-mplcursors                 	       1        0        1        0        0
61514 python3-multipledispatch           	       1        0        1        0        0
61515 python3-multipletau                	       1        0        1        0        0
61516 python3-musicpd                    	       1        0        1        0        0
61517 python3-mwclient                   	       1        0        1        0        0
61518 python3-nameparser                 	       1        0        1        0        0
61519 python3-nav-msgs                   	       1        0        1        0        0
61520 python3-ncclient                   	       1        0        1        0        0
61521 python3-neutron                    	       1        0        1        0        0
61522 python3-neutron-lib                	       1        0        1        0        0
61523 python3-neutron-vpnaas             	       1        0        1        0        0
61524 python3-nipy                       	       1        0        1        0        0
61525 python3-nipy-lib                   	       1        0        1        0        0
61526 python3-nipype                     	       1        0        1        0        0
61527 python3-nitime                     	       1        0        1        0        0
61528 python3-nodelet                    	       1        0        1        0        0
61529 python3-nodelet-topic-tools        	       1        0        1        0        0
61530 python3-nose-parameterized         	       1        0        1        0        0
61531 python3-nose-random                	       1        0        1        0        0
61532 python3-notmuch                    	       1        0        1        0        0
61533 python3-nototools                  	       1        0        1        0        0
61534 python3-nova                       	       1        0        1        0        0
61535 python3-ntplib                     	       1        0        1        0        0
61536 python3-nudatus                    	       1        0        1        0        0
61537 python3-omegaconf                  	       1        0        1        0        0
61538 python3-omg                        	       1        0        1        0        0
61539 python3-onewire                    	       1        0        1        0        0
61540 python3-ontospy                    	       1        0        1        0        0
61541 python3-openscap                   	       1        0        1        0        0
61542 python3-opentimestamps             	       1        0        1        0        0
61543 python3-ordered-set                	       1        0        1        0        0
61544 python3-orderedmultidict           	       1        0        1        0        0
61545 python3-os-brick                   	       1        0        1        0        0
61546 python3-os-ken                     	       1        0        1        0        0
61547 python3-os-win                     	       1        0        1        0        0
61548 python3-osc-placement              	       1        0        1        0        0
61549 python3-oslo.limit                 	       1        0        1        0        0
61550 python3-oslosphinx                 	       1        0        1        0        0
61551 python3-othman                     	       1        0        1        0        0
61552 python3-pacparser                  	       1        0        1        0        0
61553 python3-pafy                       	       1        0        1        0        0
61554 python3-pallets-sphinx-themes      	       1        0        1        0        0
61555 python3-pamela                     	       1        0        1        0        0
61556 python3-panflute                   	       1        0        1        0        0
61557 python3-parfive                    	       1        0        1        0        0
61558 python3-parse                      	       1        0        1        0        0
61559 python3-parse-type                 	       1        0        1        0        0
61560 python3-parsel                     	       1        0        1        0        0
61561 python3-pathvalidate               	       1        0        1        0        0
61562 python3-pbcommand                  	       1        0        1        0        0
61563 python3-pbcore                     	       1        0        1        0        0
61564 python3-pbsuite-utils              	       1        0        1        0        0
61565 python3-pcpasswd                   	       1        0        1        0        0
61566 python3-pdoc                       	       1        0        1        0        0
61567 python3-petsc4py                   	       1        0        1        0        0
61568 python3-petsc4py-real              	       1        0        0        0        1
61569 python3-petsc4py-real3.18          	       1        0        0        0        1
61570 python3-pex                        	       1        0        1        0        0
61571 python3-pgmagick                   	       1        0        1        0        0
61572 python3-pgzero                     	       1        0        1        0        0
61573 python3-pil-dbg                    	       1        0        1        0        0
61574 python3-pil.imagetk-dbg            	       1        0        1        0        0
61575 python3-pilkit                     	       1        0        1        0        0
61576 python3-ping3                      	       1        0        1        0        0
61577 python3-plac                       	       1        0        1        0        0
61578 python3-plplot                     	       1        0        1        0        0
61579 python3-plplot-qt                  	       1        0        1        0        0
61580 python3-poezio-poopt               	       1        0        1        0        0
61581 python3-poliastro                  	       1        0        1        0        0
61582 python3-pony                       	       1        0        1        0        0
61583 python3-popcon                     	       1        1        0        0        0
61584 python3-port-for                   	       1        0        1        0        0
61585 python3-positional                 	       1        0        1        0        0
61586 python3-posix-ipc                  	       1        0        0        0        1
61587 python3-postgresql                 	       1        0        1        0        0
61588 python3-potr                       	       1        0        1        0        0
61589 python3-power                      	       1        0        1        0        0
61590 python3-preshed                    	       1        0        1        0        0
61591 python3-pretty-yaml                	       1        0        1        0        0
61592 python3-proglog                    	       1        0        1        0        0
61593 python3-progress                   	       1        0        1        0        0
61594 python3-progressbar2               	       1        0        1        0        0
61595 python3-protego                    	       1        0        1        0        0
61596 python3-proto-plus                 	       1        0        1        0        0
61597 python3-proton-vpn-connection      	       1        0        1        0        0
61598 python3-proton-vpn-killswitch      	       1        0        1        0        0
61599 python3-proton-vpn-killswitch-network-manager-wireguard	       1        0        1        0        0
61600 python3-proton-vpn-network-manager-wireguard	       1        0        1        0        0
61601 python3-proxmoxer                  	       1        0        1        0        0
61602 python3-pskc                       	       1        0        1        0        0
61603 python3-psycopg3                   	       1        1        0        0        0
61604 python3-ptrace                     	       1        0        1        0        0
61605 python3-pulsectl                   	       1        0        1        0        0
61606 python3-pweave                     	       1        0        1        0        0
61607 python3-py3exiv2                   	       1        0        1        0        0
61608 python3-pyaarlo                    	       1        0        0        1        0
61609 python3-pybigwig                   	       1        0        1        0        0
61610 python3-pycuda                     	       1        0        1        0        0
61611 python3-pydecorate                 	       1        0        1        0        0
61612 python3-pydenticon                 	       1        0        1        0        0
61613 python3-pydispatch                 	       1        0        1        0        0
61614 python3-pydotplus                  	       1        0        1        0        0
61615 python3-pyds9                      	       1        0        1        0        0
61616 python3-pygal                      	       1        0        1        0        0
61617 python3-pygame-dbgsym              	       1        0        1        0        0
61618 python3-pygeoip                    	       1        0        1        0        0
61619 python3-pyglfw                     	       1        0        1        0        0
61620 python3-pyglossary                 	       1        0        1        0        0
61621 python3-pygrace                    	       1        0        1        0        0
61622 python3-pygresql                   	       1        0        1        0        0
61623 python3-pyjavaproperties           	       1        0        1        0        0
61624 python3-pyjokes                    	       1        0        1        0        0
61625 python3-pykde4                     	       1        0        1        0        0
61626 python3-pykdl                      	       1        0        1        0        0
61627 python3-pyldap                     	       1        0        0        0        1
61628 python3-pylibdmtx                  	       1        0        1        0        0
61629 python3-pylibmc                    	       1        0        1        0        0
61630 python3-pylsp-isort                	       1        0        1        0        0
61631 python3-pylsp-rope                 	       1        0        1        0        0
61632 python3-pylsqpack                  	       1        0        1        0        0
61633 python3-pymad                      	       1        0        1        0        0
61634 python3-pymca5                     	       1        0        1        0        0
61635 python3-pymoc                      	       1        0        1        0        0
61636 python3-pymummer                   	       1        0        1        0        0
61637 python3-pynag                      	       1        0        1        0        0
61638 python3-pyninjotiff                	       1        0        1        0        0
61639 python3-pynliner                   	       1        0        1        0        0
61640 python3-pyocd                      	       1        0        1        0        0
61641 python3-pyoprf                     	       1        0        1        0        0
61642 python3-pypeg2                     	       1        0        1        0        0
61643 python3-pyperform                  	       1        0        1        0        0
61644 python3-pyproject-api              	       1        0        1        0        0
61645 python3-pyprojroot                 	       1        0        1        0        0
61646 python3-pyqt5.qtxmlpatterns-dbg    	       1        0        1        0        0
61647 python3-pyqt5.sip-dbg              	       1        0        1        0        0
61648 python3-pyqtconsole                	       1        0        1        0        0
61649 python3-pyramid-chameleon          	       1        0        1        0        0
61650 python3-pyramid-tm                 	       1        0        1        0        0
61651 python3-pyro4                      	       1        0        1        0        0
61652 python3-pyroma                     	       1        0        1        0        0
61653 python3-pyroute2.core              	       1        0        1        0        0
61654 python3-pyroute2.ethtool           	       1        0        1        0        0
61655 python3-pyroute2.ipdb              	       1        0        1        0        0
61656 python3-pyroute2.ipset             	       1        0        1        0        0
61657 python3-pyroute2.ndb               	       1        0        1        0        0
61658 python3-pyroute2.nftables          	       1        0        1        0        0
61659 python3-pyroute2.nslink            	       1        0        1        0        0
61660 python3-pyroute2.protocols         	       1        0        1        0        0
61661 python3-pyrr                       	       1        0        1        0        0
61662 python3-pysal                      	       1        0        1        0        0
61663 python3-pysaml2                    	       1        0        1        0        0
61664 python3-pyside.qtcore              	       1        0        1        0        0
61665 python3-pyside.qtgui               	       1        0        1        0        0
61666 python3-pyside.qtsvg               	       1        0        1        0        0
61667 python3-pyside.qtuitools           	       1        0        1        0        0
61668 python3-pyside.qtxml               	       1        0        1        0        0
61669 python3-pyside2.qt3dlogic          	       1        0        1        0        0
61670 python3-pyside2.qtcharts           	       1        0        1        0        0
61671 python3-pyside2.qtconcurrent       	       1        0        1        0        0
61672 python3-pyside2.qthelp             	       1        0        1        0        0
61673 python3-pyside2.qtlocation         	       1        0        1        0        0
61674 python3-pyside2.qtpositioning      	       1        0        1        0        0
61675 python3-pyside2.qtquickcontrols2   	       1        0        1        0        0
61676 python3-pyside2.qtscript           	       1        0        1        0        0
61677 python3-pyside2.qtscripttools      	       1        0        1        0        0
61678 python3-pyside2.qtsensors          	       1        0        1        0        0
61679 python3-pyside2.qtsql              	       1        0        1        0        0
61680 python3-pyside2.qttest             	       1        0        1        0        0
61681 python3-pyside2.qttexttospeech     	       1        0        1        0        0
61682 python3-pyside2.qtwebsockets       	       1        0        1        0        0
61683 python3-pyside2.qtxmlpatterns      	       1        0        1        0        0
61684 python3-pyside2uic                 	       1        0        1        0        0
61685 python3-pyside6.qtbluetooth        	       1        0        0        1        0
61686 python3-pysodium                   	       1        0        1        0        0
61687 python3-pysph                      	       1        0        1        0        0
61688 python3-pyswarms                   	       1        0        1        0        0
61689 python3-pysynphot                  	       1        0        1        0        0
61690 python3-pytest-asyncio             	       1        0        1        0        0
61691 python3-pytest-benchmark           	       1        0        1        0        0
61692 python3-pytest-codeblocks          	       1        0        1        0        0
61693 python3-pytest-django              	       1        0        1        0        0
61694 python3-pytest-flask               	       1        0        1        0        0
61695 python3-pytest-helpers-namespace   	       1        0        1        0        0
61696 python3-pytest-lazy-fixture        	       1        0        1        0        0
61697 python3-pytest-pep8                	       1        0        1        0        0
61698 python3-pytest-pylint              	       1        0        1        0        0
61699 python3-pytest-repeat              	       1        0        0        1        0
61700 python3-pytest-rerunfailures       	       1        0        0        1        0
61701 python3-pytest-timeout             	       1        0        1        0        0
61702 python3-pytest-twisted             	       1        0        1        0        0
61703 python3-pytestqt                   	       1        0        1        0        0
61704 python3-pythonmagick               	       1        0        1        0        0
61705 python3-pywayland                  	       1        0        0        1        0
61706 python3-pywayland-doc              	       1        0        0        0        1
61707 python3-pyxb                       	       1        1        0        0        0
61708 python3-pyxid                      	       1        0        1        0        0
61709 python3-qcelemental                	       1        0        1        0        0
61710 python3-qt-material                	       1        0        1        0        0
61711 python3-qt5reactor                 	       1        0        1        0        0
61712 python3-qtpynodeeditor             	       1        0        1        0        0
61713 python3-quark-sphinx-theme         	       1        0        1        0        0
61714 python3-questplus                  	       1        0        1        0        0
61715 python3-queuelib                   	       1        0        1        0        0
61716 python3-qutip                      	       1        0        1        0        0
61717 python3-qwt3d-qt5                  	       1        0        1        0        0
61718 python3-randomize                  	       1        0        1        0        0
61719 python3-rapidjson                  	       1        0        1        0        0
61720 python3-rawkit                     	       1        0        1        0        0
61721 python3-rcon                       	       1        0        1        0        0
61722 python3-reclass                    	       1        0        1        0        0
61723 python3-refurb                     	       1        0        1        0        0
61724 python3-regions                    	       1        0        1        0        0
61725 python3-relational                 	       1        0        1        0        0
61726 python3-reparser                   	       1        0        1        0        0
61727 python3-repoze.sphinx.autointerface	       1        0        1        0        0
61728 python3-repoze.who                 	       1        0        1        0        0
61729 python3-resource-retriever         	       1        0        1        0        0
61730 python3-retry                      	       1        0        1        0        0
61731 python3-rgain                      	       1        0        1        0        0
61732 python3-rgain3                     	       1        0        1        0        0
61733 python3-ring-doorbell              	       1        0        0        1        0
61734 python3-rosbag                     	       1        0        1        0        0
61735 python3-rosboost-cfg               	       1        0        1        0        0
61736 python3-rosclean                   	       1        0        1        0        0
61737 python3-roscreate                  	       1        0        1        0        0
61738 python3-rosdep2                    	       1        0        1        0        0
61739 python3-rosdistro                  	       1        0        1        0        0
61740 python3-rosinstall                 	       1        0        1        0        0
61741 python3-rosinstall-generator       	       1        0        1        0        0
61742 python3-roslaunch                  	       1        0        1        0        0
61743 python3-roslz4                     	       1        0        1        0        0
61744 python3-rosmake                    	       1        0        1        0        0
61745 python3-rosmaster                  	       1        0        1        0        0
61746 python3-rosmsg                     	       1        0        1        0        0
61747 python3-rosnode                    	       1        0        1        0        0
61748 python3-rosparam                   	       1        0        1        0        0
61749 python3-rosservice                 	       1        0        1        0        0
61750 python3-rostest                    	       1        0        1        0        0
61751 python3-rostopic                   	       1        0        1        0        0
61752 python3-roswtf                     	       1        0        1        0        0
61753 python3-rpaths                     	       1        0        1        0        0
61754 python3-rrdtool                    	       1        0        1        0        0
61755 python3-rtslib-fb                  	       1        0        1        0        0
61756 python3-ruffus                     	       1        0        1        0        0
61757 python3-ruyaml                     	       1        0        1        0        0
61758 python3-rx                         	       1        0        1        0        0
61759 python3-sabyenc                    	       1        0        1        0        0
61760 python3-sadisplay                  	       1        0        1        0        0
61761 python3-saltpylint                 	       1        0        1        0        0
61762 python3-samsungctl                 	       1        0        1        0        0
61763 python3-saneyaml                   	       1        0        1        0        0
61764 python3-sarif-python-om            	       1        0        1        0        0
61765 python3-satpy                      	       1        0        1        0        0
61766 python3-schedule                   	       1        0        1        0        0
61767 python3-scikit-fmm                 	       1        0        1        0        0
61768 python3-scramp                     	       1        0        1        0        0
61769 python3-scrapy                     	       1        0        1        0        0
61770 python3-seafile                    	       1        0        1        0        0
61771 python3-securestring               	       1        0        0        1        0
61772 python3-securesystemslib           	       1        0        1        0        0
61773 python3-sedparse                   	       1        0        1        0        0
61774 python3-serializable               	       1        0        1        0        0
61775 python3-serpent                    	       1        0        1        0        0
61776 python3-sexpdata                   	       1        0        1        0        0
61777 python3-shape-msgs                 	       1        0        1        0        0
61778 python3-shortuuid                  	       1        0        1        0        0
61779 python3-silx                       	       1        0        1        0        0
61780 python3-simplematch                	       1        0        1        0        0
61781 python3-simpy                      	       1        0        1        0        0
61782 python3-single-version             	       1        0        1        0        0
61783 python3-sip-dbg                    	       1        0        1        0        0
61784 python3-skytools                   	       1        0        1        0        0
61785 python3-sleekxmpp                  	       1        0        1        0        0
61786 python3-slepc4py                   	       1        0        1        0        0
61787 python3-slepc4py-real              	       1        0        0        0        1
61788 python3-slepc4py-real3.18          	       1        0        0        0        1
61789 python3-smart-open                 	       1        0        1        0        0
61790 python3-smclib                     	       1        0        1        0        0
61791 python3-smoke-zephyr               	       1        0        1        0        0
61792 python3-socksipychain              	       1        0        1        0        0
61793 python3-specreduce                 	       1        0        1        0        0
61794 python3-specreduce-data            	       1        0        1        0        0
61795 python3-spglib                     	       1        0        1        0        0
61796 python3-sphinx-autoapi             	       1        0        1        0        0
61797 python3-sphinx-click               	       1        0        1        0        0
61798 python3-sphinx-issues              	       1        0        1        0        0
61799 python3-sphinx-markdown-tables     	       1        0        1        0        0
61800 python3-sphinx-multiversion        	       1        0        1        0        0
61801 python3-sphinx-panels              	       1        0        1        0        0
61802 python3-sphinx-qt-documentation    	       1        0        1        0        0
61803 python3-sphinx-sitemap             	       1        0        1        0        0
61804 python3-sphinx-tabs-doc            	       1        0        0        0        1
61805 python3-sphinxcontrib-log-cabinet  	       1        1        0        0        0
61806 python3-sphinxcontrib-mermaid      	       1        0        1        0        0
61807 python3-sphinxcontrib-pecanwsme    	       1        0        1        0        0
61808 python3-sphinxcontrib.devhelp      	       1        1        0        0        0
61809 python3-sphinxcontrib.ditaa        	       1        0        1        0        0
61810 python3-sphinxcontrib.trio         	       1        0        1        0        0
61811 python3-sphinxext-opengraph        	       1        0        1        0        0
61812 python3-spidev                     	       1        0        1        0        0
61813 python3-spur                       	       1        0        1        0        0
61814 python3-spyne                      	       1        0        1        0        0
61815 python3-sql                        	       1        0        1        0        0
61816 python3-sqlalchemy-utc             	       1        0        1        0        0
61817 python3-sqlitedict                 	       1        0        1        0        0
61818 python3-srsly                      	       1        0        1        0        0
61819 python3-standard-asynchat          	       1        0        1        0        0
61820 python3-std-srvs                   	       1        0        1        0        0
61821 python3-stereo-msgs                	       1        0        1        0        0
61822 python3-stopit                     	       1        0        1        0        0
61823 python3-streamlink-doc             	       1        0        0        0        1
61824 python3-streamparser               	       1        0        1        0        0
61825 python3-sunpy                      	       1        0        1        0        0
61826 python3-surfer                     	       1        0        1        0        0
61827 python3-svglib                     	       1        0        1        0        0
61828 python3-swiglpk                    	       1        0        1        0        0
61829 python3-syndom                     	       1        0        1        0        0
61830 python3-synphot                    	       1        0        1        0        0
61831 python3-tango                      	       1        0        1        0        0
61832 python3-tap                        	       1        0        1        0        0
61833 python3-taurus                     	       1        0        1        0        0
61834 python3-taurus-pyqtgraph           	       1        0        1        0        0
61835 python3-terminaltables3            	       1        0        0        1        0
61836 python3-terminaltexteffects        	       1        0        1        0        0
61837 python3-testfixtures               	       1        0        1        0        0
61838 python3-texext                     	       1        0        1        0        0
61839 python3-textual                    	       1        0        1        0        0
61840 python3-tf2-sensor-msgs            	       1        0        1        0        0
61841 python3-thinc                      	       1        0        1        0        0
61842 python3-throttler                  	       1        0        1        0        0
61843 python3-time-machine               	       1        0        1        0        0
61844 python3-tinydb                     	       1        0        1        0        0
61845 python3-tmdbsimple                 	       1        0        1        0        0
61846 python3-tokenize-rt                	       1        0        1        0        0
61847 python3-topic-tools                	       1        0        1        0        0
61848 python3-torrequest                 	       1        0        1        0        0
61849 python3-tpm2-pkcs11-tools          	       1        0        1        0        0
61850 python3-tpm2-pytss                 	       1        0        1        0        0
61851 python3-trajectory-msgs            	       1        0        1        0        0
61852 python3-transaction                	       1        0        1        0        0
61853 python3-transliterate              	       1        0        1        0        0
61854 python3-trezor                     	       1        0        1        0        0
61855 python3-trimesh                    	       1        0        0        1        0
61856 python3-trollimage                 	       1        0        1        0        0
61857 python3-trollius                   	       1        0        1        0        0
61858 python3-trollsched                 	       1        0        1        0        0
61859 python3-ttconv                     	       1        0        1        0        0
61860 python3-twilio                     	       1        0        1        0        0
61861 python3-twitter                    	       1        0        1        0        0
61862 python3-typechecks                 	       1        0        1        0        0
61863 python3-ufl-legacy                 	       1        0        1        0        0
61864 python3-uflash                     	       1        0        1        0        0
61865 python3-uflash-doc                 	       1        0        0        0        1
61866 python3-ukui-menu                  	       1        0        1        0        0
61867 python3-umu-launcher               	       1        0        1        0        0
61868 python3-vcf                        	       1        0        1        0        0
61869 python3-versiontools               	       1        0        1        0        0
61870 python3-virt-firmware              	       1        0        1        0        0
61871 python3-visualization-msgs         	       1        0        1        0        0
61872 python3-vitrage                    	       1        0        1        0        0
61873 python3-volatile                   	       1        0        0        1        0
61874 python3-vtk7                       	       1        0        1        0        0
61875 python3-wasabi                     	       1        0        1        0        0
61876 python3-watson                     	       1        0        1        0        0
61877 python3-wcag-contrast-ratio        	       1        0        1        0        0
61878 python3-wchartype                  	       1        0        1        0        0
61879 python3-webdavclient               	       1        0        1        0        0
61880 python3-whichcraft                 	       1        0        1        0        0
61881 python3-wicd                       	       1        0        1        0        0
61882 python3-wikitrans                  	       1        0        1        0        0
61883 python3-wilderness                 	       1        0        1        0        0
61884 python3-woob                       	       1        0        1        0        0
61885 python3-wordcloud                  	       1        1        0        0        0
61886 python3-wsgilog                    	       1        0        1        0        0
61887 python3-wstool                     	       1        0        1        0        0
61888 python3-x2gobroker                 	       1        0        1        0        0
61889 python3-xeus-python-shell          	       1        0        1        0        0
61890 python3-xgboost                    	       1        1        0        0        0
61891 python3-xopen                      	       1        0        1        0        0
61892 python3-xstatic                    	       1        0        1        0        0
61893 python3-xstatic-json2yaml          	       1        1        0        0        0
61894 python3-xtermcolor                 	       1        0        1        0        0
61895 python3-yapsy                      	       1        0        1        0        0
61896 python3-yubiotp                    	       1        0        1        0        0
61897 python3-zipstream-ng               	       1        0        1        0        0
61898 python3-zlmdb                      	       1        0        1        0        0
61899 python3-zzzeeksphinx               	       1        0        1        0        0
61900 python3.10-dbg                     	       1        0        1        0        0
61901 python3.10-doc                     	       1        0        0        0        1
61902 python3.12-dbg                     	       1        0        1        0        0
61903 python3.12-examples                	       1        0        1        0        0
61904 python3.12-full                    	       1        0        0        0        1
61905 python3.6-dev                      	       1        0        1        0        0
61906 python3.7-examples                 	       1        0        1        0        0
61907 python3.8-dev                      	       1        1        0        0        0
61908 pythonpy                           	       1        0        1        0        0
61909 pytqt-doc                          	       1        0        1        0        0
61910 pyupgrade                          	       1        0        1        0        0
61911 pyvcf                              	       1        0        1        0        0
61912 pyvnc2swf                          	       1        0        1        0        0
61913 pywps-doc                          	       1        0        0        0        1
61914 pyzfs-doc                          	       1        0        0        0        1
61915 q2templates                        	       1        0        1        0        0
61916 q4os-cpuq                          	       1        0        1        0        0
61917 q4os-cpuq5                         	       1        0        1        0        0
61918 q4os-debfxs                        	       1        0        1        0        0
61919 q4os-desktop-plasma                	       1        0        0        0        1
61920 q4os-desktop-trinity               	       1        0        0        0        1
61921 q4os-fonts-droid                   	       1        0        0        0        1
61922 q4os-qt5-style02                   	       1        0        0        0        1
61923 q4os-screenscaler                  	       1        0        1        0        0
61924 q4os-styles-gtk3                   	       1        0        0        0        1
61925 q4os-styles-qt4                    	       1        0        0        0        1
61926 q4os-tde-qtplugin                  	       1        0        0        0        1
61927 q4os-welcome                       	       1        0        1        0        0
61928 q4os-welcome5                      	       1        0        1        0        0
61929 qalculate-qt                       	       1        0        0        1        0
61930 qasmixer-dbgsym                    	       1        0        1        0        0
61931 qbankmanager                       	       1        0        1        0        0
61932 qbe                                	       1        0        1        0        0
61933 qbrew                              	       1        0        1        0        0
61934 qbs-doc-html                       	       1        0        0        0        1
61935 qbs-examples                       	       1        0        1        0        0
61936 qc                                 	       1        0        1        0        0
61937 qc-usb-utils                       	       1        0        1        0        0
61938 qca-qt6-utils                      	       1        0        0        1        0
61939 qcad-data                          	       1        0        0        0        1
61940 qcad-doc                           	       1        0        0        0        1
61941 qcalcfilehash                      	       1        0        1        0        0
61942 qcam                               	       1        0        1        0        0
61943 qcodec2                            	       1        0        1        0        0
61944 qcontrol                           	       1        0        1        0        0
61945 qcoro-qt5-dev                      	       1        0        1        0        0
61946 qcoro-qt6-dev                      	       1        0        0        1        0
61947 qd                                 	       1        0        1        0        0
61948 qdbm-doc                           	       1        0        0        0        1
61949 qdigidoc4                          	       1        0        1        0        0
61950 qdl                                	       1        0        1        0        0
61951 qemu-efi-riscv64                   	       1        0        0        0        1
61952 qemu-guest-agent-vz                	       1        0        1        0        0
61953 qemu-guest-agent-vz-dbg            	       1        0        1        0        0
61954 qemu-keymaps                       	       1        0        0        0        1
61955 qfinderpro                         	       1        0        1        0        0
61956 qfitsview                          	       1        0        1        0        0
61957 qgama                              	       1        0        1        0        0
61958 qgfe                               	       1        0        1        0        0
61959 qgis-api-doc                       	       1        0        0        0        1
61960 qgis-plugin-globe-common           	       1        0        0        0        1
61961 qhimdtransfer                      	       1        0        1        0        0
61962 ql600pdrv                          	       1        0        0        0        1
61963 qlandkartegt                       	       1        0        1        0        0
61964 qlandkartegt-garmin                	       1        0        1        0        0
61965 qmail-tools                        	       1        0        1        0        0
61966 qmenu                              	       1        0        1        0        0
61967 qmhandle                           	       1        0        1        0        0
61968 qml-module-lomiri-components       	       1        0        0        0        1
61969 qml-module-lomiri-components-labs  	       1        0        0        0        1
61970 qml-module-lomiri-performancemetrics	       1        0        0        0        1
61971 qml-module-ofono                   	       1        0        0        0        1
61972 qml-module-org-kde-graphicaleffects	       1        0        0        0        1
61973 qml-module-org-kde-i18n-localedata 	       1        0        0        0        1
61974 qml-module-org-kde-kirigami-addons-datetime	       1        0        0        0        1
61975 qml-module-org-kde-kirigami-addons-sounds	       1        0        0        0        1
61976 qml-module-org-kde-kirigami-addons-treeview	       1        0        0        0        1
61977 qml-module-org-nemomobile-mpris    	       1        0        0        0        1
61978 qml-module-qtgamepad               	       1        0        0        0        1
61979 qml-module-qtnfc                   	       1        0        0        0        1
61980 qml-module-qtorganizer             	       1        0        0        0        1
61981 qml-module-qtpublishsubscribe      	       1        0        0        0        1
61982 qml-module-qtqml-workerscript2     	       1        0        0        0        1
61983 qml-module-qtquick-scene2d         	       1        0        0        0        1
61984 qml-module-qtremoteobjects         	       1        0        0        0        1
61985 qml-module-qtsensors               	       1        0        0        0        1
61986 qml-module-qtwayland-client-texturesharing	       1        0        0        0        1
61987 qml-module-snapd                   	       1        0        0        0        1
61988 qml6-module-assets-downloader      	       1        0        0        0        1
61989 qml6-module-org-kde-calendarcore   	       1        0        0        0        1
61990 qml6-module-org-kde-contacts       	       1        0        0        0        1
61991 qml6-module-org-kde-marble         	       1        0        0        0        1
61992 qml6-module-org-kde-marble-imageprovider	       1        0        0        0        1
61993 qml6-module-qml                    	       1        0        0        0        1
61994 qml6-module-qtgraphs               	       1        0        0        0        1
61995 qml6-module-qtgrpc                 	       1        0        0        0        1
61996 qml6-module-qtlocation             	       1        0        0        0        1
61997 qml6-module-qtprotobuf             	       1        0        0        0        1
61998 qml6-module-qtquick-timeline-blendtrees	       1        0        0        0        1
61999 qml6-module-qtquick-vectorimage    	       1        0        0        0        1
62000 qml6-module-qtquick3d-assetutils   	       1        0        0        0        1
62001 qml6-module-qtquick3d-effects      	       1        0        0        0        1
62002 qml6-module-qtquick3d-helpers      	       1        0        0        0        1
62003 qml6-module-qtquick3d-materialeditor	       1        0        0        0        1
62004 qml6-module-qtquick3d-particleeffects	       1        0        0        0        1
62005 qml6-module-qtquick3d-xr           	       1        0        0        0        1
62006 qml6-module-quick3d                	       1        0        0        0        1
62007 qml6-module-quick3d-assetutils     	       1        0        0        0        1
62008 qml6-module-quick3d-effects        	       1        0        0        0        1
62009 qml6-module-quick3d-helpers        	       1        0        0        0        1
62010 qml6-module-quick3d-particleeffects	       1        0        0        0        1
62011 qml6-module-quick3d-particles3d    	       1        0        0        0        1
62012 qodem                              	       1        0        1        0        0
62013 qodem-x11                          	       1        0        1        0        0
62014 qof-data                           	       1        0        0        0        1
62015 qogir-icon-theme                   	       1        0        0        0        1
62016 qogir-light                        	       1        0        0        0        1
62017 qogir-win-light                    	       1        0        0        0        1
62018 qoi                                	       1        1        0        0        0
62019 qpdf-doc                           	       1        0        0        0        1
62020 qprint-doc                         	       1        0        0        0        1
62021 qrcp                               	       1        0        1        0        0
62022 qrisk2                             	       1        0        1        0        0
62023 qrtr-tools                         	       1        0        1        0        0
62024 qsapecng-doc                       	       1        0        0        0        1
62025 qsf                                	       1        0        1        0        0
62026 qt-ponies-data                     	       1        0        0        0        1
62027 qt3-apps-dev                       	       1        0        1        0        0
62028 qt3-assistant                      	       1        0        1        0        0
62029 qt3-linguist                       	       1        0        1        0        0
62030 qt3-qtconfig                       	       1        0        1        0        0
62031 qt5-gtk-platformtheme-dbgsym       	       1        0        1        0        0
62032 qt5-qmake-bin-dbgsym               	       1        0        1        0        0
62033 qt5qevercloud-dev                  	       1        0        1        0        0
62034 qt6-3d-defaultgeometryloader-plugin	       1        0        0        0        1
62035 qt6-3d-dev                         	       1        0        0        1        0
62036 qt6-3d-doc                         	       1        0        0        0        1
62037 qt6-3d-doc-dev                     	       1        0        0        0        1
62038 qt6-3d-doc-html                    	       1        0        0        0        1
62039 qt6-3d-examples                    	       1        0        0        0        1
62040 qt6-5compat-doc                    	       1        0        0        0        1
62041 qt6-5compat-doc-html               	       1        0        0        0        1
62042 qt6-charts-doc                     	       1        0        0        0        1
62043 qt6-charts-doc-html                	       1        0        0        0        1
62044 qt6-charts-examples                	       1        0        0        0        1
62045 qt6-connectivity-dev               	       1        0        0        1        0
62046 qt6-connectivity-doc               	       1        0        0        0        1
62047 qt6-connectivity-doc-html          	       1        0        0        0        1
62048 qt6-connectivity-examples          	       1        0        0        0        1
62049 qt6-datavis3d-dev                  	       1        0        0        1        0
62050 qt6-datavis3d-doc                  	       1        0        0        0        1
62051 qt6-datavis3d-doc-html             	       1        0        0        0        1
62052 qt6-datavis3d-examples             	       1        0        0        0        1
62053 qt6-datavisualization-dev          	       1        0        0        1        0
62054 qt6-declarative-doc                	       1        0        0        0        1
62055 qt6-declarative-doc-dev            	       1        0        0        0        1
62056 qt6-declarative-doc-html           	       1        0        0        0        1
62057 qt6-declarative-examples           	       1        0        0        0        1
62058 qt6-declarative-private-dev        	       1        0        0        1        0
62059 qt6-graphs-dev                     	       1        0        0        1        0
62060 qt6-graphs-doc                     	       1        0        0        0        1
62061 qt6-graphs-doc-html                	       1        0        0        0        1
62062 qt6-graphs-examples                	       1        0        0        0        1
62063 qt6-grpc-dev                       	       1        0        0        1        0
62064 qt6-grpc-doc                       	       1        0        0        0        1
62065 qt6-grpc-doc-dev                   	       1        0        0        0        1
62066 qt6-grpc-doc-html                  	       1        0        0        0        1
62067 qt6-grpc-examples                  	       1        0        0        0        1
62068 qt6-grpc-tools                     	       1        0        0        1        0
62069 qt6-httpserver-doc                 	       1        0        0        0        1
62070 qt6-httpserver-doc-html            	       1        0        0        0        1
62071 qt6-httpserver-examples            	       1        0        0        0        1
62072 qt6-imageformats-doc               	       1        0        0        0        1
62073 qt6-imageformats-doc-html          	       1        0        0        0        1
62074 qt6-languageserver-dev             	       1        0        0        1        0
62075 qt6-languageserver-private-dev     	       1        0        0        1        0
62076 qt6-location-dev                   	       1        0        0        1        0
62077 qt6-location-doc                   	       1        0        0        0        1
62078 qt6-location-doc-dev               	       1        0        0        0        1
62079 qt6-location-doc-html              	       1        0        0        0        1
62080 qt6-location-examples              	       1        0        0        0        1
62081 qt6-location-plugins               	       1        0        0        0        1
62082 qt6-multimedia-doc                 	       1        0        0        0        1
62083 qt6-multimedia-doc-html            	       1        0        0        0        1
62084 qt6-multimedia-examples            	       1        0        0        0        1
62085 qt6-networkauth-doc                	       1        0        0        0        1
62086 qt6-networkauth-doc-dev            	       1        0        0        0        1
62087 qt6-networkauth-doc-html           	       1        0        0        0        1
62088 qt6-networkauth-examples           	       1        0        0        0        1
62089 qt6-positioning-doc                	       1        0        0        0        1
62090 qt6-positioning-doc-dev            	       1        0        0        0        1
62091 qt6-positioning-doc-html           	       1        0        0        0        1
62092 qt6-positioning-examples           	       1        0        0        0        1
62093 qt6-positioning-private-dev        	       1        0        0        1        0
62094 qt6-qmlls-plugins                  	       1        0        0        0        1
62095 qt6-qmltooling-quick3dprofiler-plugin	       1        0        0        0        1
62096 qt6-quick3d-assetimporters-plugin  	       1        0        0        0        1
62097 qt6-quick3d-dev                    	       1        0        0        1        0
62098 qt6-quick3d-dev-tools              	       1        0        0        1        0
62099 qt6-quick3d-doc                    	       1        0        0        0        1
62100 qt6-quick3d-doc-dev                	       1        0        0        0        1
62101 qt6-quick3d-doc-html               	       1        0        0        0        1
62102 qt6-quick3d-examples               	       1        0        0        0        1
62103 qt6-quick3d-private-dev            	       1        0        0        1        0
62104 qt6-quick3dphysics-dev             	       1        0        0        1        0
62105 qt6-quick3dphysics-dev-tools       	       1        0        0        1        0
62106 qt6-quick3dphysics-examples        	       1        0        0        0        1
62107 qt6-remoteobjects-dev              	       1        0        0        1        0
62108 qt6-remoteobjects-doc              	       1        0        0        0        1
62109 qt6-remoteobjects-doc-dev          	       1        0        0        0        1
62110 qt6-remoteobjects-doc-html         	       1        0        0        0        1
62111 qt6-remoteobjects-examples         	       1        0        0        0        1
62112 qt6-scxml-dev                      	       1        0        0        1        0
62113 qt6-scxml-doc                      	       1        0        0        0        1
62114 qt6-scxml-doc-dev                  	       1        0        0        0        1
62115 qt6-scxml-doc-html                 	       1        0        0        0        1
62116 qt6-scxml-examples                 	       1        0        0        0        1
62117 qt6-sensors-doc                    	       1        0        0        0        1
62118 qt6-sensors-doc-dev                	       1        0        0        0        1
62119 qt6-sensors-doc-html               	       1        0        0        0        1
62120 qt6-sensors-examples               	       1        0        0        0        1
62121 qt6-serialbus-doc                  	       1        0        0        0        1
62122 qt6-serialbus-doc-dev              	       1        0        0        0        1
62123 qt6-serialbus-doc-html             	       1        0        0        0        1
62124 qt6-serialbus-examples             	       1        0        0        0        1
62125 qt6-serialport-doc                 	       1        0        0        0        1
62126 qt6-serialport-doc-html            	       1        0        0        0        1
62127 qt6-serialport-examples            	       1        0        0        0        1
62128 qt6-shadertools-doc                	       1        0        0        0        1
62129 qt6-shadertools-doc-dev            	       1        0        0        0        1
62130 qt6-shadertools-doc-html           	       1        0        0        0        1
62131 qt6-speech-doc                     	       1        0        0        0        1
62132 qt6-speech-doc-html                	       1        0        0        0        1
62133 qt6-speech-examples                	       1        0        0        0        1
62134 qt6-svg-doc                        	       1        0        0        0        1
62135 qt6-svg-doc-dev                    	       1        0        0        0        1
62136 qt6-svg-doc-html                   	       1        0        0        0        1
62137 qt6-svg-examples                   	       1        0        0        0        1
62138 qt6-svg-private-dev                	       1        0        0        1        0
62139 qt6-tools-doc                      	       1        0        0        0        1
62140 qt6-tools-doc-dev                  	       1        0        0        0        1
62141 qt6-tools-doc-html                 	       1        0        0        0        1
62142 qt6-tools-examples                 	       1        0        0        0        1
62143 qt6-tools-private-dev              	       1        0        0        1        0
62144 qt6-virtualkeyboard-dev            	       1        0        0        1        0
62145 qt6-wayland-doc                    	       1        0        0        0        1
62146 qt6-wayland-doc-html               	       1        0        0        0        1
62147 qt6-wayland-examples               	       1        0        0        0        1
62148 qt6-wayland-private-dev            	       1        0        0        1        0
62149 qt6-webchannel-doc                 	       1        0        0        0        1
62150 qt6-webchannel-doc-dev             	       1        0        0        0        1
62151 qt6-webchannel-doc-html            	       1        0        0        0        1
62152 qt6-webchannel-examples            	       1        0        0        0        1
62153 qt6-webengine-doc                  	       1        0        0        0        1
62154 qt6-webengine-doc-dev              	       1        0        0        0        1
62155 qt6-webengine-doc-html             	       1        0        0        0        1
62156 qt6-webengine-examples             	       1        0        0        0        1
62157 qt6-webengine-private-dev          	       1        0        0        1        0
62158 qt6-websockets-doc                 	       1        0        0        0        1
62159 qt6-websockets-doc-dev             	       1        0        0        0        1
62160 qt6-websockets-doc-html            	       1        0        0        0        1
62161 qt6-websockets-examples            	       1        0        0        0        1
62162 qt6-websockets-private-dev         	       1        0        0        1        0
62163 qt6-webview-dev                    	       1        0        0        1        0
62164 qt6-webview-doc                    	       1        0        0        0        1
62165 qt6-webview-doc-html               	       1        0        0        0        1
62166 qt6-webview-examples               	       1        0        0        0        1
62167 qt6-webview-plugins                	       1        0        0        0        1
62168 qtalarm                            	       1        0        1        0        0
62169 qtbase-opensource-src-build-deps   	       1        0        0        0        1
62170 qtbase5-dev-tools-dbgsym           	       1        0        1        0        0
62171 qtbase5-gles-dev                   	       1        0        1        0        0
62172 qtcharts5-examples                 	       1        0        0        0        1
62173 qtchess                            	       1        0        0        0        1
62174 qtdbustest-runner                  	       1        0        1        0        0
62175 qtdeclarative5-doc-dev             	       1        0        0        0        1
62176 qtearth                            	       1        0        1        0        0
62177 qtenginio5-doc                     	       1        0        0        0        1
62178 qtgain                             	       1        0        1        0        0
62179 qtgstreamer-doc                    	       1        0        0        0        1
62180 qtiplot                            	       1        0        1        0        0
62181 qtiplot-doc                        	       1        0        0        0        1
62182 qtlocation5-doc-dev                	       1        0        0        0        1
62183 qtltools                           	       1        0        1        0        0
62184 qtmib                              	       1        0        1        0        0
62185 qtmir                              	       1        0        0        0        1
62186 qtpdf5-doc                         	       1        0        0        0        1
62187 qtpdf5-doc-html                    	       1        0        0        0        1
62188 qtpositioning5-doc                 	       1        0        0        0        1
62189 qtproj                             	       1        0        1        0        0
62190 qtrassa                            	       1        0        1        0        0
62191 qtremoteobjects5-doc               	       1        0        0        0        1
62192 qtremoteobjects5-doc-html          	       1        0        0        0        1
62193 qtscript-tools                     	       1        0        1        0        0
62194 qtspeech5-doc                      	       1        0        0        0        1
62195 qtspeech5-doc-html                 	       1        0        0        0        1
62196 quake-armagon-music                	       1        0        0        0        1
62197 quake-dissolution-music            	       1        0        0        0        1
62198 quake-shareware                    	       1        0        0        0        1
62199 quake2-data                        	       1        0        0        0        1
62200 quake2-groundzero-data             	       1        0        0        0        1
62201 quake2-groundzero-music            	       1        0        0        0        1
62202 quake2-reckoning-data              	       1        0        0        0        1
62203 quake2-reckoning-music             	       1        0        0        0        1
62204 quake3-data                        	       1        0        1        0        0
62205 quake4                             	       1        0        1        0        0
62206 quakespasm-build-deps              	       1        0        0        0        1
62207 quakespasm-dbgsym                  	       1        0        1        0        0
62208 qualitis-carpaltunnel              	       1        1        0        0        0
62209 qualys-cloud-agent                 	       1        0        0        0        1
62210 quantum-espresso                   	       1        0        1        0        0
62211 quantum-espresso-data              	       1        0        0        0        1
62212 quassel-core                       	       1        1        0        0        0
62213 quentier-qt5                       	       1        0        1        0        0
62214 quick-n-easy-web-builder-11        	       1        0        0        0        1
62215 quickroute-gps                     	       1        0        1        0        0
62216 quintuple-agent                    	       1        1        0        0        0
62217 quitcount                          	       1        0        1        0        0
62218 quiteinsane                        	       1        0        1        0        0
62219 quollwriter                        	       1        0        1        0        0
62220 qupzilla-plugin-kwallet            	       1        0        0        0        1
62221 qutemol                            	       1        0        1        0        0
62222 qwo                                	       1        0        1        0        0
62223 qxp2epub                           	       1        0        1        0        0
62224 r-bioc-all                         	       1        0        1        0        0
62225 r-bioc-annotate                    	       1        0        1        0        0
62226 r-bioc-annotationdbi               	       1        0        1        0        0
62227 r-bioc-beachmat                    	       1        0        1        0        0
62228 r-bioc-biocneighbors               	       1        0        1        0        0
62229 r-bioc-biocparallel                	       1        0        1        0        0
62230 r-bioc-biocsingular                	       1        0        1        0        0
62231 r-bioc-biocviews                   	       1        0        1        0        0
62232 r-bioc-biostrings                  	       1        0        1        0        0
62233 r-bioc-bluster                     	       1        0        1        0        0
62234 r-bioc-complexheatmap              	       1        0        1        0        0
62235 r-bioc-consensusclusterplus        	       1        0        1        0        0
62236 r-bioc-degreport                   	       1        0        1        0        0
62237 r-bioc-delayedarray                	       1        0        1        0        0
62238 r-bioc-delayedmatrixstats          	       1        0        1        0        0
62239 r-bioc-deseq2                      	       1        0        1        0        0
62240 r-bioc-edger                       	       1        0        1        0        0
62241 r-bioc-genefilter                  	       1        0        1        0        0
62242 r-bioc-geneplotter                 	       1        0        1        0        0
62243 r-bioc-genomeinfodb                	       1        0        1        0        0
62244 r-bioc-genomeinfodbdata            	       1        0        1        0        0
62245 r-bioc-genomicalignments           	       1        0        1        0        0
62246 r-bioc-genomicranges               	       1        0        1        0        0
62247 r-bioc-glmgampoi                   	       1        0        1        0        0
62248 r-bioc-go.db                       	       1        0        1        0        0
62249 r-bioc-hdf5array                   	       1        0        1        0        0
62250 r-bioc-hsmmsinglecell              	       1        0        1        0        0
62251 r-bioc-iranges                     	       1        0        1        0        0
62252 r-bioc-limma                       	       1        0        1        0        0
62253 r-bioc-matrixgenerics              	       1        0        1        0        0
62254 r-bioc-monocle                     	       1        0        1        0        0
62255 r-bioc-org.hs.eg.db                	       1        0        1        0        0
62256 r-bioc-rbgl                        	       1        0        1        0        0
62257 r-bioc-rhdf5                       	       1        0        1        0        0
62258 r-bioc-rhdf5filters                	       1        0        1        0        0
62259 r-bioc-rhdf5lib                    	       1        0        1        0        0
62260 r-bioc-rhtslib                     	       1        0        1        0        0
62261 r-bioc-rsamtools                   	       1        0        1        0        0
62262 r-bioc-s4vectors                   	       1        0        1        0        0
62263 r-bioc-scran                       	       1        0        1        0        0
62264 r-bioc-scuttle                     	       1        0        1        0        0
62265 r-bioc-shortread                   	       1        0        1        0        0
62266 r-bioc-singlecellexperiment        	       1        0        1        0        0
62267 r-bioc-sparsematrixstats           	       1        0        1        0        0
62268 r-bioc-summarizedexperiment        	       1        0        1        0        0
62269 r-bioc-tximport                    	       1        0        1        0        0
62270 r-bioc-xvector                     	       1        0        1        0        0
62271 r-bioc-zlibbioc                    	       1        0        1        0        0
62272 r-cran-adegraphics                 	       1        0        1        0        0
62273 r-cran-airr                        	       1        0        1        0        0
62274 r-cran-argparser                   	       1        0        0        1        0
62275 r-cran-blockmodeling               	       1        0        1        0        0
62276 r-cran-bms                         	       1        0        1        0        0
62277 r-cran-bold                        	       1        0        1        0        0
62278 r-cran-bradleyterry2               	       1        0        1        0        0
62279 r-cran-brglm                       	       1        0        1        0        0
62280 r-cran-cairodevice                 	       1        0        1        0        0
62281 r-cran-cftime                      	       1        0        0        1        0
62282 r-cran-clisymbols                  	       1        0        1        0        0
62283 r-cran-cmdfun                      	       1        0        1        0        0
62284 r-cran-conditionz                  	       1        0        1        0        0
62285 r-cran-conting                     	       1        0        1        0        0
62286 r-cran-corpcor                     	       1        0        1        0        0
62287 r-cran-ctmcd                       	       1        0        1        0        0
62288 r-cran-cutpointr                   	       1        0        1        0        0
62289 r-cran-ddrtree                     	       1        0        1        0        0
62290 r-cran-deal                        	       1        0        1        0        0
62291 r-cran-densityclust                	       1        0        1        0        0
62292 r-cran-deoptim                     	       1        0        1        0        0
62293 r-cran-diagnosismed                	       1        0        1        0        0
62294 r-cran-dqrng                       	       1        0        1        0        0
62295 r-cran-eco                         	       1        0        1        0        0
62296 r-cran-epitools                    	       1        0        1        0        0
62297 r-cran-erm                         	       1        0        1        0        0
62298 r-cran-fail                        	       1        0        1        0        0
62299 r-cran-fasianoptions               	       1        0        1        0        0
62300 r-cran-fbonds                      	       1        0        1        0        0
62301 r-cran-fexoticoptions              	       1        0        1        0        0
62302 r-cran-fextremes                   	       1        0        1        0        0
62303 r-cran-fimport                     	       1        0        1        0        0
62304 r-cran-foptions                    	       1        0        1        0        0
62305 r-cran-fregression                 	       1        0        1        0        0
62306 r-cran-ftrading                    	       1        0        1        0        0
62307 r-cran-funitroots                  	       1        0        1        0        0
62308 r-cran-g.data                      	       1        0        1        0        0
62309 r-cran-genabel                     	       1        0        1        0        0
62310 r-cran-genabel.data                	       1        0        1        0        0
62311 r-cran-genetics                    	       1        0        1        0        0
62312 r-cran-ggplot.multistats           	       1        1        0        0        0
62313 r-cran-gmaps                       	       1        0        1        0        0
62314 r-cran-goplot                      	       1        0        1        0        0
62315 r-cran-gregmisc                    	       1        0        1        0        0
62316 r-cran-gridtext                    	       1        0        1        0        0
62317 r-cran-hdf5                        	       1        0        1        0        0
62318 r-cran-irkernel                    	       1        0        1        0        0
62319 r-cran-isoweek                     	       1        0        1        0        0
62320 r-cran-its                         	       1        0        1        0        0
62321 r-cran-lamw                        	       1        0        1        0        0
62322 r-cran-lasso2                      	       1        0        1        0        0
62323 r-cran-lexrankr                    	       1        0        1        0        0
62324 r-cran-logging                     	       1        0        1        0        0
62325 r-cran-lsd                         	       1        0        1        0        0
62326 r-cran-luminescence                	       1        0        1        0        0
62327 r-cran-manipulatewidgets           	       1        0        1        0        0
62328 r-cran-matching                    	       1        0        1        0        0
62329 r-cran-matlab                      	       1        0        1        0        0
62330 r-cran-mfilter                     	       1        0        1        0        0
62331 r-cran-minpack.lm                  	       1        0        1        0        0
62332 r-cran-modeest                     	       1        0        1        0        0
62333 r-cran-natserv                     	       1        0        1        0        0
62334 r-cran-nozzle.r1                   	       1        0        1        0        0
62335 r-cran-optparse                    	       1        0        1        0        0
62336 r-cran-pbdzmq                      	       1        0        1        0        0
62337 r-cran-pheatmap                    	       1        0        1        0        0
62338 r-cran-prettyr                     	       1        0        1        0        0
62339 r-cran-prevalence                  	       1        0        1        0        0
62340 r-cran-profilemodel                	       1        0        1        0        0
62341 r-cran-prophet                     	       1        1        0        0        0
62342 r-cran-proto                       	       1        0        1        0        0
62343 r-cran-psy                         	       1        0        1        0        0
62344 r-cran-pwt                         	       1        0        1        0        0
62345 r-cran-pwt8                        	       1        0        1        0        0
62346 r-cran-qlcmatrix                   	       1        0        1        0        0
62347 r-cran-qtl                         	       1        0        1        0        0
62348 r-cran-r2html                      	       1        0        1        0        0
62349 r-cran-raschsampler                	       1        0        1        0        0
62350 r-cran-rcarb                       	       1        0        1        0        0
62351 r-cran-rcpphnsw                    	       1        0        1        0        0
62352 r-cran-rdbnomics                   	       1        1        0        0        0
62353 r-cran-reformulas                  	       1        0        1        0        0
62354 r-cran-rentrez                     	       1        0        1        0        0
62355 r-cran-rgenoud                     	       1        0        1        0        0
62356 r-cran-rggobi                      	       1        0        1        0        0
62357 r-cran-rhandsontable               	       1        0        1        0        0
62358 r-cran-rinside                     	       1        0        1        0        0
62359 r-cran-ritis                       	       1        0        1        0        0
62360 r-cran-rlumshiny                   	       1        0        1        0        0
62361 r-cran-rmutil                      	       1        0        1        0        0
62362 r-cran-rncl                        	       1        0        1        0        0
62363 r-cran-rprotobuf                   	       1        0        1        0        0
62364 r-cran-rquantlib                   	       1        0        1        0        0
62365 r-cran-rredlist                    	       1        0        1        0        0
62366 r-cran-rsclient                    	       1        0        1        0        0
62367 r-cran-rsdmx                       	       1        0        1        0        0
62368 r-cran-rserve                      	       1        0        1        0        0
62369 r-cran-rsprng                      	       1        0        1        0        0
62370 r-cran-rsvd                        	       1        0        1        0        0
62371 r-cran-scatterd3                   	       1        0        1        0        0
62372 r-cran-segmented                   	       1        0        1        0        0
62373 r-cran-shinybs                     	       1        0        1        0        0
62374 r-cran-shinydashboard              	       1        0        1        0        0
62375 r-cran-sitmo                       	       1        0        1        0        0
62376 r-cran-sjplot                      	       1        0        1        0        0
62377 r-cran-solrium                     	       1        0        1        0        0
62378 r-cran-sparsesvd                   	       1        0        1        0        0
62379 r-cran-sparsevctrs                 	       1        0        0        1        0
62380 r-cran-spatstat.univar             	       1        0        0        1        0
62381 r-cran-stable                      	       1        0        1        0        0
62382 r-cran-statip                      	       1        0        1        0        0
62383 r-cran-suppdists                   	       1        0        1        0        0
62384 r-cran-tidytext                    	       1        0        1        0        0
62385 r-cran-tiff                        	       1        0        1        0        0
62386 r-cran-tkrplot                     	       1        0        1        0        0
62387 r-cran-tokenizers                  	       1        0        1        0        0
62388 r-cran-vcdextra                    	       1        0        1        0        0
62389 r-cran-wdi                         	       1        0        1        0        0
62390 r-cran-wikitaxa                    	       1        0        1        0        0
62391 r-cran-wordcloud                   	       1        0        1        0        0
62392 r-cran-worrms                      	       1        0        1        0        0
62393 r-cran-zelig                       	       1        0        1        0        0
62394 r-omegahat-xmlrpc                  	       1        0        1        0        0
62395 r-other-iwrlars                    	       1        0        1        0        0
62396 r-other-mott-happy.hbrem           	       1        0        1        0        0
62397 r5rs-doc                           	       1        0        0        0        1
62398 rabbit                             	       1        0        1        0        0
62399 rabbitvcs-nautilus                 	       1        0        0        0        1
62400 racoon                             	       1        0        1        0        0
62401 radare2-cutter                     	       1        1        0        0        0
62402 radiance-materials                 	       1        0        0        0        1
62403 radiant                            	       1        0        1        0        0
62404 radiotray                          	       1        0        1        0        0
62405 radon                              	       1        0        1        0        0
62406 raidutils                          	       1        0        0        0        1
62407 rainlendar2-lite                   	       1        1        0        0        0
62408 rainlendar2-pro                    	       1        1        0        0        0
62409 rainloop                           	       1        0        1        0        0
62410 ramond                             	       1        0        1        0        0
62411 rampler                            	       1        0        1        0        0
62412 rancid                             	       1        1        0        0        0
62413 randomplay                         	       1        0        1        0        0
62414 rapid-spring                       	       1        0        1        0        0
62415 rapiddisk                          	       1        0        1        0        0
62416 rapidjson-doc                      	       1        0        0        0        1
62417 rapmap                             	       1        0        1        0        0
62418 raptor-utils                       	       1        0        1        0        0
62419 rar-2.80                           	       1        0        1        0        0
62420 rasmol-doc                         	       1        0        0        0        1
62421 raspberrypi-kernel-headers         	       1        0        1        0        0
62422 raspberrypi-net-mods               	       1        0        1        0        0
62423 raspi-gpio                         	       1        0        1        0        0
62424 raster3d-doc                       	       1        0        0        0        1
62425 rasterio                           	       1        0        1        0        0
62426 rate4site                          	       1        0        1        0        0
62427 rationalplan-single                	       1        0        1        0        0
62428 rawdog                             	       1        0        1        0        0
62429 ray                                	       1        0        1        0        0
62430 raysession                         	       1        0        1        0        0
62431 razergenie                         	       1        0        1        0        0
62432 rbd-fuse                           	       1        0        1        0        0
62433 rbd-nbd                            	       1        0        1        0        0
62434 rbldnsd                            	       1        0        1        0        0
62435 rcon                               	       1        0        1        0        0
62436 rcs-dbgsym                         	       1        0        1        0        0
62437 rcse                               	       1        0        1        0        0
62438 rcse-build-deps                    	       1        0        0        0        1
62439 rdd                                	       1        0        1        0        0
62440 rdf2rml                            	       1        0        1        0        0
62441 rdmacm-utils                       	       1        0        1        0        0
62442 rdopng                             	       1        0        1        0        0
62443 rdopt                              	       1        0        1        0        0
62444 rdp-alignment                      	       1        0        1        0        0
62445 rdp-classifier                     	       1        0        1        0        0
62446 rdp-readseq                        	       1        0        1        0        0
62447 rdup                               	       1        0        1        0        0
62448 realplayer                         	       1        0        1        0        0
62449 realtek-r8125-dkms                 	       1        1        0        0        0
62450 realtimebattle                     	       1        0        1        0        0
62451 realtimebattle-common              	       1        0        1        0        0
62452 rebar                              	       1        0        1        0        0
62453 reboot-notifier                    	       1        0        0        0        1
62454 recite                             	       1        0        1        0        0
62455 reclass                            	       1        0        1        0        0
62456 reclass-doc                        	       1        0        0        0        1
62457 recommonmark-scripts               	       1        0        1        0        0
62458 redeclipse-server                  	       1        0        1        0        0
62459 redet                              	       1        0        1        0        0
62460 redis-redisearch                   	       1        0        1        0        0
62461 redland-bindings-build-deps        	       1        0        0        0        1
62462 redmine-pgsql                      	       1        0        0        0        1
62463 redsea                             	       1        0        1        0        0
62464 redshift-build-deps                	       1        0        0        0        1
62465 redshift-dbgsym                    	       1        0        1        0        0
62466 redshift-qt                        	       1        0        1        0        0
62467 refblas3                           	       1        0        0        0        1
62468 reflex                             	       1        0        1        0        0
62469 refurb                             	       1        0        1        0        0
62470 regina-normal                      	       1        0        1        0        0
62471 regina-normal-doc                  	       1        0        0        0        1
62472 regolith-compositor-picom-glx      	       1        0        0        0        1
62473 regolith-control-center            	       1        0        1        0        0
62474 regolith-default-settings          	       1        0        0        0        1
62475 regolith-desktop                   	       1        0        0        0        1
62476 regolith-ftue                      	       1        0        1        0        0
62477 regolith-i3-compositor             	       1        0        0        0        1
62478 regolith-i3-control-center-regolith	       1        0        0        0        1
62479 regolith-i3-dbus-activation        	       1        0        0        0        1
62480 regolith-i3-default-style          	       1        0        0        0        1
62481 regolith-i3-gaps                   	       1        0        0        0        1
62482 regolith-i3-i3xrocks               	       1        0        0        0        1
62483 regolith-i3-ilia                   	       1        0        0        0        1
62484 regolith-i3-root-config            	       1        0        0        0        1
62485 regolith-i3-session                	       1        0        0        0        1
62486 regolith-i3-unclutter              	       1        0        0        0        1
62487 regolith-i3xrocks-config           	       1        0        0        0        1
62488 regolith-look-ayu                  	       1        0        0        0        1
62489 regolith-look-ayu-dark             	       1        0        0        0        1
62490 regolith-look-ayu-mirage           	       1        0        0        0        1
62491 regolith-look-blackhole            	       1        0        0        0        1
62492 regolith-look-default              	       1        0        0        0        1
62493 regolith-look-default-loader       	       1        0        0        0        1
62494 regolith-look-dracula              	       1        0        0        0        1
62495 regolith-look-gruvbox              	       1        0        0        0        1
62496 regolith-look-i3-default           	       1        0        0        0        1
62497 regolith-look-lascaille            	       1        0        0        0        1
62498 regolith-look-nevil                	       1        0        0        0        1
62499 regolith-look-nord                 	       1        0        0        0        1
62500 regolith-look-solarized-dark       	       1        0        0        0        1
62501 regolith-rofication                	       1        0        1        0        0
62502 regolith-session-common            	       1        0        1        0        0
62503 regolith-session-flashback         	       1        0        1        0        0
62504 regolith-session-flashback-ext     	       1        0        0        0        1
62505 regolith-unclutter-xfixes          	       1        0        1        0        0
62506 regolith-wm-base-launchers         	       1        0        0        0        1
62507 regolith-wm-config                 	       1        0        1        0        0
62508 regolith-wm-ftue                   	       1        0        0        0        1
62509 regolith-wm-navigation             	       1        0        0        0        1
62510 regolith-wm-networkmanager         	       1        0        0        0        1
62511 regolith-wm-resize                 	       1        0        0        0        1
62512 regolith-wm-rofication-ilia        	       1        0        0        0        1
62513 regolith-wm-swap-focus             	       1        0        0        0        1
62514 regolith-wm-workspace-config       	       1        0        0        0        1
62515 rehex                              	       1        0        1        0        0
62516 relational-cli                     	       1        0        1        0        0
62517 relevation                         	       1        0        1        0        0
62518 remmina-plugin-gnome               	       1        1        0        0        0
62519 remmina-plugin-telepathy           	       1        1        0        0        0
62520 renattach                          	       1        0        1        0        0
62521 render-dev                         	       1        0        0        0        1
62522 renpy-demo                         	       1        0        1        0        0
62523 renpy-doc                          	       1        0        0        0        1
62524 replaygain                         	       1        0        1        0        0
62525 repmgr                             	       1        0        0        0        1
62526 repmgr-common                      	       1        1        0        0        0
62527 repo-manager-loc-os                	       1        0        1        0        0
62528 repopush                           	       1        0        1        0        0
62529 reportbug-ng                       	       1        0        1        0        0
62530 repowerd                           	       1        0        1        0        0
62531 repowerd-data                      	       1        0        0        0        1
62532 repowerd-tools                     	       1        0        1        0        0
62533 reprof                             	       1        0        1        0        0
62534 request-tracker4                   	       1        0        1        0        0
62535 rerun                              	       1        0        1        0        0
62536 resample                           	       1        0        1        0        0
62537 rescuezilla                        	       1        0        1        0        0
62538 residualvm                         	       1        0        1        0        0
62539 residualvm-data                    	       1        0        0        0        1
62540 restartd                           	       1        0        1        0        0
62541 resvg                              	       1        0        1        0        0
62542 retroshare                         	       1        0        1        0        0
62543 reuse                              	       1        0        1        0        0
62544 rex                                	       1        0        1        0        0
62545 rexical                            	       1        0        1        0        0
62546 rfkill-dbgsym                      	       1        0        1        0        0
62547 rgxg                               	       1        0        1        0        0
62548 rhythmbox-dev                      	       1        0        1        0        0
62549 ri1.8                              	       1        0        0        0        1
62550 ri1.9.1                            	       1        0        0        0        1
62551 ric                                	       1        0        1        0        0
62552 ricochet-im                        	       1        0        1        0        0
62553 riece                              	       1        0        1        0        0
62554 riemann-c-client                   	       1        0        1        0        0
62555 rien-cloud                         	       1        0        1        0        0
62556 rien-cloud-nextcloud               	       1        0        1        0        0
62557 rien-torrent                       	       1        0        0        1        0
62558 riot-desktop                       	       1        0        0        0        1
62559 riot-nightly                       	       1        0        0        0        1
62560 ripmake                            	       1        0        1        0        0
62561 rkdeveloptool                      	       1        1        0        0        0
62562 rkflashkit                         	       1        0        1        0        0
62563 rlinux                             	       1        0        1        0        0
62564 rman                               	       1        0        1        0        0
62565 rmw                                	       1        0        1        0        0
62566 rna-star                           	       1        0        1        0        0
62567 rnahybrid                          	       1        0        1        0        0
62568 rnbyc                              	       1        0        1        0        0
62569 rng-tools6                         	       1        0        1        0        0
62570 rnnoise                            	       1        0        1        0        0
62571 rnp                                	       1        0        1        0        0
62572 roam-research                      	       1        0        1        0        0
62573 roarclients                        	       1        0        1        0        0
62574 roarplaylistd                      	       1        0        1        0        0
62575 roarplaylistd-codechelper-gst      	       1        0        1        0        0
62576 roarplaylistd-tools                	       1        0        1        0        0
62577 robocode-doc                       	       1        0        0        0        1
62578 robocut                            	       1        0        1        0        0
62579 robotour                           	       1        0        1        0        0
62580 roccat-tools                       	       1        0        1        0        0
62581 rocksdb-tools                      	       1        0        1        0        0
62582 rocm                               	       1        0        0        0        1
62583 rocm-bandwidth-test                	       1        0        1        0        0
62584 rocm-core-asan                     	       1        0        1        0        0
62585 rocm-core5.0.2                     	       1        0        1        0        0
62586 rocm-developer-tools               	       1        0        0        0        1
62587 rocm-gdb                           	       1        0        1        0        0
62588 rocm-khronos-cts                   	       1        0        1        0        0
62589 rocm-llvm-dev                      	       1        0        1        0        0
62590 rocm-ocltst                        	       1        0        0        0        1
62591 rocm-opencl-icd                    	       1        0        0        0        1
62592 rocprofiler                        	       1        0        1        0        0
62593 rocprofiler-plugins                	       1        0        1        0        0
62594 rocprofiler-sdk                    	       1        0        1        0        0
62595 rocprofiler-sdk-roctx              	       1        0        1        0        0
62596 roger                              	       1        0        1        0        0
62597 roger-plugins-evolution            	       1        0        0        0        1
62598 roger-plugins-fritzfon             	       1        0        0        0        1
62599 roger-plugins-gtknotify            	       1        0        0        0        1
62600 roger-plugins-indicator            	       1        0        0        0        1
62601 roger-plugins-notification         	       1        0        0        0        1
62602 roger-plugins-statusicon           	       1        0        0        0        1
62603 roger-router                       	       1        0        1        0        0
62604 roger-router-cli                   	       1        0        1        0        0
62605 rolisteam                          	       1        0        1        0        0
62606 rolisteam-build-deps               	       1        0        0        0        1
62607 rolldice-build-deps                	       1        0        0        0        1
62608 rolldice-dbgsym                    	       1        0        1        0        0
62609 roodi                              	       1        0        1        0        0
62610 ros-actionlib-msgs                 	       1        0        0        0        1
62611 ros-base                           	       1        0        0        0        1
62612 ros-base-dev                       	       1        0        0        0        1
62613 ros-base-lisp-dev                  	       1        0        0        0        1
62614 ros-base-python-dev                	       1        0        0        0        1
62615 ros-cmake-modules                  	       1        0        0        0        1
62616 ros-core                           	       1        0        0        0        1
62617 ros-core-dev                       	       1        0        0        0        1
62618 ros-core-lisp-dev                  	       1        0        0        0        1
62619 ros-core-python-dev                	       1        0        0        0        1
62620 ros-core-rosbuild-dev              	       1        0        0        0        1
62621 ros-desktop                        	       1        0        0        0        1
62622 ros-desktop-full                   	       1        0        0        0        1
62623 ros-desktop-full-lisp-dev          	       1        0        0        0        1
62624 ros-desktop-lisp-dev               	       1        0        0        0        1
62625 ros-diagnostic-msgs                	       1        0        0        0        1
62626 ros-environment                    	       1        0        0        0        1
62627 ros-geometry-msgs                  	       1        0        0        0        1
62628 ros-groovy-eigen-stl-containers    	       1        0        0        0        1
62629 ros-map-msgs                       	       1        0        0        0        1
62630 ros-mk                             	       1        0        0        0        1
62631 ros-move-base-msgs                 	       1        0        0        0        1
62632 ros-nav-msgs                       	       1        0        0        0        1
62633 ros-pcl-msgs                       	       1        0        0        0        1
62634 ros-perception                     	       1        0        0        0        1
62635 ros-perception-lisp-dev            	       1        0        0        0        1
62636 ros-robot                          	       1        0        0        0        1
62637 ros-robot-dev                      	       1        0        0        0        1
62638 ros-robot-lisp-dev                 	       1        0        0        0        1
62639 ros-robot-python-dev               	       1        0        0        0        1
62640 ros-roscpp-msg                     	       1        0        0        0        1
62641 ros-rosgraph-msgs                  	       1        0        0        0        1
62642 ros-sensor-msgs                    	       1        0        0        0        1
62643 ros-shape-msgs                     	       1        0        0        0        1
62644 ros-simulators                     	       1        0        0        0        1
62645 ros-simulators-dev                 	       1        0        0        0        1
62646 ros-simulators-lisp-dev            	       1        0        0        0        1
62647 ros-simulators-python-dev          	       1        0        0        0        1
62648 ros-std-msgs                       	       1        0        0        0        1
62649 ros-std-srvs                       	       1        0        0        0        1
62650 ros-stereo-msgs                    	       1        0        0        0        1
62651 ros-tf2-msgs                       	       1        0        0        0        1
62652 ros-topic-tools-srvs               	       1        0        0        0        1
62653 ros-trajectory-msgs                	       1        0        0        0        1
62654 ros-visualization-msgs             	       1        0        0        0        1
62655 ros-viz                            	       1        0        0        0        1
62656 rosbuild                           	       1        0        1        0        0
62657 roslang                            	       1        0        0        0        1
62658 rosout                             	       1        0        1        0        0
62659 roundcube-plugin-authres-status    	       1        0        1        0        0
62660 roundcube-plugin-compose-addressbook	       1        0        1        0        0
62661 roundcube-plugin-contextmenu       	       1        0        1        0        0
62662 roundcube-plugin-dovecot-impersonate	       1        0        1        0        0
62663 roundcube-plugin-fail2ban          	       1        0        1        0        0
62664 roundcube-plugin-html5-notifier    	       1        0        1        0        0
62665 roundcube-plugin-keyboard-shortcuts	       1        0        1        0        0
62666 roundcube-plugin-listcommands      	       1        0        1        0        0
62667 roundcube-plugin-message-highlight 	       1        0        1        0        0
62668 roundcube-plugin-sauserprefs       	       1        0        1        0        0
62669 roundcube-plugin-thunderbird-labels	       1        0        1        0        0
62670 roundcubemail                      	       1        0        1        0        0
62671 roundcubemail-plugin-kolab-delegation	       1        0        1        0        0
62672 roundcubemail-plugins-kolab        	       1        0        0        0        1
62673 roundcubemail-skin-chameleon       	       1        0        0        0        1
62674 rpi-eeprom                         	       1        0        1        0        0
62675 rpi-imager-dbgsym                  	       1        0        1        0        0
62676 rpi-update                         	       1        0        1        0        0
62677 rpp-dev                            	       1        0        1        0        0
62678 rsbackup-lib                       	       1        0        1        0        0
62679 rsem                               	       1        0        1        0        0
62680 rsemd                              	       1        0        1        0        0
62681 rsendmail                          	       1        0        0        1        0
62682 rsrce                              	       1        0        1        0        0
62683 rstatd                             	       1        0        1        0        0
62684 rsync-build-deps                   	       1        0        0        0        1
62685 rsyncbackup-2pir                   	       1        0        1        0        0
62686 rsyslog-dbgsym                     	       1        0        1        0        0
62687 rsyslog-gssapi                     	       1        0        0        0        1
62688 rsyslog-mysql                      	       1        1        0        0        0
62689 rsyslog-pgsql                      	       1        0        0        0        1
62690 rsyslog-snmp                       	       1        0        0        0        1
62691 rt3573sta-driver                   	       1        0        0        0        1
62692 rt4-apache2                        	       1        0        0        0        1
62693 rt4-clients                        	       1        0        1        0        0
62694 rt4-db-mysql                       	       1        0        0        0        1
62695 rtax                               	       1        0        1        0        0
62696 rtcw-en-data                       	       1        0        0        0        1
62697 rtklib-doc                         	       1        0        0        0        1
62698 rtl-connector                      	       1        0        1        0        0
62699 rtl-tcp-connector                  	       1        0        1        0        0
62700 rtl8192eu-dkms                     	       1        0        1        0        0
62701 rtl8821cu-dkms                     	       1        0        1        0        0
62702 rtorrent-build-deps                	       1        0        0        0        1
62703 rtorrent-dbgsym                    	       1        0        1        0        0
62704 rts5229                            	       1        0        0        0        1
62705 rttool                             	       1        0        1        0        0
62706 rtx                                	       1        0        1        0        0
62707 ruby-abstract-type                 	       1        0        1        0        0
62708 ruby-ace-rails-ap                  	       1        0        1        0        0
62709 ruby-acme-client                   	       1        0        1        0        0
62710 ruby-active-model-serializers      	       1        0        1        0        0
62711 ruby-activeldap                    	       1        0        1        0        0
62712 ruby-activemodel-serializers-xml   	       1        0        1        0        0
62713 ruby-acts-as-api                   	       1        0        1        0        0
62714 ruby-acts-as-list                  	       1        0        1        0        0
62715 ruby-acts-as-taggable-on           	       1        0        0        1        0
62716 ruby-acts-as-tree                  	       1        0        1        0        0
62717 ruby-adsf                          	       1        0        1        0        0
62718 ruby-adsf-live                     	       1        0        1        0        0
62719 ruby-ae                            	       1        0        1        0        0
62720 ruby-aes-key-wrap                  	       1        0        1        0        0
62721 ruby-aggregate                     	       1        0        1        0        0
62722 ruby-ahoy-email                    	       1        0        0        1        0
62723 ruby-airbrussh                     	       1        0        1        0        0
62724 ruby-akismet                       	       1        0        1        0        0
62725 ruby-amq-protocol                  	       1        0        1        0        0
62726 ruby-amrita2                       	       1        0        1        0        0
62727 ruby-arr-pm                        	       1        0        1        0        0
62728 ruby-asciidoctor-include-ext       	       1        0        1        0        0
62729 ruby-asciidoctor-plantuml          	       1        0        1        0        0
62730 ruby-async                         	       1        0        1        0        0
62731 ruby-async-http                    	       1        0        1        0        0
62732 ruby-async-io                      	       1        0        1        0        0
62733 ruby-async-pool                    	       1        0        1        0        0
62734 ruby-async-process                 	       1        0        1        0        0
62735 ruby-async-rspec                   	       1        0        1        0        0
62736 ruby-bdb                           	       1        0        0        0        1
62737 ruby-bindata                       	       1        0        1        0        0
62738 ruby-bootstrap-form                	       1        0        1        0        0
62739 ruby-bunny                         	       1        0        1        0        0
62740 ruby-case-transform                	       1        0        1        0        0
62741 ruby-celluloid-essentials          	       1        0        1        0        0
62742 ruby-celluloid-extras              	       1        0        1        0        0
62743 ruby-celluloid-fsm                 	       1        0        1        0        0
62744 ruby-celluloid-pool                	       1        0        1        0        0
62745 ruby-celluloid-supervision         	       1        0        1        0        0
62746 ruby-chromedriver-helper           	       1        0        1        0        0
62747 ruby-chronic                       	       1        0        1        0        0
62748 ruby-cleanroom                     	       1        0        1        0        0
62749 ruby-color                         	       1        0        1        0        0
62750 ruby-colored2                      	       1        0        1        0        0
62751 ruby-commandline                   	       1        0        1        0        0
62752 ruby-console                       	       1        0        1        0        0
62753 ruby-crack                         	       1        0        1        0        0
62754 ruby-cri                           	       1        0        1        0        0
62755 ruby-cri-doc                       	       1        0        0        0        1
62756 ruby-csv                           	       1        0        1        0        0
62757 ruby-cucumber-core                 	       1        0        1        0        0
62758 ruby-cucumber-wire                 	       1        0        1        0        0
62759 ruby-curb                          	       1        0        0        0        1
62760 ruby-dataobjects-mysql             	       1        0        1        0        0
62761 ruby-dbd-mysql                     	       1        0        1        0        0
62762 ruby-dbd-sqlite3                   	       1        0        1        0        0
62763 ruby-dbus                          	       1        0        1        0        0
62764 ruby-ddmetrics                     	       1        0        1        0        0
62765 ruby-ddplugin                      	       1        0        1        0        0
62766 ruby-deb-version                   	       1        0        1        0        0
62767 ruby-declarative                   	       1        0        1        0        0
62768 ruby-declarative-option            	       1        0        1        0        0
62769 ruby-device-detector               	       1        0        1        0        0
62770 ruby-dirty-memoize                 	       1        0        1        0        0
62771 ruby-distribution                  	       1        0        1        0        0
62772 ruby-docker-api                    	       1        0        1        0        0
62773 ruby-dotenv                        	       1        0        1        0        0
62774 ruby-ecasound                      	       1        0        1        0        0
62775 ruby-eim-xml                       	       1        0        1        0        0
62776 ruby-errbase                       	       1        0        1        0        0
62777 ruby-escape                        	       1        0        1        0        0
62778 ruby-ethon                         	       1        0        1        0        0
62779 ruby-expression-parser             	       1        0        1        0        0
62780 ruby-expression-parser-doc         	       1        0        0        0        1
62781 ruby-facets                        	       1        0        1        0        0
62782 ruby-faraday-middleware            	       1        0        1        0        0
62783 ruby-faraday-retry                 	       1        0        1        0        0
62784 ruby-feedparser                    	       1        0        1        0        0
62785 ruby-ffi-yajl                      	       1        0        1        0        0
62786 ruby-fiber-local                   	       1        0        1        0        0
62787 ruby-fssm                          	       1        0        1        0        0
62788 ruby-fuzzyurl                      	       1        0        1        0        0
62789 ruby-gdk4                          	       1        0        0        1        0
62790 ruby-gettext-activerecord          	       1        0        1        0        0
62791 ruby-gettext-i18n-rails            	       1        0        1        0        0
62792 ruby-gettext-setup                 	       1        0        1        0        0
62793 ruby-gherkin                       	       1        0        1        0        0
62794 ruby-god                           	       1        0        1        0        0
62795 ruby-gpgme                         	       1        0        1        0        0
62796 ruby-grit                          	       1        0        1        0        0
62797 ruby-gsl                           	       1        0        0        0        1
62798 ruby-gssapi                        	       1        0        1        0        0
62799 ruby-hashie                        	       1        0        1        0        0
62800 ruby-hivex                         	       1        0        0        1        0
62801 ruby-html2text                     	       1        0        1        0        0
62802 ruby-http-connection               	       1        0        1        0        0
62803 ruby-http-parser.rb-doc            	       1        0        0        0        1
62804 ruby-i18n-data                     	       1        0        1        0        0
62805 ruby-icalendar                     	       1        1        0        0        0
62806 ruby-ice-cube                      	       1        1        0        0        0
62807 ruby-imagesize                     	       1        0        1        0        0
62808 ruby-iniparse                      	       1        0        1        0        0
62809 ruby-innertube                     	       1        0        1        0        0
62810 ruby-inotify                       	       1        0        1        0        0
62811 ruby-jar-dependencies              	       1        0        1        0        0
62812 ruby-jekyll-avatar                 	       1        0        1        0        0
62813 ruby-jekyll-compose                	       1        0        1        0        0
62814 ruby-jekyll-last-modified-at       	       1        0        0        0        1
62815 ruby-jekyll-mentions               	       1        0        1        0        0
62816 ruby-jekyll-paginate-v2            	       1        0        0        0        1
62817 ruby-jekyll-redirect-from          	       1        0        1        0        0
62818 ruby-jekyll-sitemap                	       1        0        1        0        0
62819 ruby-joiner                        	       1        0        1        0        0
62820 ruby-jsonapi-renderer              	       1        0        1        0        0
62821 ruby-kramdown-rfc2629              	       1        0        1        0        0
62822 ruby-krb5-auth                     	       1        0        1        0        0
62823 ruby-launchy-shim                  	       1        0        1        0        0
62824 ruby-libnotify                     	       1        0        1        0        0
62825 ruby-librarian                     	       1        0        1        0        0
62826 ruby-lockfile                      	       1        0        1        0        0
62827 ruby-mab                           	       1        0        1        0        0
62828 ruby-mapscript                     	       1        0        0        0        1
62829 ruby-mathml                        	       1        0        1        0        0
62830 ruby-mdl                           	       1        0        1        0        0
62831 ruby-mecab                         	       1        0        0        0        1
62832 ruby-memcache-client               	       1        0        1        0        0
62833 ruby-metriks                       	       1        0        1        0        0
62834 ruby-middleware                    	       1        0        1        0        0
62835 ruby-mixlib-archive                	       1        0        1        0        0
62836 ruby-mixlib-authentication         	       1        0        1        0        0
62837 ruby-msfrpc-client                 	       1        0        1        0        0
62838 ruby-multi-test                    	       1        0        1        0        0
62839 ruby-multibitnums                  	       1        0        0        0        1
62840 ruby-nenv                          	       1        0        1        0        0
62841 ruby-neovim                        	       1        0        1        0        0
62842 ruby-net-dns                       	       1        0        1        0        0
62843 ruby-netcdf                        	       1        0        1        0        0
62844 ruby-notifier                      	       1        0        1        0        0
62845 ruby-oauth                         	       1        0        1        0        0
62846 ruby-oily-png                      	       1        0        1        0        0
62847 ruby-okular                        	       1        0        1        0        0
62848 ruby-ole                           	       1        0        1        0        0
62849 ruby-opennebula                    	       1        0        1        0        0
62850 ruby-parser                        	       1        0        1        0        0
62851 ruby-plist                         	       1        0        1        0        0
62852 ruby-protocol-hpack                	       1        0        1        0        0
62853 ruby-protocol-http                 	       1        0        1        0        0
62854 ruby-protocol-http1                	       1        0        1        0        0
62855 ruby-protocol-http2                	       1        0        1        0        0
62856 ruby-proxifier                     	       1        0        1        0        0
62857 ruby-pry-byebug                    	       1        1        0        0        0
62858 ruby-psych                         	       1        0        0        0        1
62859 ruby-puppet-forge                  	       1        0        1        0        0
62860 ruby-puppet-resource-api           	       1        0        1        0        0
62861 ruby-puppetserver-ca-cli           	       1        0        1        0        0
62862 ruby-rack-livereload               	       1        0        0        1        0
62863 ruby-rack-session                  	       1        0        0        1        0
62864 ruby-rackup                        	       1        0        1        0        0
62865 ruby-rails-i18n                    	       1        0        1        0        0
62866 ruby-ramaze                        	       1        0        1        0        0
62867 ruby-riddle                        	       1        0        1        0        0
62868 ruby-rspec-files                   	       1        0        1        0        0
62869 ruby-rspec-logsplit                	       1        0        1        0        0
62870 ruby-rspec-memory                  	       1        0        1        0        0
62871 ruby-rsvg2                         	       1        0        1        0        0
62872 ruby-rsync                         	       1        0        1        0        0
62873 ruby-rubocop-rspec                 	       1        0        1        0        0
62874 ruby-rubymail-doc                  	       1        0        0        0        1
62875 ruby-safely-block                  	       1        0        1        0        0
62876 ruby-schash                        	       1        0        1        0        0
62877 ruby-serialport                    	       1        1        0        0        0
62878 ruby-settingslogic-doc             	       1        0        0        0        1
62879 ruby-setup                         	       1        0        1        0        0
62880 ruby-sigar                         	       1        0        0        0        1
62881 ruby-simple-po-parser              	       1        0        1        0        0
62882 ruby-specinfra                     	       1        0        1        0        0
62883 ruby-spreadsheet                   	       1        0        1        0        0
62884 ruby-sshkit                        	       1        0        1        0        0
62885 ruby-stackprof                     	       1        0        1        0        0
62886 ruby-state-machines                	       1        0        1        0        0
62887 ruby-syslog-logger                 	       1        0        1        0        0
62888 ruby-table-print                   	       1        0        1        0        0
62889 ruby-tcltk                         	       1        0        0        0        1
62890 ruby-text-format                   	       1        0        1        0        0
62891 ruby-thinking-sphinx               	       1        0        1        0        0
62892 ruby-tioga                         	       1        0        1        0        0
62893 ruby-tioga-doc                     	       1        0        0        0        1
62894 ruby-tokyocabinet                  	       1        0        0        0        1
62895 ruby-toml                          	       1        0        1        0        0
62896 ruby-traces                        	       1        0        1        0        0
62897 ruby-tty-command                   	       1        0        1        0        0
62898 ruby-tty-screen                    	       1        0        1        0        0
62899 ruby-twitter4r                     	       1        0        1        0        0
62900 ruby-unicorn-engine                	       1        0        0        0        1
62901 ruby-unidecode                     	       1        0        1        0        0
62902 ruby-usb                           	       1        0        1        0        0
62903 ruby-useragent                     	       1        0        0        1        0
62904 ruby-uuidtools-doc                 	       1        0        0        0        1
62905 ruby-validatable                   	       1        0        1        0        0
62906 ruby-whitewash                     	       1        0        1        0        0
62907 ruby-xapian                        	       1        0        1        0        0
62908 ruby-ya2yaml                       	       1        0        1        0        0
62909 ruby-yell                          	       1        0        1        0        0
62910 ruby1.6                            	       1        0        1        0        0
62911 ruby1.8-examples                   	       1        0        0        0        1
62912 ruby1.9.1-full                     	       1        0        0        0        1
62913 ruby2.1-dev                        	       1        0        1        0        0
62914 ruby2.1-doc                        	       1        0        1        0        0
62915 ruby2.3-tcltk                      	       1        0        1        0        0
62916 rubybook                           	       1        0        0        0        1
62917 rubygems                           	       1        0        1        0        0
62918 rubygems-doc                       	       1        0        0        0        1
62919 rudder-agent                       	       1        0        1        0        0
62920 rudder-jetty                       	       1        0        1        0        0
62921 rudder-techniques                  	       1        0        0        0        1
62922 rulex                              	       1        0        1        0        0
62923 runawk                             	       1        0        1        0        0
62924 runds-connector                    	       1        0        1        0        0
62925 rungetty                           	       1        0        1        0        0
62926 rungettyloop                       	       1        0        1        0        0
62927 runlim                             	       1        0        1        0        0
62928 rust                               	       1        0        1        0        0
62929 rust-coreutils                     	       1        0        1        0        0
62930 rust-diffutils                     	       1        0        1        0        0
62931 rust-findutils                     	       1        0        1        0        0
62932 rust-oids                          	       1        0        1        0        0
62933 rustc-web                          	       1        0        1        0        0
62934 rustfmt-web                        	       1        0        1        0        0
62935 rutebook                           	       1        0        0        0        1
62936 rutokenplugin                      	       1        1        0        0        0
62937 rviz                               	       1        0        1        0        0
62938 rx320                              	       1        0        1        0        0
62939 rxp                                	       1        0        1        0        0
62940 rygel-2.6-dev                      	       1        0        1        0        0
62941 rygel-gst-launch                   	       1        0        0        0        1
62942 ryzom-client-config                	       1        0        0        0        1
62943 s3d-data                           	       1        0        0        0        1
62944 s3dx11gate                         	       1        0        1        0        0
62945 sabnzbdplus                        	       1        0        1        0        0
62946 sacd                               	       1        0        1        0        0
62947 sacd-extract                       	       1        0        1        0        0
62948 safe-rm                            	       1        1        0        0        0
62949 safte-monitor                      	       1        0        1        0        0
62950 sailcut                            	       1        0        1        0        0
62951 saint                              	       1        0        1        0        0
62952 salmid                             	       1        0        1        0        0
62953 salmon                             	       1        0        1        0        0
62954 salt-api                           	       1        0        1        0        0
62955 salt-cloud                         	       1        0        1        0        0
62956 salt-doc                           	       1        0        0        0        1
62957 salt-master                        	       1        0        1        0        0
62958 salt-pepper                        	       1        0        1        0        0
62959 salt-ssh                           	       1        0        1        0        0
62960 sam                                	       1        0        1        0        0
62961 samba-vfs-ceph                     	       1        0        0        0        1
62962 samba-vfs-replic                   	       1        0        0        0        1
62963 sambamba                           	       1        0        1        0        0
62964 samplerate-programs                	       1        0        0        0        1
62965 sancho                             	       1        0        1        0        0
62966 sandsifter-build-deps              	       1        0        0        0        1
62967 sane-dbg                           	       1        0        1        0        0
62968 saods9-tclpackages                 	       1        0        0        0        1
62969 saoxmlrpc                          	       1        0        0        0        1
62970 sapmachine-11-jdk                  	       1        0        1        0        0
62971 sapphire                           	       1        0        1        0        0
62972 sarg                               	       1        0        1        0        0
62973 sasm                               	       1        0        1        0        0
62974 sasmodels-private-libs             	       1        0        1        0        0
62975 sass-elisp                         	       1        0        1        0        0
62976 sawfish-merlin-ugliness            	       1        0        0        0        1
62977 sawfish-pager                      	       1        0        1        0        0
62978 sbackup                            	       1        0        1        0        0
62979 sbrsh                              	       1        0        1        0        0
62980 sbrshd                             	       1        0        1        0        0
62981 sbt-ivy                            	       1        0        0        0        1
62982 sbuild-debian-developer-setup      	       1        0        1        0        0
62983 sbxkb                              	       1        0        1        0        0
62984 sc-im                              	       1        0        1        0        0
62985 sc3-plugins                        	       1        0        0        0        1
62986 sc3-plugins-language               	       1        0        0        0        1
62987 scala-asm                          	       1        0        0        0        1
62988 scala-mode-el                      	       1        0        0        0        1
62989 scalable-cyrfonts-tex              	       1        0        0        0        1
62990 scalapack-mpi-test                 	       1        0        0        0        1
62991 scalapack-test-common              	       1        0        0        0        1
62992 scale2x                            	       1        0        1        0        0
62993 scanbd                             	       1        0        1        0        0
62994 scangearmp-mg2500series            	       1        0        1        0        0
62995 scangearmp-mg3500series            	       1        0        1        0        0
62996 scanlogd                           	       1        1        0        0        0
62997 scantailor                         	       1        0        1        0        0
62998 scantailor-advanced                	       1        0        1        0        0
62999 scantailor-deviant                 	       1        0        1        0        0
63000 scantailor-experimental            	       1        0        1        0        0
63001 scantailor-universal               	       1        0        1        0        0
63002 scdoc-dbgsym                       	       1        0        1        0        0
63003 sciebo-client-caja                 	       1        0        0        0        1
63004 sciebo-client-overlays-icons       	       1        0        0        0        1
63005 science-all                        	       1        0        0        0        1
63006 science-highenergy-physics         	       1        0        1        0        0
63007 science-linguistics                	       1        0        1        0        0
63008 science-neuroscience-modeling      	       1        0        1        0        0
63009 science-numericalcomputation       	       1        0        1        0        0
63010 scilab-celestlab                   	       1        0        1        0        0
63011 scilab-doc-fr                      	       1        0        0        0        1
63012 scilab-doc-pt-br                   	       1        0        0        0        1
63013 scilab-jims                        	       1        0        1        0        0
63014 scilab-overload                    	       1        0        1        0        0
63015 scilab-plotlib                     	       1        0        1        0        0
63016 scilab-scimax                      	       1        0        1        0        0
63017 scilab-scimax-doc                  	       1        0        1        0        0
63018 scilab-test                        	       1        0        1        0        0
63019 scim-qt-immodule                   	       1        0        0        0        1
63020 sciplot-bin                        	       1        0        1        0        0
63021 scotch                             	       1        0        1        0        0
63022 scowl                              	       1        0        0        0        1
63023 scram-gui                          	       1        0        1        0        0
63024 scratchbox-core                    	       1        1        0        0        0
63025 scratchbox-devkit-apt-https        	       1        0        1        0        0
63026 scratchbox-devkit-autotools-legacy 	       1        0        1        0        0
63027 scratchbox-devkit-debian-squeeze   	       1        0        1        0        0
63028 scratchbox-devkit-doctools         	       1        0        1        0        0
63029 scratchbox-devkit-git              	       1        0        1        0        0
63030 scratchbox-devkit-perl             	       1        0        1        0        0
63031 scratchbox-devkit-python-legacy    	       1        0        1        0        0
63032 scratchbox-devkit-qemu             	       1        0        1        0        0
63033 scratchbox-devkit-svn              	       1        0        1        0        0
63034 scratchbox-toolchain-cs2007q3-glibc2.5-arm7	       1        0        1        0        0
63035 scratchbox-toolchain-cs2007q3-glibc2.5-i486	       1        0        1        0        0
63036 scratchbox-toolchain-cs2009q3-eglibc2.10-armv7-hard	       1        0        1        0        0
63037 scratchbox-toolchain-cs2009q3-eglibc2.10-i486	       1        0        1        0        0
63038 scribble                           	       1        0        1        0        0
63039 scribus-archive-keyring            	       1        0        0        0        1
63040 scribus-ng                         	       1        0        0        0        1
63041 scribus-ng-doc                     	       1        0        0        0        1
63042 scrm                               	       1        0        1        0        0
63043 scsi-idle                          	       1        0        1        0        0
63044 scst-dkms                          	       1        0        1        0        0
63045 scstadmin                          	       1        0        1        0        0
63046 scythe                             	       1        0        1        0        0
63047 sd2epub                            	       1        0        1        0        0
63048 sd2odf                             	       1        0        1        0        0
63049 sdate                              	       1        0        1        0        0
63050 sdb                                	       1        0        1        0        0
63051 sdd                                	       1        0        1        0        0
63052 sddm-theme-circles                 	       1        0        0        0        1
63053 sdformat-doc                       	       1        0        0        0        1
63054 sdpb                               	       1        1        0        0        0
63055 sdpb-doc                           	       1        0        0        0        1
63056 seadrive-daemon                    	       1        0        1        0        0
63057 seahorse-adventures                	       1        0        1        0        0
63058 seahorse-nautilus                  	       1        0        1        0        0
63059 sear                               	       1        0        1        0        0
63060 sear-media                         	       1        0        0        0        1
63061 seaview                            	       1        0        1        0        0
63062 sec                                	       1        0        1        0        0
63063 secback-client                     	       1        0        1        0        0
63064 secback-client-dbgsym              	       1        0        1        0        0
63065 secback-libs                       	       1        0        1        0        0
63066 secback-libs-dbgsym                	       1        0        1        0        0
63067 secback-server                     	       1        0        1        0        0
63068 secback-server-dbgsym              	       1        0        1        0        0
63069 secback-simpel-config              	       1        0        0        0        1
63070 securefs                           	       1        0        1        0        0
63071 sedparse                           	       1        0        1        0        0
63072 sedsed                             	       1        0        1        0        0
63073 seed                               	       1        0        1        0        0
63074 seekwatcher                        	       1        0        1        0        0
63075 selflinux                          	       1        0        1        0        0
63076 selflinux-pdf                      	       1        0        0        0        1
63077 selint                             	       1        0        1        0        0
63078 selinux-doc                        	       1        0        0        0        1
63079 selinux-policy-doc                 	       1        0        0        0        1
63080 selinux-policy-src                 	       1        0        0        0        1
63081 semanage-utils                     	       1        0        0        0        1
63082 sentencepiece                      	       1        0        1        0        0
63083 seqkit                             	       1        0        1        0        0
63084 seqprep                            	       1        0        1        0        0
63085 ser                                	       1        0        1        0        0
63086 ser-jabber-module                  	       1        0        1        0        0
63087 seriousproton-build-deps           	       1        0        0        0        1
63088 servefile                          	       1        0        1        0        0
63089 session-manager-plugin             	       1        0        1        0        0
63090 sessioninstaller                   	       1        0        1        0        0
63091 setpriv                            	       1        0        1        0        0
63092 setpwc                             	       1        0        1        0        0
63093 sf2-librazik                       	       1        0        0        0        1
63094 sf2-uiems                          	       1        0        0        0        1
63095 sfcb                               	       1        1        0        0        0
63096 sfind                              	       1        0        1        0        0
63097 sfnt2woff-zopfli                   	       1        0        1        0        0
63098 sfwbar                             	       1        0        1        0        0
63099 sfz-avldrumkits                    	       1        0        0        0        1
63100 sfz-librazik                       	       1        0        0        0        1
63101 sfz-nobudgetorchestra              	       1        0        0        0        1
63102 sgb                                	       1        0        1        0        0
63103 sgb-doc                            	       1        0        0        0        1
63104 shadowsocks-v2ray-plugin           	       1        0        1        0        0
63105 shake-fs                           	       1        0        1        0        0
63106 shaketracker                       	       1        0        1        0        0
63107 shanty                             	       1        0        1        0        0
63108 shapetools-tutorial                	       1        0        0        0        1
63109 shatag                             	       1        0        1        0        0
63110 shellex                            	       1        0        1        0        0
63111 sherlock                           	       1        0        1        0        0
63112 sherlock.lv2                       	       1        0        1        0        0
63113 shift-nano                         	       1        0        0        0        1
63114 shim                               	       1        0        1        0        0
63115 shineenc                           	       1        0        1        0        0
63116 shoogle                            	       1        0        1        0        0
63117 shorewall-lite                     	       1        0        1        0        0
63118 shorewall6-lite                    	       1        0        1        0        0
63119 shortwave                          	       1        0        0        1        0
63120 shotdetect                         	       1        0        1        0        0
63121 shove                              	       1        0        1        0        0
63122 si                                 	       1        0        1        0        0
63123 sibsim4                            	       1        0        1        0        0
63124 sic                                	       1        0        1        0        0
63125 siftool                            	       1        0        1        0        0
63126 sightviewer                        	       1        0        1        0        0
63127 sigma-align                        	       1        0        1        0        0
63128 signal-cli-jre                     	       1        0        0        1        0
63129 signald                            	       1        0        1        0        0
63130 signaldctl                         	       1        0        1        0        0
63131 signon-plugin-oauth2-dev           	       1        0        1        0        0
63132 signond-dev                        	       1        0        1        0        0
63133 signond-doc                        	       1        0        0        0        1
63134 silkaj                             	       1        0        1        0        0
63135 sim-data                           	       1        0        0        0        1
63136 simhash                            	       1        0        1        0        0
63137 simple-fb2-reader                  	       1        0        1        0        0
63138 simple-image-filter                	       1        0        1        0        0
63139 simple-image-reducer               	       1        0        1        0        0
63140 simple-mtpfs                       	       1        0        1        0        0
63141 simple-netaid-gtk2                 	       1        0        1        0        0
63142 simple-obfs                        	       1        0        1        0        0
63143 simplemonitor                      	       1        0        1        0        0
63144 simplenote                         	       1        0        0        0        1
63145 simpletimesheet                    	       1        0        0        0        1
63146 simplyhtml-doc                     	       1        0        0        0        1
63147 simstring-bin                      	       1        0        1        0        0
63148 sing                               	       1        0        1        0        0
63149 singularity-ce                     	       1        0        1        0        0
63150 sip5-tools                         	       1        0        1        0        0
63151 sip6-doc                           	       1        0        0        0        1
63152 sispmctl                           	       1        0        1        0        0
63153 sispwctrl                          	       1        0        1        0        0
63154 sisu-markup-samples                	       1        0        0        0        1
63155 skalibs                            	       1        0        0        0        1
63156 skeema                             	       1        1        0        0        0
63157 skkdic-cdb                         	       1        0        0        0        1
63158 sks                                	       1        0        1        0        0
63159 skydns                             	       1        0        1        0        0
63160 skylighting                        	       1        0        1        0        0
63161 skype                              	       1        0        1        0        0
63162 skypeweb                           	       1        0        0        0        1
63163 sl-modem-daemon                    	       1        0        1        0        0
63164 sl-modem-dkms                      	       1        0        1        0        0
63165 sl-modem-source                    	       1        0        0        0        1
63166 sla                                	       1        0        1        0        0
63167 slac                               	       1        0        1        0        0
63168 slang-gsl                          	       1        0        0        0        1
63169 slang-pvm                          	       1        0        1        0        0
63170 slang-tess                         	       1        0        1        0        0
63171 slang-xfig                         	       1        0        0        0        1
63172 sleepenh                           	       1        0        1        0        0
63173 slic3r-prusa-build-deps            	       1        0        0        0        1
63174 slim-dbgsym                        	       1        0        1        0        0
63175 slimbookbattery                    	       1        0        1        0        0
63176 slimevolley                        	       1        0        1        0        0
63177 slimevolley-data                   	       1        0        0        0        1
63178 slimit                             	       1        0        1        0        0
63179 slkvm-novz                         	       1        0        1        0        0
63180 slocate                            	       1        1        0        0        0
63181 slony1-2-doc                       	       1        0        0        0        1
63182 slugify                            	       1        0        1        0        0
63183 slurm-wlm-basic-plugins-dev        	       1        0        0        0        1
63184 slurm-wlm-elasticsearch-plugin     	       1        0        0        0        1
63185 slurm-wlm-elasticsearch-plugin-dev 	       1        0        0        0        1
63186 slurm-wlm-hdf5-plugin              	       1        0        1        0        0
63187 slurm-wlm-hdf5-plugin-dev          	       1        0        0        0        1
63188 slurm-wlm-influxdb-plugin          	       1        0        0        0        1
63189 slurm-wlm-influxdb-plugin-dev      	       1        0        0        0        1
63190 slurm-wlm-ipmi-plugins             	       1        0        0        0        1
63191 slurm-wlm-ipmi-plugins-dev         	       1        0        0        0        1
63192 slurm-wlm-jwt-plugin               	       1        0        0        0        1
63193 slurm-wlm-jwt-plugin-dev           	       1        0        0        0        1
63194 slurm-wlm-mysql-plugin-dev         	       1        0        0        0        1
63195 slurm-wlm-plugins                  	       1        0        0        0        1
63196 slurm-wlm-plugins-dev              	       1        0        0        0        1
63197 slurm-wlm-rrd-plugin               	       1        0        0        0        1
63198 slurm-wlm-rrd-plugin-dev           	       1        0        0        0        1
63199 slurm-wlm-rsmi-plugin              	       1        0        0        0        1
63200 slurm-wlm-rsmi-plugin-dev          	       1        0        0        0        1
63201 smalt                              	       1        0        1        0        0
63202 smartlist                          	       1        0        0        0        1
63203 smartmontools-build-deps           	       1        0        0        0        1
63204 smartmontools-dbgsym               	       1        0        1        0        0
63205 smartpm                            	       1        0        1        0        0
63206 smartpm-core                       	       1        0        1        0        0
63207 smb4k-trinity                      	       1        0        1        0        0
63208 smbfs                              	       1        0        1        0        0
63209 smc                                	       1        0        1        0        0
63210 smc-data                           	       1        0        0        0        1
63211 smc-music                          	       1        0        0        0        1
63212 smi-lib-amdgpu                     	       1        0        0        0        1
63213 smithwaterman                      	       1        0        1        0        0
63214 smplayer-skins                     	       1        0        0        0        1
63215 smplayer-translations              	       1        0        0        0        1
63216 smplayer2                          	       1        0        1        0        0
63217 smplayer2-common                   	       1        0        0        0        1
63218 sms4you-doc                        	       1        0        0        0        1
63219 smtm                               	       1        0        1        0        0
63220 smuxi                              	       1        0        0        0        1
63221 smuxi-engine                       	       1        0        1        0        0
63222 snac                               	       1        0        1        0        0
63223 snakemake                          	       1        0        1        0        0
63224 snap-aligner                       	       1        0        1        0        0
63225 snap-confine                       	       1        0        1        0        0
63226 snapd-glib-tests                   	       1        0        0        0        1
63227 snarf                              	       1        0        1        0        0
63228 snes9x                             	       1        0        1        0        0
63229 snetaid                            	       1        0        1        0        0
63230 snetz                              	       1        1        0        0        0
63231 snibbetracker                      	       1        0        1        0        0
63232 sniproxy                           	       1        1        0        0        0
63233 snis-build-deps                    	       1        0        0        0        1
63234 snis-dbgsym                        	       1        0        1        0        0
63235 snmpb                              	       1        0        1        0        0
63236 snowflake-odbc                     	       1        0        1        0        0
63237 snpomatic                          	       1        0        1        0        0
63238 so-far                             	       1        0        0        0        1
63239 soapdenovo                         	       1        0        1        0        0
63240 soapdenovo2                        	       1        0        1        0        0
63241 soapy-connector                    	       1        0        1        0        0
63242 soapysdr0.6-module-rtlsdr          	       1        0        0        0        1
63243 socks4-server                      	       1        0        1        0        0
63244 sodipodi                           	       1        0        1        0        0
63245 sofa-apps                          	       1        0        1        0        0
63246 sofa-data                          	       1        0        0        0        1
63247 sofia-sip-bin                      	       1        0        1        0        0
63248 softether-vpnserver                	       1        1        0        0        0
63249 softmaker-freeoffice-2021          	       1        0        1        0        0
63250 softmaker-office-2018              	       1        0        1        0        0
63251 softmaker-office-nx                	       1        0        1        0        0
63252 solarc-theme                       	       1        0        0        0        1
63253 solr                               	       1        0        1        0        0
63254 solrdump                           	       1        0        1        0        0
63255 songbook                           	       1        0        1        0        0
63256 songbook-doc                       	       1        0        0        0        1
63257 songbook-font-arabia               	       1        0        0        0        1
63258 songbook-font-bigtop               	       1        0        0        0        1
63259 songbook-font-casper               	       1        0        0        0        1
63260 songbook-font-castanet             	       1        0        0        0        1
63261 songbook-font-crescent             	       1        0        0        0        1
63262 songbook-font-dawncastle           	       1        0        0        0        1
63263 songbook-font-expo                 	       1        0        0        0        1
63264 songbook-font-florence             	       1        0        0        0        1
63265 songbook-font-frankenstein         	       1        0        0        0        1
63266 songbook-font-hoboe                	       1        0        0        0        1
63267 songbook-font-koala                	       1        0        0        0        1
63268 songbook-font-lincoln              	       1        0        0        0        1
63269 songbook-font-linus                	       1        0        0        0        1
63270 songbook-font-merlin               	       1        0        0        0        1
63271 songbook-font-paradise             	       1        0        0        0        1
63272 songbook-font-paragon              	       1        0        0        0        1
63273 songbook-font-prose                	       1        0        0        0        1
63274 songbook-font-shogun               	       1        0        0        0        1
63275 songbook-style-all                 	       1        0        0        0        1
63276 songbook-style-arabia              	       1        0        0        0        1
63277 songbook-style-bigtop              	       1        0        0        0        1
63278 songbook-style-casper              	       1        0        0        0        1
63279 songbook-style-castanet            	       1        0        0        0        1
63280 songbook-style-crescent            	       1        0        0        0        1
63281 songbook-style-dawncastle          	       1        0        0        0        1
63282 songbook-style-expo                	       1        0        0        0        1
63283 songbook-style-florence            	       1        0        0        0        1
63284 songbook-style-frankenstein        	       1        0        0        0        1
63285 songbook-style-hoboe               	       1        0        0        0        1
63286 songbook-style-koala               	       1        0        0        0        1
63287 songbook-style-lincoln             	       1        0        0        0        1
63288 songbook-style-linus               	       1        0        0        0        1
63289 songbook-style-merlin              	       1        0        0        0        1
63290 songbook-style-palatino            	       1        0        0        0        1
63291 songbook-style-paradise            	       1        0        0        0        1
63292 songbook-style-paragon             	       1        0        0        0        1
63293 songbook-style-prose               	       1        0        0        0        1
63294 songbook-style-shogun              	       1        0        0        0        1
63295 sonic-pi-server-doc                	       1        0        0        0        1
63296 sonobus                            	       1        0        1        0        0
63297 soosl                              	       1        0        1        0        0
63298 sorcer                             	       1        0        1        0        0
63299 sortmail                           	       1        0        1        0        0
63300 sos                                	       1        0        0        1        0
63301 sound-theme-phosh                  	       1        0        0        0        1
63302 soundbraid                         	       1        0        1        0        0
63303 soundkonverter-amarok-trinity      	       1        0        0        0        1
63304 soundux                            	       1        0        0        0        1
63305 sozi                               	       1        0        0        0        1
63306 sp-admin-tools                     	       1        0        1        0        0
63307 sp-cacerts                         	       1        0        0        0        1
63308 space-orbit                        	       1        0        1        0        0
63309 space-orbit-common                 	       1        0        0        0        1
63310 spacebar                           	       1        0        1        0        0
63311 spacecadetpinball                  	       1        0        1        0        0
63312 spacechart                         	       1        0        1        0        0
63313 spaced                             	       1        0        1        0        0
63314 spamassassin-heatu                 	       1        0        1        0        0
63315 spampd                             	       1        0        1        0        0
63316 spark-store                        	       1        0        1        0        0
63317 sparky-keyring                     	       1        0        0        0        1
63318 sparrow                            	       1        0        1        0        0
63319 spass                              	       1        0        1        0        0
63320 spawx11                            	       1        0        1        0        0
63321 speech-dispatcher-doc-cs           	       1        0        0        0        1
63322 speechd-up                         	       1        1        0        0        0
63323 speedata-publisher                 	       1        1        0        0        0
63324 sphash                             	       1        0        1        0        0
63325 sphinx2-bin                        	       1        0        1        0        0
63326 sphinx2-hmm-6k                     	       1        0        0        0        1
63327 sphinxbase                         	       1        1        0        0        0
63328 sphinxsearch                       	       1        0        1        0        0
63329 spi-tools                          	       1        0        1        0        0
63330 spice                              	       1        0        1        0        0
63331 spice-client                       	       1        0        1        0        0
63332 spidermonkey-bin                   	       1        0        1        0        0
63333 spideroak                          	       1        0        1        0        0
63334 spiped                             	       1        0        1        0        0
63335 spirv-reflect                      	       1        0        1        0        0
63336 splash                             	       1        0        1        0        0
63337 spline                             	       1        0        1        0        0
63338 splitpatch                         	       1        0        1        0        0
63339 sploitscan                         	       1        0        1        0        0
63340 splunk                             	       1        0        1        0        0
63341 spoa                               	       1        0        1        0        0
63342 spotify-client-qt                  	       1        0        0        0        1
63343 spotify-tui                        	       1        0        1        0        0
63344 spotube                            	       1        0        0        0        1
63345 spread-phy                         	       1        0        1        0        0
63346 spring-installer                   	       1        0        1        0        0
63347 spring-javaai                      	       1        0        1        0        0
63348 spring-maps                        	       1        0        0        0        1
63349 spring-maps-1v1                    	       1        0        0        0        1
63350 spring-maps-default                	       1        0        0        0        1
63351 spring-maps-deltasiege             	       1        0        0        0        1
63352 spring-maps-hunterw                	       1        0        0        0        1
63353 spring-maps-kernelpanic            	       1        0        0        0        1
63354 spring-maps-smallsupreme           	       1        0        0        0        1
63355 spring-maps-teamplay               	       1        0        0        0        1
63356 sptk                               	       1        0        1        0        0
63357 spyder3                            	       1        0        1        0        0
63358 spytrap-adb                        	       1        0        1        0        0
63359 sq-wot                             	       1        0        1        0        0
63360 sql-migrate                        	       1        0        1        0        0
63361 sqlcmd                             	       1        0        1        0        0
63362 sqlfluff                           	       1        0        1        0        0
63363 sqlfluff-doc                       	       1        0        0        0        1
63364 sqop                               	       1        0        1        0        0
63365 sqopv                              	       1        0        1        0        0
63366 sqsh                               	       1        0        1        0        0
63367 squashfs-modules-2.6.26-486-voyage 	       1        0        0        0        1
63368 squeak-sources                     	       1        0        0        0        1
63369 squeekboard                        	       1        0        1        0        0
63370 squeezelite                        	       1        1        0        0        0
63371 squid-deb-proxy                    	       1        0        0        0        1
63372 squid3-common                      	       1        0        0        0        1
63373 squidguard-doc                     	       1        0        0        0        1
63374 squirrelmail                       	       1        0        1        0        0
63375 squirrelmail-compatibility         	       1        0        1        0        0
63376 squirrelmail-decode                	       1        0        1        0        0
63377 squirrelmail-locales               	       1        0        1        0        0
63378 squirrelmail-lockout               	       1        0        1        0        0
63379 squirrelmail-logger                	       1        0        1        0        0
63380 squirrelmail-quicksave             	       1        0        1        0        0
63381 squirrelmail-secure-login          	       1        0        1        0        0
63382 squirrelmail-sent-confirmation     	       1        0        1        0        0
63383 squirrelmail-spam-buttons          	       1        0        1        0        0
63384 squirrelmail-viewashtml            	       1        0        1        0        0
63385 squizz                             	       1        0        1        0        0
63386 sqwebmail                          	       1        0        1        0        0
63387 srain                              	       1        1        0        0        0
63388 srg                                	       1        0        1        0        0
63389 srst2                              	       1        0        1        0        0
63390 srtp-docs                          	       1        0        0        0        1
63391 srvadmin-all                       	       1        0        0        0        1
63392 srvadmin-bossnvmelib               	       1        0        0        0        1
63393 srvadmin-broadcomlib               	       1        0        0        0        1
63394 srvadmin-deng-snmp                 	       1        1        0        0        0
63395 srvadmin-idrac-ivmcli              	       1        0        1        0        0
63396 srvadmin-idrac-snmp                	       1        0        0        0        1
63397 srvadmin-idrac-vmcli               	       1        1        0        0        0
63398 srvadmin-idracadm7                 	       1        1        0        0        0
63399 srvadmin-idracadm8                 	       1        0        0        0        1
63400 srvadmin-isvc-snmp                 	       1        0        0        0        1
63401 srvadmin-itunnelprovider           	       1        1        0        0        0
63402 srvadmin-realssd                   	       1        0        0        0        1
63403 srvadmin-server-cli                	       1        0        0        0        1
63404 srvadmin-server-snmp               	       1        0        0        0        1
63405 srvadmin-smweb                     	       1        1        0        0        0
63406 srvadmin-standardagent             	       1        0        0        0        1
63407 srvadmin-storage-cli               	       1        0        0        0        1
63408 srvadmin-storage-snmp              	       1        0        0        0        1
63409 srvadmin-storageservices           	       1        0        0        0        1
63410 srvadmin-storageservices-cli       	       1        0        0        0        1
63411 srvadmin-storageservices-snmp      	       1        0        0        0        1
63412 srvadmin-webserver                 	       1        0        0        0        1
63413 ss-dev                             	       1        0        1        0        0
63414 ssake                              	       1        0        1        0        0
63415 ssb-patchwork                      	       1        0        1        0        0
63416 ssc                                	       1        0        1        0        0
63417 sse4.1-support                     	       1        0        0        0        1
63418 ssg-applications                   	       1        0        0        0        1
63419 ssg-base                           	       1        0        0        0        1
63420 ssg-debderived                     	       1        0        0        0        1
63421 ssg-debian                         	       1        0        0        0        1
63422 ssg-nondebian                      	       1        0        0        0        1
63423 ssh-contact                        	       1        0        0        0        1
63424 ssh-contact-client                 	       1        0        1        0        0
63425 ssh-contact-service                	       1        0        1        0        0
63426 ssh-cron                           	       1        0        1        0        0
63427 sshcommand                         	       1        0        1        0        0
63428 sshesame                           	       1        0        1        0        0
63429 ssimulacra                         	       1        0        1        0        0
63430 sslh                               	       1        1        0        0        0
63431 sslstrip                           	       1        0        1        0        0
63432 sspace                             	       1        0        1        0        0
63433 ssw-align                          	       1        0        1        0        0
63434 ssystem                            	       1        0        1        0        0
63435 st                                 	       1        0        1        0        0
63436 st-stm32cubeide-1.11.2             	       1        0        1        0        0
63437 st-stm32cubeide-1.14.0             	       1        0        1        0        0
63438 st-stm32cubeide-1.4.0              	       1        0        1        0        0
63439 st-stm32cubeide-1.8.0              	       1        0        0        0        1
63440 stackrpms-acer-chromebook          	       1        0        1        0        0
63441 staden                             	       1        0        1        0        0
63442 staden-common                      	       1        0        0        0        1
63443 staden-io-lib-utils                	       1        0        1        0        0
63444 stalag13-utils                     	       1        0        1        0        0
63445 stardict-gnome                     	       1        0        1        0        0
63446 stardict-plugin-fortune            	       1        0        0        0        1
63447 stardict-plugin-info               	       1        0        0        0        1
63448 stardict-xmlittre                  	       1        0        0        0        1
63449 starlink-array-java                	       1        0        0        0        1
63450 starlink-connect-java              	       1        0        0        0        1
63451 starlink-datanode-java             	       1        0        0        0        1
63452 starlink-dpac-java                 	       1        0        0        0        1
63453 starlink-fits-java                 	       1        0        0        0        1
63454 starlink-pal-java                  	       1        0        0        0        1
63455 starlink-registry-java             	       1        0        0        0        1
63456 starlink-table-java                	       1        0        0        0        1
63457 starlink-task-java                 	       1        0        0        0        1
63458 starlink-util-java                 	       1        0        0        0        1
63459 starlink-vo-java                   	       1        0        0        0        1
63460 starlink-votable-java              	       1        0        0        0        1
63461 starship                           	       1        1        0        0        0
63462 statgrab                           	       1        0        1        0        0
63463 status-bar                         	       1        0        1        0        0
63464 stbaithreshold                     	       1        0        1        0        0
63465 stbdjvul                           	       1        0        1        0        0
63466 stbidespeckle                      	       1        0        1        0        0
63467 stbimfrpf2                         	       1        0        1        0        0
63468 stbimmetrics                       	       1        0        1        0        0
63469 stbiscalenx                        	       1        0        1        0        0
63470 stbresize                          	       1        0        1        0        0
63471 stbsrcnn                           	       1        0        1        0        0
63472 steam-dependencies                 	       1        0        0        0        1
63473 steampipe                          	       1        0        0        0        1
63474 stenc                              	       1        0        1        0        0
63475 steve-completion                   	       1        0        0        0        1
63476 stex                               	       1        0        0        0        1
63477 sticky                             	       1        0        1        0        0
63478 stlink                             	       1        1        0        0        0
63479 stoken                             	       1        0        1        0        0
63480 storcli                            	       1        0        0        0        1
63481 store.spark-app.wechat-linux-spark 	       1        0        1        0        0
63482 storjshare                         	       1        0        0        0        1
63483 storm-lang                         	       1        0        1        0        0
63484 storm-lang-crypto                  	       1        0        1        0        0
63485 storm-lang-layout                  	       1        0        1        0        0
63486 storm-lang-sql                     	       1        0        1        0        0
63487 storm-lang-ui                      	       1        0        1        0        0
63488 storman                            	       1        0        1        0        0
63489 stormbaancoureur                   	       1        0        1        0        0
63490 stormbaancoureur-data              	       1        0        0        0        1
63491 storymaps                          	       1        0        1        0        0
63492 strace64                           	       1        0        1        0        0
63493 stratagus-gl                       	       1        0        1        0        0
63494 stressant-doc                      	       1        0        0        0        1
63495 strife-data                        	       1        0        0        0        1
63496 strongswan-scepclient              	       1        0        1        0        0
63497 stroq                              	       1        0        1        0        0
63498 stuffit                            	       1        0        0        0        1
63499 stunnel                            	       1        0        0        0        1
63500 stutter                            	       1        0        1        0        0
63501 styx                               	       1        0        1        0        0
63502 styx-dev                           	       1        0        1        0        0
63503 styx-doc                           	       1        0        0        0        1
63504 subfinder                          	       1        0        1        0        0
63505 submux-dvd                         	       1        0        1        0        0
63506 subread                            	       1        0        1        0        0
63507 sugar-terminal-activity            	       1        0        0        0        1
63508 sugarjar                           	       1        0        1        0        0
63509 sugarplum                          	       1        0        1        0        0
63510 suitename                          	       1        0        1        0        0
63511 suld-driver2-1.00.29               	       1        0        1        0        0
63512 sumaclust                          	       1        0        1        0        0
63513 sumatra                            	       1        0        1        0        0
63514 sumo-doc                           	       1        0        0        0        1
63515 sumo-tools                         	       1        0        0        0        1
63516 sumtrees                           	       1        0        1        0        0
63517 sunflow                            	       1        0        1        0        0
63518 sunflower                          	       1        0        1        0        0
63519 sunvox                             	       1        0        1        0        0
63520 sup                                	       1        0        0        1        0
63521 sup-g                              	       1        1        0        0        0
63522 sup-mail                           	       1        0        1        0        0
63523 supercollider-emacs                	       1        0        1        0        0
63524 supercollider-gedit                	       1        0        1        0        0
63525 supercollider-vim                  	       1        0        0        0        1
63526 supermicro-update-manager          	       1        0        1        0        0
63527 supervisor-doc                     	       1        0        0        0        1
63528 supysonic                          	       1        0        1        0        0
63529 surankco                           	       1        0        1        0        0
63530 surf-dbgsym                        	       1        0        1        0        0
63531 surface-go-wifi                    	       1        0        0        0        1
63532 surfer                             	       1        0        1        0        0
63533 surfshark                          	       1        1        0        0        0
63534 surge-data                         	       1        0        0        0        1
63535 suwayomi-server                    	       1        0        1        0        0
63536 svgalib-bin                        	       1        0        1        0        0
63537 svgcarve                           	       1        0        1        0        0
63538 svgtoipe                           	       1        0        1        0        0
63539 svkbd                              	       1        0        1        0        0
63540 svn-all-fast-export                	       1        0        1        0        0
63541 svncviewer                         	       1        0        1        0        0
63542 swach                              	       1        0        1        0        0
63543 swe-basic-data                     	       1        0        0        0        1
63544 swe-standard-data                  	       1        0        0        0        1
63545 swfdec-mozilla                     	       1        0        0        0        1
63546 swftools                           	       1        0        1        0        0
63547 swi-prolog-full                    	       1        0        0        0        1
63548 swift-doc                          	       1        0        0        0        1
63549 swig2.0                            	       1        0        1        0        0
63550 switchconf                         	       1        0        1        0        0
63551 sword-comm-mhcc                    	       1        0        0        0        1
63552 sword-comm-tdavid                  	       1        0        0        0        1
63553 sword-text-sparv                   	       1        0        0        0        1
63554 swscanner                          	       1        0        1        0        0
63555 sxid                               	       1        1        0        0        0
63556 sympathy                           	       1        0        1        0        0
63557 symphytum                          	       1        0        1        0        0
63558 synaesthesia                       	       1        0        1        0        0
63559 syncevolution                      	       1        0        1        0        0
63560 syncevolution-libs                 	       1        0        0        0        1
63561 syncevolution-libs-gnome           	       1        0        0        0        1
63562 syncfiles                          	       1        0        1        0        0
63563 syncplay-common                    	       1        0        1        0        0
63564 syndie                             	       1        0        1        0        0
63565 synology-drive                     	       1        0        1        0        0
63566 synology-presto                    	       1        0        1        0        0
63567 syrep                              	       1        0        1        0        0
63568 syrthes                            	       1        0        1        0        0
63569 syrthes-tools                      	       1        0        1        0        0
63570 sysdig                             	       1        0        1        0        0
63571 sysdig-dkms                        	       1        0        1        0        0
63572 sysinternalsebpf                   	       1        0        1        0        0
63573 syslinux-themes-debian-lenny       	       1        0        0        0        1
63574 syslinux-themes-lmde               	       1        0        0        0        1
63575 syslog-ng-mod-pacctformat          	       1        0        1        0        0
63576 syslog-ng-mod-snmptrapd-parser     	       1        0        1        0        0
63577 syslog-ng-mod-tag-parser           	       1        0        1        0        0
63578 sysnews                            	       1        0        1        0        0
63579 system76-acpi-dkms                 	       1        0        1        0        0
63580 system76-dkms                      	       1        0        1        0        0
63581 system76-io-dkms                   	       1        0        0        0        1
63582 system76-wallpapers                	       1        0        0        0        1
63583 systemback-cli-dbg                 	       1        0        1        0        0
63584 systemback-scheduler-dbg           	       1        0        1        0        0
63585 systemd-boot-efi                   	       1        0        0        1        0
63586 systemd-cryptsetup                 	       1        0        1        0        0
63587 systemd-dummy                      	       1        0        1        0        0
63588 systemd-oomd                       	       1        0        1        0        0
63589 systemd-repart                     	       1        0        0        1        0
63590 systemd-sysv                       	       1        0        1        0        0
63591 systemd-timesyncd                  	       1        0        1        0        0
63592 systempreferences.app-dbg          	       1        0        1        0        0
63593 systemtap-client                   	       1        0        1        0        0
63594 systemtap-server                   	       1        0        1        0        0
63595 systray-x-minimal                  	       1        0        1        0        0
63596 sysvinit-build-deps                	       1        0        0        0        1
63597 szip                               	       1        0        1        0        0
63598 t2html                             	       1        0        1        0        0
63599 t50                                	       1        0        1        0        0
63600 tablet-encode                      	       1        0        1        0        0
63601 tachidesk-server                   	       1        0        1        0        0
63602 tachidesk-vaaui                    	       1        0        1        0        0
63603 tads3                              	       1        0        1        0        0
63604 tagainijisho-dic-es                	       1        0        0        0        1
63605 tagainijisho-dic-fr                	       1        0        0        0        1
63606 tagainijisho-dic-pt                	       1        0        0        0        1
63607 taggrepper                         	       1        0        1        0        0
63608 tai64n                             	       1        1        0        0        0
63609 tal-plugins-lv2                    	       1        0        1        0        0
63610 talksoup.app                       	       1        0        1        0        0
63611 tandem-mass                        	       1        0        1        0        0
63612 tang                               	       1        0        1        0        0
63613 tang-common                        	       1        0        1        0        0
63614 tanidvr                            	       1        0        1        0        0
63615 tap                                	       1        0        0        1        0
63616 tapecalc                           	       1        0        1        0        0
63617 tappy                              	       1        0        1        0        0
63618 tar2deb                            	       1        0        1        0        0
63619 targetcli-fb                       	       1        0        1        0        0
63620 tartarus                           	       1        0        1        0        0
63621 task-amharic-desktop               	       1        0        0        0        1
63622 task-arabic-desktop                	       1        0        0        0        1
63623 task-asturian-desktop              	       1        0        0        0        1
63624 task-basque-kde-desktop            	       1        0        0        0        1
63625 task-bengali-desktop               	       1        0        0        0        1
63626 task-blendsel                      	       1        0        0        0        1
63627 task-bosnian-desktop               	       1        0        0        0        1
63628 task-catalan-kde-desktop           	       1        0        0        0        1
63629 task-chinese-t-desktop             	       1        0        0        0        1
63630 task-chinese-t-kde-desktop         	       1        0        0        0        1
63631 task-dzongkha-desktop              	       1        0        0        0        1
63632 task-esperanto-kde-desktop         	       1        0        0        0        1
63633 task-finnish-kde-desktop           	       1        0        0        0        1
63634 task-georgian-desktop              	       1        0        0        0        1
63635 task-gujarati-desktop              	       1        0        0        0        1
63636 task-hindi-desktop                 	       1        0        0        0        1
63637 task-icelandic-desktop             	       1        0        0        0        1
63638 task-indonesian-desktop            	       1        0        0        0        1
63639 task-irish-desktop                 	       1        0        0        0        1
63640 task-kannada-desktop               	       1        0        0        0        1
63641 task-kazakh-desktop                	       1        0        0        0        1
63642 task-khmer-desktop                 	       1        0        0        0        1
63643 task-korean-gnome-desktop          	       1        0        0        0        1
63644 task-kurdish-desktop               	       1        0        0        0        1
63645 task-latvian-desktop               	       1        0        0        0        1
63646 task-lithuanian-desktop            	       1        0        0        0        1
63647 task-macedonian-desktop            	       1        0        0        0        1
63648 task-mail-server                   	       1        0        0        0        1
63649 task-malayalam-desktop             	       1        0        0        0        1
63650 task-marathi-desktop               	       1        0        0        0        1
63651 task-nepali-desktop                	       1        0        0        0        1
63652 task-northern-sami-desktop         	       1        0        0        0        1
63653 task-persian-desktop               	       1        0        0        0        1
63654 task-portuguese-desktop            	       1        0        0        0        1
63655 task-punjabi-desktop               	       1        0        0        0        1
63656 task-romanian-kde-desktop          	       1        0        0        0        1
63657 task-serbian-desktop               	       1        0        0        0        1
63658 task-sinhala-desktop               	       1        0        0        0        1
63659 task-slovenian-desktop             	       1        0        0        0        1
63660 task-speech-accessibility          	       1        0        0        0        1
63661 task-tamil-desktop                 	       1        0        0        0        1
63662 task-telugu-desktop                	       1        0        0        0        1
63663 task-thai-desktop                  	       1        0        0        0        1
63664 task-turkish-kde-desktop           	       1        0        0        0        1
63665 task-uyghur-desktop                	       1        0        0        0        1
63666 task-vietnamese-desktop            	       1        0        0        0        1
63667 task-welsh-desktop                 	       1        0        0        0        1
63668 task-xhosa-desktop                 	       1        0        0        0        1
63669 tasks                              	       1        0        1        0        0
63670 tasque                             	       1        0        1        0        0
63671 tataranovich-keyring               	       1        0        0        0        1
63672 tatctae                            	       1        0        0        0        1
63673 tau                                	       1        0        1        0        0
63674 tau-examples                       	       1        0        1        0        0
63675 tau-racy                           	       1        0        1        0        0
63676 taupython                          	       1        0        1        0        0
63677 tauthon                            	       1        1        0        0        0
63678 taxbird                            	       1        0        1        0        0
63679 tcl-itcl4-dev                      	       1        0        0        1        0
63680 tcl-memchan-dev                    	       1        0        1        0        0
63681 tcl-snack-dev                      	       1        0        1        0        0
63682 tcl-tk                             	       1        0        0        0        1
63683 tcl-vtk6                           	       1        0        1        0        0
63684 tcl8.5-doc                         	       1        0        0        0        1
63685 tcl8.6-tdbc-mysql                  	       1        0        1        0        0
63686 tcl8.6-tdbc-sqlite3                	       1        0        0        0        1
63687 tcl9.0-dev                         	       1        0        1        0        0
63688 tclcl-dev                          	       1        0        0        0        1
63689 tclicq                             	       1        0        1        0        0
63690 tclparser                          	       1        0        1        0        0
63691 tclx8.4-dev                        	       1        0        1        0        0
63692 tclxapian                          	       1        0        1        0        0
63693 tclxml-dev                         	       1        0        1        0        0
63694 tcpser                             	       1        1        0        0        0
63695 tcvt                               	       1        0        1        0        0
63696 tde-devel-trinity                  	       1        0        0        0        1
63697 tde-i18n-be-trinity                	       1        0        1        0        0
63698 tde-i18n-el-trinity                	       1        0        0        0        1
63699 tde-i18n-engb-trinity              	       1        0        0        0        1
63700 tde-i18n-es-trinity                	       1        0        0        0        1
63701 tde-i18n-fr-trinity                	       1        0        0        0        1
63702 tde-i18n-hu-trinity                	       1        0        0        0        1
63703 tde-i18n-it-trinity                	       1        0        0        0        1
63704 tde-i18n-sk-trinity                	       1        0        0        0        1
63705 tde-style-polyester-trinity        	       1        0        1        0        0
63706 tdeartwork-trinity-dbg             	       1        0        1        0        0
63707 tdebase-trinity-dbg                	       1        0        1        0        0
63708 tdebase-trinity-dev                	       1        0        1        0        0
63709 tdecachegrind-converters-trinity   	       1        0        1        0        0
63710 tdegames-trinity-doc-html          	       1        0        0        0        1
63711 tdeio-appinfo-trinity              	       1        0        1        0        0
63712 tdelibs14-imagetops-trinity        	       1        0        1        0        0
63713 tdelibs14-trinity-dev              	       1        0        1        0        0
63714 tdenetwork-trinity-dbg             	       1        0        1        0        0
63715 tdepacman-trinity                  	       1        0        1        0        0
63716 tdepim-trinity-doc-html            	       1        0        0        0        1
63717 tdescreensaver-xsavers-webcollage-trinity	       1        0        0        0        1
63718 tdesdk-misc-trinity                	       1        0        1        0        0
63719 tdesdk-scripts-trinity             	       1        0        1        0        0
63720 tdesdk-tdeio-plugins-trinity       	       1        0        1        0        0
63721 tdesdk-trinity                     	       1        0        0        0        1
63722 tdesshaskpass-trinity-dbgsym       	       1        0        1        0        0
63723 tdesvn-tdeio-plugins-trinity       	       1        0        1        0        0
63724 tdesvn-trinity                     	       1        0        1        0        0
63725 tdetoys-trinity-doc-html           	       1        0        0        0        1
63726 tdeunittest-trinity                	       1        0        1        0        0
63727 tdeutils-trinity-doc-html          	       1        0        0        0        1
63728 tdewebdev-trinity-doc-html         	       1        0        0        0        1
63729 tdom-dev                           	       1        0        0        1        0
63730 ted                                	       1        0        1        0        0
63731 ted-common                         	       1        0        0        0        1
63732 tedia2sql                          	       1        0        1        0        0
63733 tegaki-recognize                   	       1        1        0        0        0
63734 teighafileconverter                	       1        0        1        0        0
63735 teilfeil                           	       1        0        1        0        0
63736 teilfeil-cli                       	       1        0        1        0        0
63737 teilfeil-common                    	       1        0        1        0        0
63738 teilfeil-libsodium                 	       1        0        1        0        0
63739 telegnome                          	       1        0        1        0        0
63740 telegram                           	       1        0        0        0        1
63741 teleport                           	       1        0        1        0        0
63742 telepresence                       	       1        0        1        0        0
63743 telescope                          	       1        0        1        0        0
63744 telxcc                             	       1        0        1        0        0
63745 temurin-11-jre                     	       1        0        1        0        0
63746 temurin-19-jdk                     	       1        0        1        0        0
63747 temurin-20-jdk                     	       1        0        1        0        0
63748 temurin-23-jdk                     	       1        0        1        0        0
63749 temurin-8-jre                      	       1        0        1        0        0
63750 tennix                             	       1        0        1        0        0
63751 tenshi                             	       1        0        1        0        0
63752 terabox                            	       1        0        1        0        0
63753 teragonaudio-plugins-lv2           	       1        0        1        0        0
63754 tercpp                             	       1        0        1        0        0
63755 termdebug                          	       1        0        1        0        0
63756 termineter                         	       1        0        1        0        0
63757 termius-app                        	       1        0        0        0        1
63758 terraform-stacks-cli               	       1        0        1        0        0
63759 terraintool                        	       1        0        1        0        0
63760 tesseract-build-deps               	       1        0        0        0        1
63761 tesseract-lang-build-deps          	       1        0        0        0        1
63762 tesseract-ocr-dan-frak             	       1        0        0        0        1
63763 tesseract-ocr-data                 	       1        0        0        0        1
63764 tesseract-ocr-deu-f                	       1        0        0        0        1
63765 tesseract-ocr-deu-frak             	       1        0        0        0        1
63766 tesseract-ocr-gle-uncial           	       1        0        0        0        1
63767 tesseract-ocr-kur                  	       1        0        0        0        1
63768 tesseract-ocr-tgl                  	       1        0        0        0        1
63769 tesseract2djvused                  	       1        0        1        0        0
63770 testng-doc                         	       1        0        0        0        1
63771 testu01-bin                        	       1        0        1        0        0
63772 testu01-data                       	       1        0        0        0        1
63773 tetex-bin                          	       1        0        0        0        1
63774 tetex-doc-nonfree                  	       1        0        0        0        1
63775 tetraproc                          	       1        0        1        0        0
63776 tetrinet-server                    	       1        0        1        0        0
63777 tex-pscyr                          	       1        0        0        0        1
63778 tex4ht-common                      	       1        0        0        0        1
63779 texlive-binaries-dbgsym            	       1        0        1        0        0
63780 texlive-doc-ar                     	       1        0        0        0        1
63781 texlive-doc-bg                     	       1        0        0        0        1
63782 texlive-doc-cs+sk                  	       1        0        0        0        1
63783 texlive-doc-es                     	       1        0        0        0        1
63784 texlive-doc-fi                     	       1        0        0        0        1
63785 texlive-doc-fr                     	       1        0        0        0        1
63786 texlive-doc-it                     	       1        0        0        0        1
63787 texlive-doc-ja                     	       1        0        0        0        1
63788 texlive-doc-ko                     	       1        0        0        0        1
63789 texlive-doc-mn                     	       1        0        0        0        1
63790 texlive-doc-nl                     	       1        0        0        0        1
63791 texlive-doc-pl                     	       1        0        0        0        1
63792 texlive-doc-pt                     	       1        0        0        0        1
63793 texlive-doc-rs                     	       1        0        0        0        1
63794 texlive-doc-ru                     	       1        0        0        0        1
63795 texlive-doc-si                     	       1        0        0        0        1
63796 texlive-doc-th                     	       1        0        0        0        1
63797 texlive-doc-tr                     	       1        0        0        0        1
63798 texlive-doc-uk                     	       1        0        0        0        1
63799 texlive-doc-vi                     	       1        0        0        0        1
63800 texlive-latex3                     	       1        0        0        0        1
63801 texmacs                            	       1        0        1        0        0
63802 texmacs-extra-fonts                	       1        0        0        0        1
63803 textql                             	       1        0        1        0        0
63804 texturepacker                      	       1        0        1        0        0
63805 texworks-help-fr                   	       1        0        0        0        1
63806 tg-timer                           	       1        0        1        0        0
63807 tgn                                	       1        0        1        0        0
63808 theano-doc                         	       1        0        0        0        1
63809 theli                              	       1        0        1        0        0
63810 themedetector                      	       1        0        1        0        0
63811 themole                            	       1        0        1        0        0
63812 therion                            	       1        0        1        0        0
63813 therion-viewer                     	       1        0        1        0        0
63814 theseus                            	       1        0        1        0        0
63815 threadscope                        	       1        1        0        0        0
63816 threeb-imagej                      	       1        0        1        0        0
63817 thunar-build-deps                  	       1        0        0        0        1
63818 thunar-dbgsym                      	       1        0        1        0        0
63819 thunar-volman-build-deps           	       1        0        0        0        1
63820 thunderbird-l10n-af                	       1        0        1        0        0
63821 thunderbird-l10n-all               	       1        0        0        0        1
63822 thunderbird-l10n-ar                	       1        0        1        0        0
63823 thunderbird-l10n-ast               	       1        0        1        0        0
63824 thunderbird-l10n-be                	       1        0        1        0        0
63825 thunderbird-l10n-bg                	       1        0        1        0        0
63826 thunderbird-l10n-br                	       1        0        1        0        0
63827 thunderbird-l10n-cak               	       1        0        1        0        0
63828 thunderbird-l10n-cy                	       1        0        1        0        0
63829 thunderbird-l10n-dsb               	       1        0        1        0        0
63830 thunderbird-l10n-el                	       1        0        1        0        0
63831 thunderbird-l10n-eu                	       1        0        1        0        0
63832 thunderbird-l10n-fi                	       1        0        1        0        0
63833 thunderbird-l10n-fy-nl             	       1        0        1        0        0
63834 thunderbird-l10n-ga-ie             	       1        0        1        0        0
63835 thunderbird-l10n-gd                	       1        0        1        0        0
63836 thunderbird-l10n-gl                	       1        0        1        0        0
63837 thunderbird-l10n-he                	       1        0        1        0        0
63838 thunderbird-l10n-hr                	       1        0        1        0        0
63839 thunderbird-l10n-hsb               	       1        0        1        0        0
63840 thunderbird-l10n-hy-am             	       1        0        1        0        0
63841 thunderbird-l10n-id                	       1        0        1        0        0
63842 thunderbird-l10n-is                	       1        0        1        0        0
63843 thunderbird-l10n-ka                	       1        0        1        0        0
63844 thunderbird-l10n-kab               	       1        0        1        0        0
63845 thunderbird-l10n-kk                	       1        0        1        0        0
63846 thunderbird-l10n-ko                	       1        0        1        0        0
63847 thunderbird-l10n-lt                	       1        0        1        0        0
63848 thunderbird-l10n-lv                	       1        0        1        0        0
63849 thunderbird-l10n-ms                	       1        0        1        0        0
63850 thunderbird-l10n-nb-no             	       1        0        1        0        0
63851 thunderbird-l10n-nn-no             	       1        0        1        0        0
63852 thunderbird-l10n-pa-in             	       1        0        1        0        0
63853 thunderbird-l10n-pt-pt             	       1        0        1        0        0
63854 thunderbird-l10n-rm                	       1        0        1        0        0
63855 thunderbird-l10n-sk                	       1        0        1        0        0
63856 thunderbird-l10n-sl                	       1        0        1        0        0
63857 thunderbird-l10n-sq                	       1        0        1        0        0
63858 thunderbird-l10n-sr                	       1        0        1        0        0
63859 thunderbird-l10n-th                	       1        0        1        0        0
63860 thunderbird-l10n-uk                	       1        0        1        0        0
63861 thunderbird-l10n-uz                	       1        0        1        0        0
63862 thunderbird-l10n-vi                	       1        0        1        0        0
63863 thunderbird-mozilla-build          	       1        0        1        0        0
63864 thunk-gen                          	       1        0        0        0        1
63865 ticgit                             	       1        0        1        0        0
63866 tickr                              	       1        0        1        0        0
63867 tif22pnm                           	       1        0        1        0        0
63868 tifffile                           	       1        0        1        0        0
63869 tilemaker                          	       1        0        1        0        0
63870 tilequant                          	       1        0        1        0        0
63871 tilestache                         	       1        0        1        0        0
63872 timeit                             	       1        1        0        0        0
63873 timeoutd                           	       1        0        1        0        0
63874 timescaledb-tools                  	       1        0        1        0        0
63875 timezoneconf                       	       1        0        0        0        1
63876 tine20                             	       1        0        0        0        1
63877 tine20-activesync                  	       1        0        1        0        0
63878 tine20-calendar                    	       1        0        1        0        0
63879 tine20-crm                         	       1        0        1        0        0
63880 tine20-felamimail                  	       1        0        1        0        0
63881 tine20-filemanager                 	       1        0        1        0        0
63882 tine20-humanresources              	       1        0        1        0        0
63883 tine20-inventory                   	       1        0        1        0        0
63884 tine20-libraries                   	       1        0        1        0        0
63885 tine20-sales                       	       1        0        1        0        0
63886 tine20-tasks                       	       1        0        1        0        0
63887 tine20-timetracker                 	       1        0        1        0        0
63888 tine20-tinebase                    	       1        0        1        0        0
63889 tine20-webstack                    	       1        0        0        0        1
63890 tinydyndns                         	       1        0        1        0        0
63891 tinyjsd                            	       1        0        0        0        1
63892 tinyos-source                      	       1        0        0        0        1
63893 tinyos-tools                       	       1        0        1        0        0
63894 tinyows                            	       1        0        1        0        0
63895 tinyusb-source                     	       1        0        1        0        0
63896 tippecanoe                         	       1        1        0        0        0
63897 tipptrainer                        	       1        0        1        0        0
63898 tipptrainer-data-de                	       1        0        0        0        1
63899 tixati                             	       1        0        1        0        0
63900 tk-itk4-dev                        	       1        0        0        1        0
63901 tk8.4                              	       1        0        1        0        0
63902 tk8.4-doc                          	       1        0        0        0        1
63903 tkagif                             	       1        0        0        0        1
63904 tkdvi                              	       1        0        1        0        0
63905 tkfont                             	       1        0        1        0        0
63906 tkps                               	       1        0        1        0        0
63907 tkrzw-utils                        	       1        0        1        0        0
63908 tkvnc                              	       1        0        1        0        0
63909 tkwice                             	       1        0        0        0        1
63910 tldextract                         	       1        0        1        0        0
63911 tldr-py                            	       1        0        1        0        0
63912 tleds                              	       1        0        1        0        0
63913 tlog                               	       1        0        1        0        0
63914 tlslookup                          	       1        0        1        0        0
63915 tlswrapper                         	       1        0        1        0        0
63916 tmake                              	       1        0        1        0        0
63917 tmexpand                           	       1        0        1        0        0
63918 tmfs                               	       1        0        1        0        0
63919 tmw                                	       1        0        1        0        0
63920 tmw-music                          	       1        0        0        0        1
63921 tmwcetki-archive-keyring           	       1        0        0        0        1
63922 tmx-cups                           	       1        0        1        0        0
63923 tmx-cups-backend                   	       1        0        1        0        0
63924 tntdb-mysql4                       	       1        0        1        0        0
63925 tntdb-sqlite4                      	       1        0        1        0        0
63926 tntnet-demos                       	       1        0        1        0        0
63927 todoman                            	       1        0        1        0        0
63928 toggldesktop                       	       1        0        1        0        0
63929 tokodon                            	       1        1        0        0        0
63930 tokyotyrant                        	       1        0        1        0        0
63931 tokyotyrant-utils                  	       1        0        1        0        0
63932 tomcat7                            	       1        0        0        0        1
63933 tomcat7-common                     	       1        0        1        0        0
63934 tomcat8-examples                   	       1        0        0        0        1
63935 tomcat8-user                       	       1        0        1        0        0
63936 tomcat9-docs                       	       1        0        0        0        1
63937 tonkeeper                          	       1        0        1        0        0
63938 topal                              	       1        1        0        0        0
63939 topgit                             	       1        0        1        0        0
63940 tophat-recondition                 	       1        0        1        0        0
63941 topic-tools                        	       1        0        1        0        0
63942 toppred                            	       1        0        1        0        0
63943 tor-dbgsym                         	       1        0        1        0        0
63944 torch-core-free                    	       1        0        0        0        1
63945 torch-trepl                        	       1        0        1        0        0
63946 torcs-data-cars                    	       1        0        0        0        1
63947 torcs-data-tracks                  	       1        0        0        0        1
63948 torguard                           	       1        0        1        0        0
63949 torreadwrite                       	       1        0        1        0        0
63950 torrentcheck                       	       1        0        1        0        0
63951 torsmo                             	       1        0        1        0        0
63952 tortoisehg-nautilus                	       1        0        0        0        1
63953 toshiba-est2829a-cups              	       1        1        0        0        0
63954 toshset                            	       1        0        1        0        0
63955 totem-gstreamer                    	       1        0        0        0        1
63956 totem-mozilla                      	       1        0        1        0        0
63957 totem-plugin-arte                  	       1        0        1        0        0
63958 totem-xine                         	       1        0        0        0        1
63959 toulbar2                           	       1        0        1        0        0
63960 toulbar2-doc                       	       1        0        0        0        1
63961 tox-uv                             	       1        0        1        0        0
63962 toxcore-utils                      	       1        0        1        0        0
63963 tpconfig                           	       1        0        1        0        0
63964 tpm2-initramfs-tool                	       1        0        1        0        0
63965 tpm2-openssl                       	       1        0        0        0        1
63966 tpm2-tss-engine-tools              	       1        0        1        0        0
63967 tpp                                	       1        0        1        0        0
63968 tqsllib-dev                        	       1        0        1        0        0
63969 tqt3-assistant                     	       1        0        1        0        0
63970 tra                                	       1        0        1        0        0
63971 trac-customfieldadmin              	       1        0        1        0        0
63972 trac-httpauth                      	       1        0        1        0        0
63973 trac-roadmap                       	       1        0        1        0        0
63974 tracpaththeme                      	       1        0        1        0        0
63975 trafficserver-dev                  	       1        0        1        0        0
63976 trafficserver-experimental-plugins 	       1        0        1        0        0
63977 transdecoder                       	       1        0        1        0        0
63978 transdecoder-doc                   	       1        0        1        0        0
63979 transfert                          	       1        0        1        0        0
63980 transifex-client                   	       1        0        1        0        0
63981 translate-docformat                	       1        0        1        0        0
63982 transtermhp                        	       1        0        1        0        0
63983 tray-mixer-plus                    	       1        0        1        0        0
63984 tre-command                        	       1        0        0        1        0
63985 treeviewx                          	       1        0        1        0        0
63986 tremulous                          	       1        0        1        0        0
63987 tremulous-data                     	       1        0        0        0        1
63988 tremulous-doc                      	       1        0        0        0        1
63989 tremulous-server                   	       1        0        1        0        0
63990 triangle-bin                       	       1        0        1        0        0
63991 trimmomatic                        	       1        0        1        0        0
63992 trinityrnaseq-examples             	       1        0        0        0        1
63993 trisquel-keyring                   	       1        0        0        0        1
63994 troffcvt                           	       1        0        1        0        0
63995 trollsched-bin                     	       1        0        1        0        0
63996 trscripts                          	       1        0        1        0        0
63997 truecrypt                          	       1        0        1        0        0
63998 trurl                              	       1        0        1        0        0
63999 trx                                	       1        0        1        0        0
64000 tryton-client-doc                  	       1        0        0        0        1
64001 tryton-server-doc                  	       1        0        0        0        1
64002 ts-jest                            	       1        0        1        0        0
64003 tsclient                           	       1        0        1        0        0
64004 tsmuxer                            	       1        0        1        0        0
64005 tsung                              	       1        0        1        0        0
64006 ttf-aoyagi-kouzan-t                	       1        0        0        0        1
64007 ttf-arabeyes                       	       1        0        0        0        1
64008 ttf-arhangai                       	       1        0        0        0        1
64009 ttf-arphic-bsmi00lp                	       1        0        0        0        1
64010 ttf-arphic-ukai                    	       1        0        0        0        1
64011 ttf-beteckna                       	       1        0        0        0        1
64012 ttf-dejima-mincho                  	       1        0        0        0        1
64013 ttf-essays1743                     	       1        0        0        0        1
64014 ttf-farsiweb                       	       1        0        0        0        1
64015 ttf-gfs-baskerville                	       1        0        0        0        1
64016 ttf-gfs-bodoni-classic             	       1        0        0        0        1
64017 ttf-gfs-complutum                  	       1        0        0        0        1
64018 ttf-gfs-didot                      	       1        0        0        0        1
64019 ttf-gfs-didot-classic              	       1        0        0        0        1
64020 ttf-gfs-gazis                      	       1        0        0        0        1
64021 ttf-gfs-neohellenic                	       1        0        0        0        1
64022 ttf-gfs-olga                       	       1        0        0        0        1
64023 ttf-gfs-porson                     	       1        0        0        0        1
64024 ttf-gfs-solomos                    	       1        0        0        0        1
64025 ttf-gfs-theokritos                 	       1        0        0        0        1
64026 ttf-ipafont-gothic                 	       1        0        0        0        1
64027 ttf-ipafont-uigothic               	       1        0        0        0        1
64028 ttf-jura                           	       1        0        0        0        1
64029 ttf-kacst                          	       1        0        0        0        1
64030 ttf-kacst-one                      	       1        0        0        0        1
64031 ttf-kanjistrokeorders              	       1        0        0        0        1
64032 ttf-khmeros                        	       1        0        0        0        1
64033 ttf-kochi-gothic-naga10            	       1        0        0        0        1
64034 ttf-konatu                         	       1        0        0        0        1
64035 ttf-lao                            	       1        0        0        0        1
64036 ttf-levien-museum                  	       1        0        0        0        1
64037 ttf-lg-aboriginal                  	       1        0        0        0        1
64038 ttf-linex                          	       1        0        0        0        1
64039 ttf-littledays                     	       1        0        0        0        1
64040 ttf-mgopen                         	       1        0        0        0        1
64041 ttf-misaki                         	       1        0        0        0        1
64042 ttf-monapo                         	       1        0        0        0        1
64043 ttf-mplus                          	       1        0        0        0        1
64044 ttf-nafees                         	       1        0        0        0        1
64045 ttf-nanum                          	       1        0        0        0        1
64046 ttf-nanum-coding                   	       1        0        0        0        1
64047 ttf-ocr-a                          	       1        0        0        0        1
64048 ttf-oflb-asana-math                	       1        0        0        0        1
64049 ttf-oflb-euterpe                   	       1        0        0        0        1
64050 ttf-oldstandard                    	       1        0        0        0        1
64051 ttf-opendin                        	       1        0        0        0        1
64052 ttf-paktype                        	       1        0        0        0        1
64053 ttf-pelikan-schulschriften         	       1        0        0        0        1
64054 ttf-sawarabi-gothic                	       1        0        0        0        1
64055 ttf-sawarabi-mincho                	       1        0        0        0        1
64056 ttf-sil-dai-banna                  	       1        0        0        0        1
64057 ttf-sil-ezra                       	       1        0        0        0        1
64058 ttf-sil-galatia                    	       1        0        0        0        1
64059 ttf-sil-gentium                    	       1        0        0        0        1
64060 ttf-sil-nuosusil                   	       1        0        0        0        1
64061 ttf-sil-scheherazade               	       1        0        0        0        1
64062 ttf-sil-yi                         	       1        0        0        0        1
64063 ttf-sinhala-lklug                  	       1        0        0        0        1
64064 ttf-symbol-replacement-wine        	       1        0        0        0        1
64065 ttf-takao                          	       1        0        0        0        1
64066 ttf-takao-gothic                   	       1        0        0        0        1
64067 ttf-takao-mincho                   	       1        0        0        0        1
64068 ttf-thryomanes                     	       1        0        0        0        1
64069 ttf-tmuni                          	       1        0        0        0        1
64070 ttf-tomsontalks                    	       1        0        0        0        1
64071 ttf-tuffy                          	       1        0        0        0        1
64072 ttf-ubuntu-title                   	       1        0        0        0        1
64073 ttf-umeplus                        	       1        0        0        0        1
64074 ttf-uralic                         	       1        0        0        0        1
64075 ttf2pt1                            	       1        0        1        0        0
64076 ttf2pt1-chinese                    	       1        0        0        0        1
64077 tthsum                             	       1        0        1        0        0
64078 ttv                                	       1        0        1        0        0
64079 tty-share                          	       1        0        0        1        0
64080 tua                                	       1        0        1        0        0
64081 tunapie                            	       1        0        1        0        0
64082 tuned-ppd                          	       1        0        1        0        0
64083 tunefish-lv2                       	       1        0        1        0        0
64084 tunix-keyring                      	       1        0        0        0        1
64085 tunnelx                            	       1        0        1        0        0
64086 tup                                	       1        0        1        0        0
64087 turbocase                          	       1        0        1        0        0
64088 tusk                               	       1        0        0        0        1
64089 tut                                	       1        0        1        0        0
64090 tuxedo-control-center              	       1        0        1        0        0
64091 tuxedo-micfix1                     	       1        0        1        0        0
64092 tuxedo-wmi-dkms                    	       1        0        0        0        1
64093 tvbrowser-aquatheme                	       1        0        1        0        0
64094 tvbrowser-bbjtheme                 	       1        0        1        0        0
64095 tvbrowser-beostheme                	       1        0        1        0        0
64096 tvbrowser-macostheme               	       1        0        1        0        0
64097 tvbrowser-moderntheme              	       1        0        1        0        0
64098 tvprog                             	       1        0        1        0        0
64099 twidge                             	       1        0        1        0        0
64100 twin-style-crystal-trinity         	       1        0        1        0        0
64101 txtreader                          	       1        0        1        0        0
64102 tzc                                	       1        0        1        0        0
64103 u-boot-exynos                      	       1        0        1        0        0
64104 u-boot-odroid                      	       1        0        1        0        0
64105 u-boot-odroidm1s                   	       1        0        1        0        0
64106 u-boot-olinuxino                   	       1        0        1        0        0
64107 u-boot-rpi                         	       1        0        1        0        0
64108 u-boot-tools-olinuxino             	       1        0        1        0        0
64109 uae                                	       1        0        1        0        0
64110 uanytun                            	       1        1        0        0        0
64111 uap-core                           	       1        0        0        0        1
64112 ubiquity-slideshow-mint            	       1        0        1        0        0
64113 ubuntu-cloudimage-keyring          	       1        0        0        0        1
64114 ubuntu-core-launcher               	       1        0        1        0        0
64115 ubuntu-packaging-guide-epub        	       1        0        0        0        1
64116 ubuntu-packaging-guide-epub-es     	       1        0        0        0        1
64117 ubuntu-packaging-guide-epub-pt-br  	       1        0        0        0        1
64118 ubuntu-packaging-guide-epub-ru     	       1        0        0        0        1
64119 ubuntu-packaging-guide-html-es     	       1        0        0        0        1
64120 ubuntu-packaging-guide-html-pt-br  	       1        0        0        0        1
64121 ubuntu-packaging-guide-html-ru     	       1        0        0        0        1
64122 ubuntu-packaging-guide-pdf-de      	       1        0        0        0        1
64123 ubuntu-packaging-guide-pdf-es      	       1        0        0        0        1
64124 ubuntu-packaging-guide-pdf-pt-br   	       1        0        0        0        1
64125 ubuntu-packaging-guide-pdf-ru      	       1        0        0        0        1
64126 ubus                               	       1        0        1        0        0
64127 uc-echo                            	       1        0        1        0        0
64128 ucimf                              	       1        0        1        0        0
64129 ucommon-doc                        	       1        0        0        0        1
64130 ud                                 	       1        0        1        0        0
64131 udcli                              	       1        0        1        0        0
64132 udisks2-dbgsym                     	       1        0        1        0        0
64133 udns-utils                         	       1        0        1        0        0
64134 udsclient3                         	       1        0        1        0        0
64135 ufficiozero-keyring                	       1        0        0        0        1
64136 ufficiozerorepo                    	       1        0        0        0        1
64137 ufo-filters                        	       1        0        0        0        1
64138 ufo-filters-data                   	       1        0        0        0        1
64139 ufo2otf                            	       1        0        1        0        0
64140 ufoai-build-deps                   	       1        0        0        0        1
64141 ufoai-dbgsym                       	       1        0        1        0        0
64142 ufoai-server                       	       1        1        0        0        0
64143 ufoai-server-dbgsym                	       1        0        1        0        0
64144 ufoai-tools                        	       1        0        1        0        0
64145 ufoai-tools-dbgsym                 	       1        0        1        0        0
64146 ufoai-uforadiant                   	       1        1        0        0        0
64147 ufoai-uforadiant-data              	       1        0        0        0        1
64148 ufoai-uforadiant-dbgsym            	       1        0        1        0        0
64149 uhub                               	       1        0        1        0        0
64150 ui-auto                            	       1        0        1        0        0
64151 uim-byeoru                         	       1        0        0        0        1
64152 uim-el                             	       1        0        1        0        0
64153 uim-pinyin                         	       1        0        0        0        1
64154 uim-skk                            	       1        0        0        0        1
64155 uinit                              	       1        0        1        0        0
64156 ukui-bluetooth                     	       1        0        1        0        0
64157 ukui-indicators                    	       1        0        1        0        0
64158 ukui-media                         	       1        0        1        0        0
64159 ukui-media-common                  	       1        0        0        0        1
64160 ukui-menu                          	       1        0        1        0        0
64161 ukui-menus                         	       1        0        0        0        1
64162 ukui-power-manager-common          	       1        0        0        0        1
64163 ukui-screensaverserver             	       1        0        1        0        0
64164 ukui-sidebar                       	       1        0        1        0        0
64165 ukui-touchpadserver                	       1        0        1        0        0
64166 ulc-codec                          	       1        0        1        0        0
64167 ulogd2-dbi                         	       1        0        0        0        1
64168 ulogd2-json                        	       1        0        0        0        1
64169 ulogd2-mysql                       	       1        0        0        0        1
64170 ulogd2-pcap                        	       1        0        1        0        0
64171 ulogd2-pgsql                       	       1        0        0        0        1
64172 ulogd2-sqlite3                     	       1        0        0        0        1
64173 ultima4-data                       	       1        0        0        0        1
64174 ultima4-graphics-upgrade           	       1        0        0        0        1
64175 ultimate-edition-cursors           	       1        0        0        0        1
64176 ultimate-edition-icons-dark-glass  	       1        0        0        0        1
64177 umbrello-trinity                   	       1        0        1        0        0
64178 umis                               	       1        0        1        0        0
64179 umis-examples                      	       1        0        0        0        1
64180 umsdos                             	       1        0        1        0        0
64181 umu-launcher                       	       1        0        0        0        1
64182 umview-mod-umdevtap                	       1        0        1        0        0
64183 umview-mod-umfuseext2              	       1        0        1        0        0
64184 umview-mod-umfuseiso9660           	       1        0        1        0        0
64185 umview-mod-umlwip                  	       1        0        1        0        0
64186 umview-mod-viewfs                  	       1        0        1        0        0
64187 unbound-anchor-dbgsym              	       1        0        1        0        0
64188 unbound-dbgsym                     	       1        0        1        0        0
64189 undup                              	       1        0        1        0        0
64190 unetbootin-dbgsym                  	       1        0        1        0        0
64191 ungoogled-chromium-build-deps      	       1        0        0        0        1
64192 ungoogled-chromium-driver          	       1        0        1        0        0
64193 unibetacode                        	       1        0        1        0        0
64194 unicode-idna                       	       1        0        0        0        1
64195 unicycler-data                     	       1        0        0        0        1
64196 unifont-build-deps                 	       1        0        0        0        1
64197 unison-all-gtk                     	       1        0        0        0        1
64198 unison2.27.57                      	       1        0        1        0        0
64199 unison2.32.52-gtk                  	       1        0        1        0        0
64200 unison2.9.1                        	       1        0        1        0        0
64201 unixodbc-bin                       	       1        0        1        0        0
64202 unlzx                              	       1        0        1        0        0
64203 unreal-libfmod                     	       1        0        1        0        0
64204 unreal-libmikmod2                  	       1        0        1        0        0
64205 unreal-ut99-shared-data            	       1        0        0        0        1
64206 upass                              	       1        0        1        0        0
64207 update                             	       1        0        1        0        0
64208 update-manager-core                	       1        0        0        0        1
64209 update-manager-gnome               	       1        0        1        0        0
64210 update-notifier-common             	       1        0        1        0        0
64211 update-notifier-kde                	       1        1        0        0        0
64212 update-sun-jre                     	       1        0        1        0        0
64213 uphpmvault                         	       1        0        1        0        0
64214 uprecords-cgi                      	       1        0        1        0        0
64215 upscayl                            	       1        0        1        0        0
64216 urbackup-server                    	       1        1        0        0        0
64217 urlextractor                       	       1        0        1        0        0
64218 urweb                              	       1        0        1        0        0
64219 usbguard-notifier                  	       1        0        1        0        0
64220 usbsdmux                           	       1        0        1        0        0
64221 usbutils-py                        	       1        0        1        0        0
64222 user-de                            	       1        0        0        0        1
64223 userland-scripts                   	       1        0        1        0        0
64224 userver                            	       1        0        1        0        0
64225 usplash-theme-debian               	       1        0        1        0        0
64226 utf8script                         	       1        0        0        0        1
64227 util-linux-legacy                  	       1        0        0        1        0
64228 util-vserver-legacy                	       1        0        1        0        0
64229 utopia                             	       1        0        0        0        1
64230 utsu                               	       1        0        1        0        0
64231 uucpsend                           	       1        0        1        0        0
64232 uwm                                	       1        0        1        0        0
64233 uwsgi-plugin-psgi                  	       1        1        0        0        0
64234 uwsgi-plugin-python                	       1        0        1        0        0
64235 v2mplayer                          	       1        0        1        0        0
64236 v2mplayer-samples                  	       1        0        0        0        1
64237 v2raya                             	       1        0        1        0        0
64238 v4l2loopback-modules-4.14.0-0.bpo.3-amd64	       1        0        0        0        1
64239 v4l2loopback-modules-5.10.0-7-amd64	       1        0        0        0        1
64240 v4l2sink                           	       1        0        0        0        1
64241 vagrant-bindfs                     	       1        0        1        0        0
64242 vagrant-cachier                    	       1        0        1        0        0
64243 vagrant-librarian-puppet           	       1        0        1        0        0
64244 val-and-rick                       	       1        0        1        0        0
64245 val-and-rick-data                  	       1        0        0        0        1
64246 valadoc                            	       1        0        1        0        0
64247 valkyrie                           	       1        0        1        0        0
64248 vamp-examples                      	       1        0        1        0        0
64249 vamp-plugin-sdk-doc                	       1        0        0        0        1
64250 vapoursynth-bm3d                   	       1        0        0        0        1
64251 vapoursynth-ctmf                   	       1        0        0        0        1
64252 vapoursynth-editor                 	       1        0        1        0        0
64253 vapoursynth-fmtconv                	       1        0        0        0        1
64254 vapoursynth-genericfilters         	       1        0        0        0        1
64255 vapoursynth-hqdn3d                 	       1        0        0        0        1
64256 vapoursynth-mvtools                	       1        0        0        0        1
64257 vapoursynth-nnedi3                 	       1        0        0        0        1
64258 vapoursynth-removegrain            	       1        0        0        0        1
64259 varicad-view                       	       1        0        0        0        1
64260 varicad2012-view-en                	       1        0        1        0        0
64261 varicad2022-view-en                	       1        0        0        0        1
64262 varicad2023-view-en                	       1        0        1        0        0
64263 vault-benchmark                    	       1        0        1        0        0
64264 vavoom                             	       1        0        1        0        0
64265 vbpp                               	       1        0        1        0        0
64266 vcard-studio                       	       1        0        1        0        0
64267 vche                               	       1        0        1        0        0
64268 vcheck                             	       1        0        1        0        0
64269 vclt-tools                         	       1        0        1        0        0
64270 vcs                                	       1        0        1        0        0
64271 vcvrack                            	       1        0        1        0        0
64272 vdev                               	       1        0        1        0        0
64273 vdk2-tutorial                      	       1        0        0        0        1
64274 vdo                                	       1        0        1        0        0
64275 vdr-plugin-dvbsddevice             	       1        1        0        0        0
64276 vdr-plugin-dvd                     	       1        0        1        0        0
64277 vdr-plugin-examples                	       1        1        0        0        0
64278 vdr-plugin-mplayer                 	       1        0        1        0        0
64279 vdr-plugin-streamdev-server        	       1        0        1        0        0
64280 vdr-plugin-svdrpservice            	       1        0        1        0        0
64281 vdr-plugin-vnsiserver              	       1        0        1        0        0
64282 vector                             	       1        0        1        0        0
64283 veeam-libs                         	       1        0        1        0        0
64284 veeamdeployment                    	       1        0        0        0        1
64285 velocity-doc                       	       1        0        0        0        1
64286 vera++                             	       1        0        1        0        0
64287 verbiste-gnome                     	       1        0        0        0        1
64288 verdigris                          	       1        0        1        0        0
64289 vertex-theme                       	       1        0        0        0        1
64290 veusz-helpers                      	       1        0        1        0        0
64291 vf1                                	       1        0        1        0        0
64292 vfe-qt                             	       1        0        1        0        0
64293 via                                	       1        0        0        0        1
64294 vibrancy-colors                    	       1        0        0        0        1
64295 vico                               	       1        0        1        0        0
64296 victoria-metrics                   	       1        0        1        0        0
64297 video2x                            	       1        0        1        0        0
64298 videomass                          	       1        0        1        0        0
64299 vieb                               	       1        0        0        0        1
64300 viewflif                           	       1        0        1        0        0
64301 viewmol                            	       1        0        1        0        0
64302 viewvc                             	       1        0        1        0        0
64303 viewvc-query                       	       1        0        1        0        0
64304 vim-autopairs                      	       1        0        0        0        1
64305 vim-conque                         	       1        0        0        0        1
64306 vim-eblook                         	       1        0        0        0        1
64307 vim-gnome                          	       1        0        0        0        1
64308 vim-link-vim                       	       1        0        0        0        1
64309 vim-nftables                       	       1        0        0        0        1
64310 vim-poke                           	       1        0        0        0        1
64311 vim-rainbow                        	       1        0        0        0        1
64312 vim-syntax-go                      	       1        0        0        0        1
64313 vimb                               	       1        0        1        0        0
64314 vipnetclient                       	       1        0        1        0        0
64315 virt-goodies                       	       1        0        1        0        0
64316 virtualbox-guest-source            	       1        0        0        0        1
64317 virtualenv-clone                   	       1        0        1        0        0
64318 virtualenvwrapper-doc              	       1        0        0        0        1
64319 virtualmin-config                  	       1        1        0        0        0
64320 virtualmin-core                    	       1        0        0        0        1
64321 virtualplanet                      	       1        0        1        0        0
64322 virtuoso-opensource                	       1        0        0        0        1
64323 virtuoso-server                    	       1        0        0        0        1
64324 virtuoso-vad-bpel                  	       1        0        0        0        1
64325 virtuoso-vad-conductor             	       1        0        0        0        1
64326 virtuoso-vad-demo                  	       1        0        0        0        1
64327 virtuoso-vad-doc                   	       1        0        0        0        1
64328 virtuoso-vad-isparql               	       1        0        0        0        1
64329 virtuoso-vad-ods                   	       1        0        0        0        1
64330 virtuoso-vad-rdfmappers            	       1        0        0        0        1
64331 virtuoso-vad-sparqldemo            	       1        0        0        0        1
64332 virtuoso-vad-syncml                	       1        0        0        0        1
64333 virtuoso-vad-tutorial              	       1        0        0        0        1
64334 virtuoso-vsp-startpage             	       1        0        0        0        1
64335 vish                               	       1        0        1        0        0
64336 vitalium-vst                       	       1        0        1        0        0
64337 vivid-musl                         	       1        1        0        0        0
64338 vk-messenger                       	       1        0        0        0        1
64339 vkroots-headers                    	       1        0        1        0        0
64340 vl805fw                            	       1        0        0        0        1
64341 vlc-bin-dbgsym                     	       1        0        1        0        0
64342 vlc-plugin-base-dbgsym             	       1        0        1        0        0
64343 vlc-plugin-notify-dbgsym           	       1        0        1        0        0
64344 vlc-plugin-qt-dbgsym               	       1        0        1        0        0
64345 vlc-plugin-video-output-dbgsym     	       1        0        1        0        0
64346 vlogger                            	       1        0        1        0        0
64347 vma                                	       1        0        1        0        0
64348 vncserver                          	       1        0        1        0        0
64349 volk                               	       1        0        1        0        0
64350 volti                              	       1        0        1        0        0
64351 voms-dev                           	       1        1        0        0        0
64352 voxbo                              	       1        0        1        0        0
64353 voyage-util                        	       1        0        1        0        0
64354 vpb-utils                          	       1        0        1        0        0
64355 vpkedit                            	       1        0        1        0        0
64356 vsdump                             	       1        1        0        0        0
64357 vsound                             	       1        0        1        0        0
64358 vstudio                            	       1        0        1        0        0
64359 vsync                              	       1        1        0        0        0
64360 vtgamma                            	       1        0        1        0        0
64361 vtk6                               	       1        0        1        0        0
64362 vtk6-doc                           	       1        0        0        0        1
64363 vtk6-examples                      	       1        0        1        0        0
64364 vtk7-doc                           	       1        0        0        0        1
64365 vufind                             	       1        1        0        0        0
64366 vulkan-amdgpu-pro                  	       1        0        0        0        1
64367 vulkan-extensionlayer              	       1        0        0        0        1
64368 vulkan-headers                     	       1        0        1        0        0
64369 vulkan-memory-allocator-doc        	       1        0        0        0        1
64370 vulkan-profiles                    	       1        0        1        0        0
64371 vulkan-utility-libraries           	       1        0        0        0        1
64372 vulkancapsviewer                   	       1        0        1        0        0
64373 vux                                	       1        0        1        0        0
64374 vz-guest-udev                      	       1        0        1        0        0
64375 vzctl                              	       1        0        1        0        0
64376 vzlogger                           	       1        1        0        0        0
64377 vzquota                            	       1        0        1        0        0
64378 w-scan-cpp                         	       1        0        1        0        0
64379 w2do                               	       1        0        1        0        0
64380 w3-doc-e21                         	       1        0        0        0        1
64381 w3-recs-2002                       	       1        0        0        0        1
64382 w3-recs-2003                       	       1        0        0        0        1
64383 w3cam                              	       1        0        1        0        0
64384 waagent                            	       1        1        0        0        0
64385 waimea                             	       1        0        1        0        0
64386 wait-for-it                        	       1        0        1        0        0
64387 wallace                            	       1        0        1        0        0
64388 wap-wml-tools                      	       1        0        1        0        0
64389 warp                               	       1        0        0        1        0
64390 warpinator                         	       1        0        1        0        0
64391 waterfox-classic-i18n-en-gb        	       1        0        1        0        0
64392 waterfox-classic-i18n-ru           	       1        0        1        0        0
64393 waterfox-classic-kde               	       1        0        0        0        1
64394 waterfox-g                         	       1        0        1        0        0
64395 waterfox-g-i18n-ru                 	       1        0        1        0        0
64396 waterfox-g-kde                     	       1        0        0        0        1
64397 waterfox-g3-kde                    	       1        0        0        0        1
64398 waterfox-g3-kpe                    	       1        0        0        0        1
64399 watson                             	       1        0        1        0        0
64400 wattconfig-eco                     	       1        0        0        0        1
64401 wavegain                           	       1        0        1        0        0
64402 waves-exchange                     	       1        0        0        0        1
64403 wavesurfer                         	       1        0        1        0        0
64404 wavtool-pl                         	       1        0        1        0        0
64405 wayback                            	       1        0        1        0        0
64406 wayfire-plugin-winshadows          	       1        0        0        0        1
64407 wayland-protocols-amdgpu           	       1        0        0        0        1
64408 wcd                                	       1        0        1        0        0
64409 wdg-html-validator                 	       1        0        1        0        0
64410 weather                            	       1        0        0        0        1
64411 web-eid                            	       1        0        0        0        1
64412 web-eid-chrome                     	       1        0        0        0        1
64413 web-eid-firefox                    	       1        0        0        0        1
64414 web-eid-native                     	       1        0        1        0        0
64415 webapps-metainfo                   	       1        0        0        0        1
64416 webcpp                             	       1        1        0        0        0
64417 webext-form-history-control        	       1        0        0        0        1
64418 webext-foxyproxy                   	       1        0        0        0        1
64419 webext-indie-wiki-buddy-firefox    	       1        0        0        0        1
64420 webext-plasma-browser-integration  	       1        0        0        0        1
64421 webext-proxy-switcher              	       1        0        0        0        1
64422 webext-vimium-firefox              	       1        0        0        0        1
64423 webhook                            	       1        0        1        0        0
64424 webkit-image-gtk                   	       1        0        1        0        0
64425 webkit2pdf                         	       1        0        1        0        0
64426 webmin-cloudmin-services           	       1        0        0        0        1
64427 webmin-jailkit                     	       1        0        0        0        1
64428 webmin-php-pear                    	       1        0        0        0        1
64429 webmin-ruby-gems                   	       1        0        0        0        1
64430 webmin-virtualmin-awstats          	       1        0        0        0        1
64431 webmin-virtualmin-dav              	       1        0        0        0        1
64432 webmin-virtualmin-git              	       1        0        0        0        1
64433 webmin-virtualmin-htpasswd         	       1        0        0        0        1
64434 webmin-virtualmin-init             	       1        0        0        0        1
64435 webmin-virtualmin-password-recovery	       1        0        0        0        1
64436 webmin-virtualmin-registrar        	       1        0        1        0        0
64437 webmin-virtualmin-slavedns         	       1        0        0        0        1
64438 webmin-virtualmin-sqlite           	       1        0        0        0        1
64439 webulldesktop                      	       1        0        1        0        0
64440 wechat-beta                        	       1        0        0        0        1
64441 weechat-devel-core                 	       1        0        0        0        1
64442 weechat-devel-curses               	       1        0        1        0        0
64443 weechat-devel-perl                 	       1        0        0        0        1
64444 weechat-devel-plugins              	       1        0        0        0        1
64445 weechat-devel-python               	       1        0        0        0        1
64446 weechat-devel-ruby                 	       1        0        0        0        1
64447 weechat-lua                        	       1        0        0        0        1
64448 weechat-php                        	       1        0        0        0        1
64449 weechat-tcl                        	       1        0        0        0        1
64450 weektodo                           	       1        0        1        0        0
64451 weevely                            	       1        0        1        0        0
64452 weex                               	       1        0        1        0        0
64453 weixin                             	       1        0        0        0        1
64454 weka-doc                           	       1        0        0        0        1
64455 wesnoth-1.10                       	       1        0        0        0        1
64456 wesnoth-1.10-aoi                   	       1        0        0        0        1
64457 wesnoth-1.10-core                  	       1        0        1        0        0
64458 wesnoth-1.10-data                  	       1        0        0        0        1
64459 wesnoth-1.10-did                   	       1        0        0        0        1
64460 wesnoth-1.10-dm                    	       1        0        0        0        1
64461 wesnoth-1.10-dw                    	       1        0        0        0        1
64462 wesnoth-1.10-ei                    	       1        0        0        0        1
64463 wesnoth-1.10-httt                  	       1        0        0        0        1
64464 wesnoth-1.10-l                     	       1        0        0        0        1
64465 wesnoth-1.10-low                   	       1        0        0        0        1
64466 wesnoth-1.10-music                 	       1        0        0        0        1
64467 wesnoth-1.10-nr                    	       1        0        0        0        1
64468 wesnoth-1.10-sof                   	       1        0        0        0        1
64469 wesnoth-1.10-sotbe                 	       1        0        0        0        1
64470 wesnoth-1.10-thot                  	       1        0        0        0        1
64471 wesnoth-1.10-trow                  	       1        0        0        0        1
64472 wesnoth-1.10-tsg                   	       1        0        0        0        1
64473 wesnoth-1.10-ttb                   	       1        0        0        0        1
64474 wesnoth-1.10-utbs                  	       1        0        0        0        1
64475 wesnoth-1.11                       	       1        0        0        0        1
64476 wesnoth-1.11-aoi                   	       1        0        0        0        1
64477 wesnoth-1.11-core                  	       1        0        1        0        0
64478 wesnoth-1.11-data                  	       1        0        0        0        1
64479 wesnoth-1.11-did                   	       1        0        0        0        1
64480 wesnoth-1.11-dm                    	       1        0        0        0        1
64481 wesnoth-1.11-dw                    	       1        0        0        0        1
64482 wesnoth-1.11-ei                    	       1        0        0        0        1
64483 wesnoth-1.11-httt                  	       1        0        0        0        1
64484 wesnoth-1.11-l                     	       1        0        0        0        1
64485 wesnoth-1.11-low                   	       1        0        0        0        1
64486 wesnoth-1.11-music                 	       1        0        0        0        1
64487 wesnoth-1.11-nr                    	       1        0        0        0        1
64488 wesnoth-1.11-sof                   	       1        0        0        0        1
64489 wesnoth-1.11-sotbe                 	       1        0        0        0        1
64490 wesnoth-1.11-thot                  	       1        0        0        0        1
64491 wesnoth-1.11-tools                 	       1        0        0        0        1
64492 wesnoth-1.11-trow                  	       1        0        0        0        1
64493 wesnoth-1.11-tsg                   	       1        0        0        0        1
64494 wesnoth-1.11-ttb                   	       1        0        0        0        1
64495 wesnoth-1.11-utbs                  	       1        0        0        0        1
64496 wesnoth-1.14-tools                 	       1        0        1        0        0
64497 wesnoth-1.16-build-deps            	       1        0        0        0        1
64498 wesnoth-1.18-tools                 	       1        0        1        0        0
64499 west                               	       1        0        1        0        0
64500 weylus                             	       1        0        1        0        0
64501 wfrog                              	       1        0        1        0        0
64502 wgdd-archive-keyring               	       1        0        0        0        1
64503 wget2-dev                          	       1        0        1        0        0
64504 whalebird                          	       1        0        0        0        1
64505 whatmaps                           	       1        1        0        0        0
64506 which                              	       1        0        1        0        0
64507 whichwayisup                       	       1        0        1        0        0
64508 whiteboard                         	       1        0        1        0        0
64509 whitesur-gtk-theme                 	       1        0        0        0        1
64510 wicd-build-deps                    	       1        0        0        0        1
64511 wide-dhcpv6-relay                  	       1        0        1        0        0
64512 wifi-host-ap-bash                  	       1        0        1        0        0
64513 wigeon                             	       1        0        1        0        0
64514 wiggle                             	       1        0        1        0        0
64515 wike                               	       1        0        1        0        0
64516 wikiman                            	       1        0        1        0        0
64517 wikipediafs                        	       1        0        1        0        0
64518 wily-dbgsym                        	       1        0        1        0        0
64519 windows-el                         	       1        0        1        0        0
64520 windows-entertainment-pack         	       1        0        1        0        0
64521 windows95                          	       1        0        1        0        0
64522 wine-bin                           	       1        0        0        0        1
64523 wine-devel-dev                     	       1        0        0        1        0
64524 wine-doc                           	       1        0        0        0        1
64525 wine1.6                            	       1        0        1        0        0
64526 wine1.6-amd64                      	       1        0        1        0        0
64527 wine1.6-i386                       	       1        0        1        0        0
64528 wine32-tools                       	       1        0        1        0        0
64529 wineasio                           	       1        0        0        0        1
64530 wineasio-amd64                     	       1        0        0        0        1
64531 wineasio-data                      	       1        0        1        0        0
64532 wineasio-i386                      	       1        0        1        0        0
64533 winegui                            	       1        0        1        0        0
64534 winexe                             	       1        1        0        0        0
64535 winsol                             	       1        0        1        0        0
64536 winswitch                          	       1        0        1        0        0
64537 wipe2fs                            	       1        0        1        0        0
64538 wireguird                          	       1        0        1        0        0
64539 wireviz                            	       1        0        0        1        0
64540 wixl                               	       1        0        1        0        0
64541 wixl-data                          	       1        0        0        0        1
64542 wkrt-lin                           	       1        0        1        0        0
64543 wlassistant-trinity                	       1        0        1        0        0
64544 wlc                                	       1        0        1        0        0
64545 wmakerconf                         	       1        0        1        0        0
64546 wmanager                           	       1        0        1        0        0
64547 wmbinclock                         	       1        0        1        0        0
64548 wmcdplay                           	       1        0        1        0        0
64549 wmcoincoin                         	       1        0        1        0        0
64550 wmforecast                         	       1        0        1        0        0
64551 wmforkplop                         	       1        0        1        0        0
64552 wmibam                             	       1        0        1        0        0
64553 wmmand                             	       1        0        1        0        0
64554 wmnd-snmp                          	       1        0        1        0        0
64555 wmnetselect                        	       1        0        1        0        0
64556 wmppp.app                          	       1        0        1        0        0
64557 wmsensors                          	       1        0        1        0        0
64558 wmsmpmon                           	       1        0        1        0        0
64559 wmtz                               	       1        0        1        0        0
64560 woeusb-build-deps                  	       1        0        0        0        1
64561 woeusb-frontend-wxgtk              	       1        0        1        0        0
64562 woeusb-ng                          	       1        0        1        0        0
64563 wolf3d-v12-data                    	       1        0        0        0        1
64564 wolpertinger-lv2                   	       1        0        1        0        0
64565 wonderdraft                        	       1        0        0        0        1
64566 woob                               	       1        0        1        0        0
64567 wordnet-dev                        	       1        0        1        0        0
64568 wordpress-theme-twentyfifteen      	       1        0        0        0        1
64569 wordpress-theme-twentysixteen      	       1        0        0        0        1
64570 wordpress-theme-twentytwentythree  	       1        0        1        0        0
64571 wordwarvi-build-deps               	       1        0        0        0        1
64572 wordwarvi-dbgsym                   	       1        0        1        0        0
64573 workbone                           	       1        0        1        0        0
64574 worklog                            	       1        0        1        0        0
64575 worldographer                      	       1        0        1        0        0
64576 worldpainter                       	       1        0        1        0        0
64577 wotsap                             	       1        0        1        0        0
64578 wound-up                           	       1        0        1        0        0
64579 wpd2sxw                            	       1        0        1        0        0
64580 wpewebkit-driver                   	       1        0        1        0        0
64581 wps2epub                           	       1        0        1        0        0
64582 wps2sxw                            	       1        0        1        0        0
64583 wraplinux                          	       1        0        1        0        0
64584 wrapsrv                            	       1        0        1        0        0
64585 wsl                                	       1        0        1        0        0
64586 wtav                               	       1        0        1        0        0
64587 wterm                              	       1        0        1        0        0
64588 wterm-ml                           	       1        0        1        0        0
64589 wtf-debian-keyring                 	       1        0        0        0        1
64590 wx2.6-headers                      	       1        0        1        0        0
64591 wx3.1-headers                      	       1        0        1        0        0
64592 wxmp3gain                          	       1        0        1        0        0
64593 x-pgp-sig-el                       	       1        0        1        0        0
64594 x-window-system-core               	       1        0        0        0        1
64595 x11proto-evie-dev                  	       1        0        1        0        0
64596 x11proto-fontcache-dev             	       1        0        1        0        0
64597 x2goagent                          	       1        0        1        0        0
64598 x2gobroker-common                  	       1        0        0        0        1
64599 x2gohtmlclient                     	       1        0        0        0        1
64600 x2gothinclient-smartcardrules      	       1        0        1        0        0
64601 x2gothinclient-usbmount            	       1        0        1        0        0
64602 x2gowebrpc                         	       1        0        1        0        0
64603 x2gowswrapper                      	       1        0        1        0        0
64604 x42-gmsynth.lv2                    	       1        0        1        0        0
64605 x86-64-v3-support                  	       1        0        0        0        1
64606 xamarin.android-oss                	       1        0        1        0        0
64607 xandikos                           	       1        0        1        0        0
64608 xapian-omega                       	       1        0        1        0        0
64609 xapp                               	       1        1        0        0        0
64610 xapt                               	       1        1        0        0        0
64611 xar                                	       1        0        1        0        0
64612 xaralx-examples                    	       1        0        0        0        1
64613 xarchon                            	       1        0        1        0        0
64614 xarchon-theme-default              	       1        0        0        0        1
64615 xasteroids                         	       1        0        1        0        0
64616 xavante                            	       1        0        0        0        1
64617 xbattle                            	       1        0        1        0        0
64618 xbmc-addons-dev                    	       1        0        0        0        1
64619 xbmc-skin-confluence               	       1        0        0        0        1
64620 xboing                             	       1        0        1        0        0
64621 xbreaky                            	       1        0        1        0        0
64622 xbs                                	       1        0        1        0        0
64623 xbuilder                           	       1        0        1        0        0
64624 xcb-proto-build-deps               	       1        0        0        0        1
64625 xcdroast                           	       1        0        1        0        0
64626 xchainkeys                         	       1        1        0        0        0
64627 xchpst                             	       1        0        1        0        0
64628 xclass-common                      	       1        0        0        0        1
64629 xcolmix                            	       1        0        1        0        0
64630 xconq                              	       1        0        1        0        0
64631 xconq-common                       	       1        0        0        0        1
64632 xcrysden                           	       1        0        1        0        0
64633 xcrysden-data                      	       1        0        0        0        1
64634 xcsoar                             	       1        0        1        0        0
64635 xcur2png                           	       1        0        0        1        0
64636 xdd                                	       1        0        1        0        0
64637 xde-menu                           	       1        0        1        0        0
64638 xdeb                               	       1        0        1        0        0
64639 xdg-desktop-portal-regolith        	       1        0        1        0        0
64640 xdg-desktop-portal-regolith-x11-config	       1        0        0        0        1
64641 xdg-desktop-portals-trinity        	       1        0        1        0        0
64642 xdg-terminal-exec                  	       1        0        0        1        0
64643 xdialog                            	       1        0        1        0        0
64644 xdigger                            	       1        0        1        0        0
64645 xdkcal                             	       1        0        1        0        0
64646 xdman                              	       1        1        0        0        0
64647 xdp-tests                          	       1        0        0        0        1
64648 xdp-tools                          	       1        0        1        0        0
64649 xdrawchem                          	       1        0        1        0        0
64650 xdtv                               	       1        0        1        0        0
64651 xdtv-fonts                         	       1        0        1        0        0
64652 xdvik-ja                           	       1        0        1        0        0
64653 xearth                             	       1        0        1        0        0
64654 xed                                	       1        0        1        0        0
64655 xed-common                         	       1        0        0        0        1
64656 xeji                               	       1        0        1        0        0
64657 xemeraldia                         	       1        0        1        0        0
64658 xemu                               	       1        0        1        0        0
64659 xen-hypervisor-4.1-amd64           	       1        0        0        0        1
64660 xen-hypervisor-4.11-amd64          	       1        0        1        0        0
64661 xen-hypervisor-4.8-amd64           	       1        0        1        0        0
64662 xen-linux-system-3.16.0-6-amd64    	       1        0        0        0        1
64663 xen-utils-4.1                      	       1        0        1        0        0
64664 xen-utils-4.11                     	       1        0        1        0        0
64665 xen-utils-4.8                      	       1        0        1        0        0
64666 xenlism-minimalism-theme           	       1        0        0        0        1
64667 xenwatch                           	       1        0        1        0        0
64668 xerox-phaser-6000-6010             	       1        0        1        0        0
64669 xerox-workcentre-6015b-6015n-6015ni	       1        0        1        0        0
64670 xfce4-appfinder-build-deps         	       1        0        0        0        1
64671 xfce4-appmenu-plugin-dbgsym        	       1        0        1        0        0
64672 xfce4-battery-plugin-dbgsym        	       1        0        1        0        0
64673 xfce4-clipman-dbgsym               	       1        0        1        0        0
64674 xfce4-clipman-plugin-dbgsym        	       1        0        1        0        0
64675 xfce4-cpufreq-plugin-build-deps    	       1        0        0        0        1
64676 xfce4-cpugraph-plugin-dbgsym       	       1        0        1        0        0
64677 xfce4-datetime-plugin-dbgsym       	       1        0        1        0        0
64678 xfce4-dev-tools-dbgsym             	       1        0        1        0        0
64679 xfce4-dict-dbgsym                  	       1        0        1        0        0
64680 xfce4-diskperf-plugin-dbgsym       	       1        0        1        0        0
64681 xfce4-docklike                     	       1        1        0        0        0
64682 xfce4-embed-plugin                 	       1        0        0        0        1
64683 xfce4-equake-plugin                	       1        0        0        0        1
64684 xfce4-eyes-plugin-dbgsym           	       1        0        1        0        0
64685 xfce4-fsguard-plugin-dbgsym        	       1        0        1        0        0
64686 xfce4-genmon-plugin-dbgsym         	       1        0        1        0        0
64687 xfce4-hdaps                        	       1        0        0        0        1
64688 xfce4-icon-theme                   	       1        0        1        0        0
64689 xfce4-indicator-plugin-dbgsym      	       1        0        1        0        0
64690 xfce4-mailwatch-plugin-dbgsym      	       1        0        1        0        0
64691 xfce4-minicmd-plugin               	       1        0        1        0        0
64692 xfce4-mixer-alsa                   	       1        0        1        0        0
64693 xfce4-mount-plugin-dbgsym          	       1        0        1        0        0
64694 xfce4-mpc-plugin-dbgsym            	       1        0        1        0        0
64695 xfce4-multiload-ng-plugin          	       1        0        0        0        1
64696 xfce4-multiload-plugin             	       1        0        1        0        0
64697 xfce4-netload-plugin-dbgsym        	       1        0        1        0        0
64698 xfce4-notifyd-dbgsym               	       1        0        1        0        0
64699 xfce4-panel-build-deps             	       1        0        0        0        1
64700 xfce4-panel-dev                    	       1        0        1        0        0
64701 xfce4-places-plugin-dbgsym         	       1        0        1        0        0
64702 xfce4-power-manager-dbgsym         	       1        0        1        0        0
64703 xfce4-power-manager-plugins-dbgsym 	       1        0        1        0        0
64704 xfce4-pulseaudio-plugin-dbgsym     	       1        0        1        0        0
64705 xfce4-radio-plugin                 	       1        0        0        0        1
64706 xfce4-screenshooter-dbgsym         	       1        0        1        0        0
64707 xfce4-sensors-plugin-build-deps    	       1        0        0        0        1
64708 xfce4-session-build-deps           	       1        0        0        0        1
64709 xfce4-settings-build-deps          	       1        0        0        0        1
64710 xfce4-shutdown-disable             	       1        0        0        0        1
64711 xfce4-smartbookmark-plugin-dbgsym  	       1        0        1        0        0
64712 xfce4-sntray-plugin-dbgsym         	       1        0        1        0        0
64713 xfce4-statusnotifier-plugin-dbgsym 	       1        0        1        0        0
64714 xfce4-systemload-plugin-dbgsym     	       1        0        1        0        0
64715 xfce4-taskmanager-dbgsym           	       1        0        1        0        0
64716 xfce4-terminal-build-deps          	       1        0        0        0        1
64717 xfce4-timer-plugin-dbgsym          	       1        0        1        0        0
64718 xfce4-verve-plugin-dbgsym          	       1        0        1        0        0
64719 xfce4-wavelan-plugin-dbgsym        	       1        0        1        0        0
64720 xfce4-wayland-session              	       1        0        0        0        1
64721 xfce4-weather-plugin-dbgsym        	       1        0        1        0        0
64722 xfce4-whiskermenu-plugin-dbgsym    	       1        0        1        0        0
64723 xfce4-xkb-plugin-dbgsym            	       1        0        1        0        0
64724 xfconf-build-deps                  	       1        0        0        0        1
64725 xfconf-dbgsym                      	       1        0        1        0        0
64726 xfdesktop4-build-deps              	       1        0        0        0        1
64727 xfdesktop4-dbgsym                  	       1        0        1        0        0
64728 xfkc                               	       1        0        1        0        0
64729 xflr5-doc                          	       1        0        0        0        1
64730 xfm                                	       1        0        1        0        0
64731 xfmedia                            	       1        0        1        0        0
64732 xfonts-artwiz                      	       1        0        0        0        1
64733 xfonts-ay                          	       1        0        0        0        1
64734 xfonts-base-transcoded             	       1        0        1        0        0
64735 xfonts-dosemu                      	       1        0        0        0        1
64736 xfonts-konsole                     	       1        0        1        0        0
64737 xfpt                               	       1        0        1        0        0
64738 xfwm4-build-deps                   	       1        0        0        0        1
64739 xfwm4-dbgsym                       	       1        0        1        0        0
64740 xgraph                             	       1        0        1        0        0
64741 xicc                               	       1        0        1        0        0
64742 ximtool                            	       1        0        1        0        0
64743 xine-plugin                        	       1        0        1        0        0
64744 xipmsg                             	       1        0        1        0        0
64745 xjokes                             	       1        0        1        0        0
64746 xkb-switch                         	       1        0        1        0        0
64747 xkblayout-state                    	       1        0        1        0        0
64748 xlax                               	       1        0        1        0        0
64749 xlib6g                             	       1        0        0        0        1
64750 xlibs                              	       1        0        0        0        1
64751 xlockmore                          	       1        0        1        0        0
64752 xmake                              	       1        0        1        0        0
64753 xmake-data                         	       1        0        1        0        0
64754 xmakemol                           	       1        0        1        0        0
64755 xmame-extras                       	       1        0        0        0        1
64756 xmame-sdl                          	       1        0        0        0        1
64757 xmame-tools                        	       1        0        0        0        1
64758 xmame-x                            	       1        0        0        0        1
64759 xmcd                               	       1        0        1        0        0
64760 xmem                               	       1        0        1        0        0
64761 xmhtml1                            	       1        0        0        0        1
64762 xmix                               	       1        0        1        0        0
64763 xml-security-c-utils               	       1        0        1        0        0
64764 xmlto-dbgsym                       	       1        0        1        0        0
64765 xmltooling-schemas                 	       1        0        0        0        1
64766 xmltreenav                         	       1        0        1        0        0
64767 xmms-xmmplayer                     	       1        0        1        0        0
64768 xmms2-scrobbler                    	       1        0        1        0        0
64769 xmp-audacious                      	       1        0        0        0        1
64770 xmpi                               	       1        0        1        0        0
64771 xmpp-dns                           	       1        0        1        0        0
64772 xmpsolve                           	       1        0        1        0        0
64773 xmrig                              	       1        0        1        0        0
64774 xnbd-common                        	       1        0        1        0        0
64775 xnbd-server                        	       1        0        1        0        0
64776 xnc                                	       1        0        1        0        0
64777 xnecview                           	       1        0        1        0        0
64778 xnedit                             	       1        0        1        0        0
64779 xnetcardconfig                     	       1        0        1        0        0
64780 xoids                              	       1        0        1        0        0
64781 xonix-jahu                         	       1        0        1        0        0
64782 xonsh-doc                          	       1        0        0        0        1
64783 xorg-build-deps                    	       1        0        0        0        1
64784 xorg-edit                          	       1        0        1        0        0
64785 xorg-video-abi-24                  	       1        0        0        0        1
64786 xorriso-dd-target                  	       1        0        1        0        0
64787 xotcl-doc                          	       1        0        0        0        1
64788 xournal-build-deps                 	       1        0        0        0        1
64789 xournal-dbgsym                     	       1        0        1        0        0
64790 xpilot-ng                          	       1        0        0        0        1
64791 xpilot-ng-client-sdl               	       1        0        1        0        0
64792 xpilot-ng-client-x11               	       1        0        1        0        0
64793 xpilot-ng-common                   	       1        0        1        0        0
64794 xpilot-ng-utils                    	       1        0        1        0        0
64795 xpm2wico                           	       1        0        1        0        0
64796 xpng                               	       1        0        1        0        0
64797 xppaut                             	       1        1        0        0        0
64798 xpra-html5                         	       1        0        1        0        0
64799 xpuyopuyo                          	       1        0        1        0        0
64800 xpython                            	       1        0        1        0        0
64801 xqf                                	       1        0        1        0        0
64802 xracer-tools                       	       1        0        1        0        0
64803 xray                               	       1        0        1        0        0
64804 xrdesktop                          	       1        0        1        0        0
64805 xrdp-build-deps                    	       1        0        0        0        1
64806 xrescat                            	       1        0        1        0        0
64807 xrick                              	       1        0        1        0        0
64808 xrick-data                         	       1        0        0        0        1
64809 xrootd-fuse                        	       1        0        1        0        0
64810 xrootd-server-plugins              	       1        0        0        0        1
64811 xrsh                               	       1        0        1        0        0
64812 xsane-dbg                          	       1        0        1        0        0
64813 xscope                             	       1        0        1        0        0
64814 xserver-xorg-input-joystick-dev    	       1        0        1        0        0
64815 xserver-xorg-legacy-dbgsym         	       1        0        1        0        0
64816 xserver-xorg-video-intel-git20180925-2-amd64	       1        0        1        0        0
64817 xserver-xorg-video-ivtv            	       1        0        1        0        0
64818 xserver-xorg-video-nvidia-tesla    	       1        0        0        1        0
64819 xserver-xorg-video-via             	       1        0        0        0        1
64820 xsteg                              	       1        0        1        0        0
64821 xsupplicant                        	       1        0        1        0        0
64822 xtide                              	       1        0        1        0        0
64823 xtide-data                         	       1        0        0        0        1
64824 xtokkaetama                        	       1        0        1        0        0
64825 xtruss                             	       1        0        1        0        0
64826 xtrx-fft                           	       1        0        1        0        0
64827 xtux-client                        	       1        0        1        0        0
64828 xtux-common                        	       1        0        0        0        1
64829 xtux-levels                        	       1        0        0        0        1
64830 xtux-server                        	       1        0        1        0        0
64831 xu4                                	       1        0        1        0        0
64832 xubuntu-icon-theme                 	       1        0        0        0        1
64833 xul-ext-adblock-plus-element-hiding-helper	       1        0        0        0        1
64834 xul-ext-autofill-forms             	       1        0        0        0        1
64835 xul-ext-dom-inspector              	       1        0        0        0        1
64836 xul-ext-downloadstatusbar          	       1        0        0        0        1
64837 xul-ext-flashblock                 	       1        0        0        0        1
64838 xul-ext-flashgot                   	       1        0        0        0        1
64839 xul-ext-greasemonkey               	       1        0        0        0        1
64840 xul-ext-historyblock               	       1        0        0        0        1
64841 xul-ext-iceweasel-branding         	       1        0        0        0        1
64842 xul-ext-livehttpheaders            	       1        0        0        0        1
64843 xul-ext-lyz                        	       1        0        0        0        1
64844 xul-ext-notify                     	       1        0        0        0        1
64845 xul-ext-scrapbook                  	       1        0        0        0        1
64846 xul-ext-sync                       	       1        0        1        0        0
64847 xul-ext-wot                        	       1        0        0        0        1
64848 xulrunner-1.9.1                    	       1        0        1        0        0
64849 xulrunner-26.0                     	       1        0        1        0        0
64850 xulrunner-29                       	       1        0        1        0        0
64851 xulrunner-gnome-support            	       1        0        1        0        0
64852 xv                                 	       1        0        1        0        0
64853 xvattr                             	       1        0        1        0        0
64854 xviewer-build-deps                 	       1        0        0        0        1
64855 xviewg-dev                         	       1        0        1        0        0
64856 xwinwrap                           	       1        0        1        0        0
64857 xwrits                             	       1        0        1        0        0
64858 xxxterm                            	       1        0        0        0        1
64859 y-ppa-manager                      	       1        0        1        0        0
64860 yabause-gtk                        	       1        0        1        0        0
64861 yacreader                          	       1        1        0        0        0
64862 yacy                               	       1        0        1        0        0
64863 yafaray                            	       1        0        1        0        0
64864 yahtzeesharp                       	       1        0        1        0        0
64865 yakyak                             	       1        0        1        0        0
64866 yambar                             	       1        0        1        0        0
64867 yaml-cpp-sourcedep                 	       1        0        1        0        0
64868 yang-tools                         	       1        0        0        0        1
64869 yangdump                           	       1        0        1        0        0
64870 yapps2-runtime                     	       1        0        1        0        0
64871 yard-doc                           	       1        0        0        0        1
64872 yarxi                              	       1        0        1        0        0
64873 yasnippet-snippets                 	       1        0        0        0        1
64874 yauap                              	       1        0        1        0        0
64875 yazc                               	       1        0        1        0        0
64876 yaze                               	       1        0        0        1        0
64877 ydotoold                           	       1        0        1        0        0
64878 yeahconsole                        	       1        0        1        0        0
64879 yealink-module-6.1.0-0.deb11.17-amd64	       1        0        0        0        1
64880 yealink-module-6.1.0-0.deb11.21-amd64	       1        0        0        0        1
64881 yelp-tools-build-deps              	       1        0        0        0        1
64882 yencode                            	       1        0        1        0        0
64883 yget                               	       1        0        1        0        0
64884 ygraph                             	       1        0        1        0        0
64885 yhsm-tools                         	       1        0        1        0        0
64886 yi                                 	       1        0        1        0        0
64887 ykneomgr                           	       1        0        1        0        0
64888 ylva                               	       1        0        1        0        0
64889 ynew                               	       1        0        0        1        0
64890 yodl-doc                           	       1        0        0        0        1
64891 yosys-doc                          	       1        0        0        0        1
64892 yosys-plugin-ghdl                  	       1        0        1        0        0
64893 youtube-music-desktop-app          	       1        0        1        0        0
64894 ytnef                              	       1        0        1        0        0
64895 yubikey-val                        	       1        1        0        0        0
64896 yuki-iptv                          	       1        1        0        0        0
64897 yum                                	       1        0        1        0        0
64898 z-library                          	       1        0        0        0        1
64899 z-push-backend-kopano              	       1        1        0        0        0
64900 z-push-common                      	       1        1        0        0        0
64901 z-push-config-apache               	       1        0        0        0        1
64902 z-push-ipc-sharedmemory            	       1        1        0        0        0
64903 z-push-kopano                      	       1        0        0        0        1
64904 zabbix-agent2-plugin-ember-plus    	       1        0        1        0        0
64905 zabbix-agent2-plugin-mssql         	       1        0        1        0        0
64906 zabbix-agent2-plugin-postgresql    	       1        0        1        0        0
64907 zabbix-proxy-mysql                 	       1        0        1        0        0
64908 zabbix-proxy-sqlite3               	       1        0        1        0        0
64909 zandronum-ssl                      	       1        0        1        0        0
64910 zanshin                            	       1        0        1        0        0
64911 zathura-dev                        	       1        0        1        0        0
64912 zaubberer-archive-keyring          	       1        0        0        0        1
64913 zaubberer-keyring                  	       1        0        0        0        1
64914 zdbsp                              	       1        0        1        0        0
64915 zdkimfilter                        	       1        0        1        0        0
64916 zdoom                              	       1        0        1        0        0
64917 zebra-scanner-corescanner          	       1        0        1        0        0
64918 zebra-scanner-corescanner-dbg      	       1        0        1        0        0
64919 zebra-scanner-devel                	       1        0        1        0        0
64920 zebra-scanner-javapos              	       1        0        1        0        0
64921 zecwallet                          	       1        0        1        0        0
64922 zecwallet-lite                     	       1        0        0        0        1
64923 zelcore                            	       1        0        0        0        1
64924 zen                                	       1        0        1        0        0
64925 zencash-desktop-gui-wallet         	       1        0        1        0        0
64926 zenkit                             	       1        0        0        0        1
64927 zenpower-dkms                      	       1        0        0        0        1
64928 zephyr-server                      	       1        0        1        0        0
64929 zeroconf                           	       1        0        1        0        0
64930 zfsonlinux                         	       1        0        0        0        1
64931 zgv                                	       1        0        1        0        0
64932 zh-autoconvert                     	       1        0        1        0        0
64933 zhumu                              	       1        0        1        0        0
64934 zinnia-utils                       	       1        0        1        0        0
64935 ziptime                            	       1        0        1        0        0
64936 zita-dpl1                          	       1        0        1        0        0
64937 zmf2odg                            	       1        0        1        0        0
64938 zmk-doc                            	       1        0        1        0        0
64939 zmusic-build-deps                  	       1        0        0        0        1
64940 zoiper5                            	       1        0        1        0        0
64941 zombietrackergps                   	       1        0        1        0        0
64942 zoneminder-dbg                     	       1        0        1        0        0
64943 zoomvdi-universal-plugin           	       1        0        1        0        0
64944 zotero-standalone                  	       1        0        1        0        0
64945 zotero6                            	       1        1        0        0        0
64946 zpaqfranz                          	       1        0        1        0        0
64947 zre-17                             	       1        0        1        0        0
64948 zsh-antidote                       	       1        0        1        0        0
64949 zsh-completions                    	       1        0        0        0        1
64950 zshdb                              	       1        0        1        0        0
64951 zstd-dbgsym                        	       1        0        1        0        0
64952 zulip                              	       1        0        0        0        1
64953 zulu-17                            	       1        0        1        0        0
64954 zulu-8                             	       1        0        1        0        0
64955 zulu-fx-17                         	       1        0        1        0        0
64956 zulu11                             	       1        0        0        0        1
64957 zulu11-ca                          	       1        0        0        0        1
64958 zulu11-ca-doc                      	       1        0        0        0        1
64959 zulu11-ca-jdk                      	       1        0        0        0        1
64960 zulu11-ca-jdk-headless             	       1        0        0        0        1
64961 zulu11-ca-jre                      	       1        0        0        0        1
64962 zulu11-ca-jre-headless             	       1        0        1        0        0
64963 zulu11-doc                         	       1        0        0        0        1
64964 zulu11-jdk                         	       1        0        0        0        1
64965 zulu11-jdk-headless                	       1        0        0        0        1
64966 zulu11-jre                         	       1        0        0        0        1
64967 zulu11-jre-headless                	       1        0        0        0        1
64968 zurl                               	       1        0        1        0        0
64969 zx                                 	       1        0        1        0        0
64970 zxing-cpp-tools                    	       1        1        0        0        0
64971 zypper-doc                         	       1        0        0        0        1